update files
diff --git a/README.md b/README.md
index 34b9a05..fcac311 100644
--- a/README.md
+++ b/README.md
@@ -1,12 +1,518 @@
-# Caravel User Project
+# HEHECORE
-[](https://opensource.org/licenses/Apache-2.0) [](https://github.com/efabless/caravel_project_example/actions/workflows/user_project_ci.yml) [](https://github.com/efabless/caravel_project_example/actions/workflows/caravel_build.yml)
+## Overview
-| :exclamation: Important Note |
-|-----------------------------------------|
+This is a small out-of-order RISC-V core written in synthesizable Verilog that supports the RV64IC unprivileged ISA and parts of the privileged ISA, namely M-mode.
-## Please fill in your project documentation in this README.md file
+## Feature List
-Refer to [README](docs/source/index.rst#section-quickstart) for a quickstart of how to use caravel_user_project
+- It currently supports RISC-V I extension
+- It currently supports M mode
+- It's a double issue architecture
+- It supports scalar register renaming
+- It currently supports only in-order issue from the issue queue
+- It has a ROB to do in-order committment
+- When an exception or an interrupt happens, the ROB will be responsible of when to trigger flush
+- It supports dynamic branch prediction(gshare)
+- It support out-of-order execution
+- nonblocking cache
-Refer to [README](docs/source/index.rst) for this sample project documentation.
+## Block Diagram
+
+## Pipeline Stages
+
+
+
+**1. Fetch**
+
+Instructions are *fetched* from Icache and pushed into a FIFO queue, known as the instruction buffer(fetch buffer) . Looking up the branch target buffer also occurs in this stage, redirecting the fetched instructions as necessary
+
+ **F0 stage:**
+
+ PC_gen will generate the correct PC, the pirority is :*Backend-end redirct target -> predicted PC -> PC+4*.
+
+ Meanwhile, Let PC do hashing with BHR, and get the hash index for Gshare.
+
+ **F1 stage:**
+
+ Sent the PC to Icache for instruction request
+
+ Do*pc+4*
+
+ Use PC to index a BTB entry and get*pc_pred.*
+
+ Use the hash value to index Gshare and get*taken or not-taken*.
+
+ **F2 stage:**
+
+ The response from Icache is put into a FIFO instruction buffer
+
+ Sent*pc+4* to the PC_gen
+
+ In Redirct logic, if Gshare predicts taken, it will sent pc_pred to PC_gen. Otherwise, pc_gen makes no sense.
+
+**2. Decode**
+
+ **F3 stage**
+
+ In this stage, it pulls instructions out of the instruction buffer and generates the appropriate micro-op($\mu$op) to place into the pipeline
+
+- decode <=2 instruction per cycle
+
+**3. Issue**
+
+ **F4 stage**
+
+ The ISA, or “logical”, register specifiers (e.g. x0-x31) are then*renamed* into “physical” register specifiers
+
+ Every instruction will do dependency check with its previous instruction to decide whether instructions will be double issued.
+
+ $\mu$op sitting in the head of ROB wait until all of their source registers are ready and they can read their operand then be issued. This is the beginning of the out–of–order piece of the pipeline.
+
+- Double issue conditions:
+ 1. There is no dependency between the two instructions
+ 2. Function units are both ready
+ 3. Only arithemetic and load/store instructions can be double issued. (excluding conditional,csr, wfi etc.)
+
+**4. Execute**
+ **F5 stage**
+ Instructions enter the *Execute* stage where the functional units reside. Issued ALU operations perform their calculation and get results.
+
+ For load&store instruction, first the address are calculated and put into the FIFO load/store queue. The instrution at the head of the queue sends request to Dcache if Dcache is ready.
+
+**5. Wrieback**
+ **F6 stage**
+ results are written back to physical registers when completing instruction and update ROB status
+
+- About branch prediction
+ If it's a branch instruction, the result will update Gshare and GHR. If it's mispredicted, the instruction buffer will be flushed, and instructions will be fetched from the other path.
+
+**6. Instruction commit**
+The Reorder Buffer (ROB), tracks the status of each instruction in the pipeline. When the head of the ROB is not-busy, the ROB commits the instruction.
+
+- instructions will be commited in order in ROB according to program order, at most 2 instructions can be commited at the same time
+
+# Blcok Description
+
+## Instruction Fetch Unit
+
+#### F0: PC generation
+
+ Select the current pc address, whether it comes from a jump instruction or whether to judge branch in BTB and gshare or whether it comes from an exception. The default value is pc+4.
+
+#### F1: fetch instruction
+
+ Instructions from Icache are put into the instruction buffer.
+
+### Pc_gen
+
+ Perform pc+4 or use the pc from other places.
+
+- **content**
+
+<center>| PC | </center>
+
+- **input/output**
+- | signal | I/O | width | description | interaction |
+ | :----------------- | :-: | :---: | :------------------------------------: | :---------: |
+ | is_req_pc | I | 1 | judge if btb need wirte in | fu |
+ | btb_req_pc | I | 32 | btb needed pc | fu |
+ | btb_predict_target | I | 32 | btb needed target pc | fu |
+ | prev_pc | I | 32 | pc to gshare | fu |
+ | prev_branch_in | I | 1 | if this pc is a branch or jump | fu |
+ | prev_taken | I | 1 | if this branch is taken | fu |
+ | rd_en | I | 1 | decode is ready and want a instruction | decoder |
+ | pc_out | O | 32 | a pc out | decoder |
+ | next_pc_out | O | 32 | this pc out plus 4 | decoder |
+ | instruction_out | O | 32 | this pc's instruction | decoder |
+ | valid_real_branch | I | 1 | if fu give a valid real branch | fu |
+ | real_branch | I | 32 | this real branch or jump branch pc | fu |
+ | trap | I | 1 | judge trap | writeback |
+ | mret | I | 1 | judge mret | writeback |
+ | trap_vector | I | 32 | vector of trap | csr |
+ | mret_vector | I | 32 | vector of mret | csr |
+ | stall | I | 1 | if need stall | hazard |
+ | invalidate | I | 1 | if is invalidate | hazard |
+ | fetch_address | O | 32 | pc address | busio |
+ | fetch_data | I | 32 | instruction | busio |
+ | exception_valid_o | O | 1 | exception valid | csr |
+ | ecause_o | O | 1 | exception cause | csr |
+
+### BTB
+
+
+
+instructions first go into the BTB, if there is a hit, pc = pc_from_btb; if match fail, PC = PC +4, after execute stage, BTB will be update
+
+### Revision History
+
+| Revision Number | Author | Date | Description |
+| --------------- | ---------- | ---------- | ----------------- |
+| 0.1 | Xinze Wang | 2022.08.10 | init |
+| 0.2 | Xinze Wang | 2022.08.18 | update self check |
+
+- **content**
+ [x_btb] entry:
+
+<center>| pc_current | pc_target| </center>
+
+- **input/output**
+- | signal | I/O | width | description | interaction |
+ | :------------- | :-: | :---: | :-----------------------: | :---------: |
+ | pc_in | I | 32 | from PC_gen | fetch |
+ | next_pc_out | O | 32 | =pc_target or =pc_current | fetch |
+ | token | O | 1 | to instruction buffer | fetch |
+ | req_pc | I | 32 | update btb | execute |
+ | predict_target | I | 32 | update btb | execute |
+
+### Gsahre
+
+instructions first go into the Gshare, and Gshare will give a prediction, and at execute stage, this prediction will update
+
+ **content**
+ [gshare] entry:
+
+### Revision History
+
+| Revision Number | Author | Date | Description |
+| --------------- | ------------ | ---------- | ----------- |
+| 0.1 | Qiaowen Yang | 2022.08.10 | init |
+
+<center>| gshare | </center>
+
+- **input/output**
+- | signal | I/O | width | description | interaction |
+ | :------------- | :-: | :---: | :--------------------------: | :---------: |
+ | pc | I | 32 | from PC_gen | fetch |
+ | cur_pred | O | 1 | give a prediction | fetch |
+ | prev_branch_in | I | 1 | whether prev instr is branch | execute |
+ | prev_taken | I | 1 | whether prev instr taken | execute |
+ | prev_pred | I | 1 | prev instr pred result | execute |
+ | prev_mispred | O | 1 | whether prev instr mispred | execute |
+
+### instruction buffer
+
+### Revision History
+
+| Revision Number | Author | Date | Description |
+| --------------- | ---------- | ---------- | ----------- |
+| 0.1 | Xinze Wang | 2022.08.10 | init |
+
+- **content**
+ [x_ib] entry:
+
+ <center>| PC(32)| instruction(32) | btb_tag </center>
+- **input/output**
+- | signal | I/O | width | description | interaction |
+ | :-------------- | :-: | :---: | :-------------------: | :---------: |
+ | pc_in | I | 32 | from PC_gen | fetch |
+ | next_pc_in | I | 32 | from PC_gen | fetch |
+ | instruction_in | I | 32 | from PC_gen | fetch |
+ | pc_out | O | 32 | give to decode | decode |
+ | next_pc_out | O | 32 | give to decode | decode |
+ | instruction_out | O | 32 | give to decode | decode |
+ | wr_en | I | 1 | want write | fetch |
+ | ins_full | O | 1 | stall pc in | fetch |
+ | rd_en | I | 1 | judge decode is ready | decode |
+
+## Instruction Decode Unit
+
+### Decoder
+
+
+This decoder supports RV64I instructions. It gets the instr from fetch unit and gives the result to ROB unit. For branch instr, it will output a stall flag, until everything is ready.
+
+### Revision History
+
+| Revision Number | Author | Date | Description |
+| --------------- | ---------- | ---------- | ----------- |
+| 0.1 | Guohua Yin | 2022.08.16 | init |
+| 0.2 | Guohua Yin | 2022.08.22 | update |
+
+### Items
+
+| Item Name | Description |
+| --------- | ----------------------------------------------------------- |
+| decode | decode the instruction in-order from the instruction buffer |
+
+- **content**
+- **input/output**
+- | signal | I/O | width | description | interaction |
+ | :------------------------ | :-: | :---: | :------------------------------------------: | :----------: |
+ | clk | I | 1 | clock signal | |
+ | rstn | I | 1 | reset signal, active low, asynchronous reset | |
+ | pc_in | I | 32 | get the pc from fetch unit | instr buffer |
+ | next_pc_in | I | 32 | get the next pc from fetch unit | instr buffer |
+ | instruction_in | I | 32 | get the instr from fetch | fetch |
+ | valid_in | I | 1 | get the valid signal | fetch |
+ | ready_in | I | 1 | get the ready signal | rob |
+ | branch_back | I | 1 | handle the branch stall | fu |
+ | trapped | I | 1 | pipeline control | fu |
+ | wfi_in | I | 1 | pipeline control | fu |
+ | csr_data | I | 64 | get csr data | csr |
+ | csr_readable | I | 1 | flag about reading from csr | csr |
+ | csr_writeable | I | 1 | flag about writing to csr | csr |
+ | csr_address | O | 12 | give to csr | csr |
+ | uses_rs1 | O | 1 | use rs1 | rob |
+ | uses_rs2 | O | 1 | use rs2 | rob |
+ | uses_rd | O | 1 | use rd | rob |
+ | uses_csr | O | 1 | use csr | rob |
+ | pc_out | O | 32 | give to rob the pc | rob |
+ | next_pc_out | O | 32 | give to rob the next pc | rob |
+ | is_csr | O | 1 | flag about csr | rob |
+ | write_select_out | O | 2 | write select out signal | rob |
+ | rd_address_out | O | 5 | give to rob | rob |
+ | csr_address_out | O | 12 | give to rob | rob |
+ | mret_out | O | 1 | give to rob | rob |
+ | wfi_out | O | 1 | give to rob | rob |
+ | ecause_out | O | 4 | give to rob | rob |
+ | exception_out | O | 1 | exception | rob |
+ | half | O | 1 | give to rob | rob |
+ | valid_out | O | 1 | valid flag | rob |
+ | ready_out | O | 1 | tell fecth can read | fetch |
+ | csr_read_out | O | 1 | read signal | rob |
+ | csr_write_out | O | 1 | csr write signal | rob |
+ | csr_readable_out | O | 1 | csr can be read | rob |
+ | csr_writeable_out | O | 1 | can write csr | rob |
+ | csr_data_out | O | 64 | to rob alu | rob |
+ | imm_data_out | O | 32 | to rob alu about immed-data | rob |
+ | alu_function_out | O | 3 | to rob alu | rob |
+ | alu_function_modifier_out | O | 1 | to rob alu | rob |
+ | alu_select_a_out | O | 2 | alu select signal:a | rob |
+ | alu_select_b_out | O | 2 | alu select signal:b | rob |
+ | cmp_function_out | O | 3 | compare function signal | rob |
+ | jump_out | O | 1 | to rob branch | rob |
+ | branch_out | O | 1 | to rob branch | rob |
+ | is_alu_out | O | 1 | to rob (lsu) | rob |
+ | load_out | O | 1 | to rob (lsu) | rob |
+ | store_out | O | 1 | to rob (lsu) | rob |
+ | load_store_size_out | O | 2 | to rob (lsu) | rob |
+ | load_signed_out | O | 1 | to rob (lsu) | rob |
+
+## RCU
+
+### Revision History
+
+| Revision Number | Author | Date | Description |
+| --------------- | ----------- | ---------- | ----------- |
+| 0.1 | Yihai Zhang | 2022.08.12 | init |
+| 2.0 | Yifei Zhu | 2022.08.24 | init |
+
+### Items
+
+| Item Name | Description |
+| ------------------- | ----------------------------------------------------- |
+| rename table | rename the architecture register to physical one |
+| rename table backup | rename table recovery from backup when trap or branch |
+| free list | record the free physical register address |
+| reorder buffer | store the corresponding data of each instruction |
+| physical register | - |
+
+### Overview
+
+
+
+### rename table
+
+| Operation | port | Description |
+| --------- | -------------- | ------------------------------------------------- |
+| write | one write port | write from free list when rob ready to be written |
+| read | one read port | read when rob ready to be written |
+| flush | one flush port | roll back to backup when trap comes |
+| reset | - | when reset signal |
+
+### rename table backup
+
+| Operation | port | Description |
+| --------- | ---- | ----------------------------------------- |
+| - | - | used for rename table rolling back itself |
+| reset | - | when reset signal |
+
+### physical register file
+
+| Operation | port | Description |
+| --------- | --------------- | ------------------------------------------------------ |
+| write | two write ports | write when function unit finish |
+| read | two read ports | read when rob ready to issue |
+| flush | two flush port | set finish bit to regfile to indicate regfile has used |
+| reset | - | when reset signal |
+
+### free list (a fifo)
+
+| Operation | port | Description |
+| --------- | -------------- | --------------------------------- |
+| write | one write port | write when instr commit |
+| read | one read port | read when rob ready to be written |
+| reset | - | when reset signal |
+
+### reorder buffer
+
+| Item Name | Width | Description |
+| --------- | ----- | ------------------------------------------------------------------------- |
+| rob op | - | to buffer data from decode for the use of pc, lsu, alu, csr and exception |
+| use | 1 bit | indicate whether rob is used |
+| issue | 1 bit | indicate whether rob issued |
+| commit | 1 bit | indicate whether rob is commited |
+| finish | 1 bit | indicate whether function unit writeback finished |
+| exception | 1 bit | indicate whether the instr raise an exception |
+| prs1 | 6 bit | the mapped physical resource reg1 after renaming |
+| prs2 | 6 bit | the mapped physical resource reg2 after renaming |
+| prd | 6 bit | the mapped physical destination reg after renaming |
+| rd | 5 bit | the architecture reg before ranaming |
+| lprd | 6 bit | the mapped reg which is replaced |
+
+## LSU
+
+### Revision History
+
+| Revision Number | Author | Date | Description |
+| --------------- | ----------- | ---------- | ----------- |
+| 0.1 | Peichen Guo | 2022.08.10 | init |
+
+### Items
+
+| Item Name | Description |
+| --------------- | -------------------------------------- |
+| AGU | Address Generation Unit |
+| Address Checker | to check address validation |
+| Control Unit | to interact with dcache and send stall |
+
+### Overview
+
+- LSU top diagram
+
+
+
+<!-- ## LSU pipeline diagram -->
+
+<!-- ### Data path
+
+#### Load
+
+In the S0 stage, base address and offset enter the AGU to obtain the memory access address, and then enter the address checker together with rd address, opcode and size. Then all the data are sent to LSQ.
+
+In the S1 stage,
+
+#### Store
+
+In the S2 stage, the control unit continues to execute after issuing the store request. Only when the dcache is not ready will the pipeline be blocked until the req is sent. At this time, load data valid is set to 0 and store done is set to 1.
+
+### LSU Pipeline Stages Description
+
+#### S1
+
+Interact with the decoder. The AGU generates the virtual address, and judges the memory_ hazard.
+
+#### S2
+
+Interact with DCache. 'load' blocks the pipeline before obtaining 'resp'. 'store' blocks the pipeline before 'req' is issued.
+
+### Possibleazard和stall
+
+#### control unit的stall
+
+As mentioned above, this LSU implements SC by installing.
+
+#### missalign
+
+AGU will judge whether the address added is aligned or not. If it is a half word, check whether addr[0] is 1. If it is a full word, check whether addr [1:0] has 1. If it is a double word, check whether addr [2:0] has 1. If so, it is missalign. Exception will be reported. -->
+
+### LSU(Load Store Unit)
+
+#### Interface
+
+decode interface:
+
+| Name | Group | Width | Direction | Description |
+| -------------------------------------------- | ----- | --------------- | --------- | ------------------------------------------------------------------------------------------------------------------------------------ |
+| **//global interface** | | | | |
+| clk | 1 | 1 | input | clock signal. |
+| rstn | 1 | 1 | input | reset signal, active low, asynchronous reset. |
+| stall | 1 | RCT_EXE_STG_NUM | input | high to indicate that stall the lsu pipeline. |
+| **//Interface with ROB** | | | | |
+| valid_i | 1 | 1 | input | input valid signal,当指令为SL指令时置1,启动LSU |
+| rob_index_i | 1 | ROB_INDEX_WIDTH | input | ROB slot id,需要和zyh进一步商量 |
+| rd_addr_i | 1 | 5 | input | rd addr,可能不需要,在没有ROT的情况下输入这个地址仅仅是因为需要进行rd != x0的判断,decoder也可以做。但如果有ROB的情况就需要rd addr了 |
+| imm_i | 1 | XLEN | input | immediate ,符号扩展的XLEN位立即数,此处是地址偏移量 |
+| | | | | |
+| opcode_i | 1 | 1 | input | 1是store,0是load |
+| size_i | 1 | 2 | input | size of operation, 00是byte,01是half word, 10是word,11是double word |
+| load_sign_i | 1 | 1 | input | sign of load, 1是unsigned |
+| ROB_index_o | 1 | ROB_INDEX_WIDTH | output | ROB Index out,代表会回填到哪行 |
+| ls_done_o | 1 | 1 | output | ls 完成 |
+| lsu_ready_o | 1 | 1 | output | lsu is ready or not |
+| **//Interface with dcache** | | | | |
+| TODO: 还没有商量 | | | | |
+| **//Interface with PRF** | | | | |
+| rs2_data_i | 1 | XLEN | input | src2 data,在store里是src reg,也就是store的数据 |
+| rs1_data_i | 1 | XLEN | input | rs1 data,在sl中是基址寄存器 |
+| rd_addr_o | 1 | 5 | output | reg 回填地址 |
+| load_data_valid_o | 1 | 1 | output | load data有效,这次回填的指令是load |
+| load_data_o | 1 | XLEN | output | load data |
+| **//Interface with Exception handler** | | | | |
+| exception_valid_o | 1 | 1 | output | just for unit test, will be changed when exceprion handler is designed |
+| ecause_o | 1 | 5 | output | just for unit test, will be changed when exceprion handler is designed |
+
+Decode Interface:
+
+| Name | Group | Width | Direction | Description |
+| --------------------------------- | ----- | --------------- | --------- | ------------------------------------------------------------------------------------------------------------------------- |
+| **//global interface** | | | | |
+| clk | 1 | 1 | input | clock signal |
+| rstn | 1 | 1 | input | reset signal, active low, asynchronous reset |
+| stall | 1 | RCT_EXE_STG_NUM | input | high to indicate that stall the lsu pipeline |
+| **//Interface with ROB** | | | | |
+| valid_i | 1 | 1 | input | input valid signal, set 1 when the instruction is SL, and start |
+| rob_index_i | 1 | ROB_INDEX_WIDTH | input | ROB slot id, further discussion with zyh is required |
+| rd_addr_i | 1 | 5 | input | rd address |
+| imm_i | 1 | XLEN | input | immediate, symbol extended XLEN bit immediate number, here is the address offset |
+| | | | | |
+| opcode_i | 1 | 1 | input | 1 represent 'store',0 represent 'load' |
+| size_i | 1 | 2 | input | size of operation, '00' represent 'byte','01' represent 'half word', '10' represent 'word', '11' represent 'double' word |
+| load_sign_i | 1 | 1 | input | sign of load, 1 represent 'unsigned' |
+| ROB_index_o | 1 | ROB_INDEX_WIDTH | output | ROB Index out, which represent which line to be backfilled |
+| ls_done_o | 1 | 1 | output | ls done |
+| lsu_ready_o | 1 | 1 | output | lsu is ready or not |
+| exception_valid_o | 1 | 1 | output | just for unit test, will be changed when exceprion handler is designed |
+| ecause_o | 1 | 5 | output | just for unit test, will be changed when exceprion handler is designed |
+| **//Interface with dcache** | | | | |
+| req_valid_o | 1 | 1 | output | request valid |
+| req_opcode_o | 1 | 1 | output | 0 for load, 1 for store |
+| req_size_o | 1 | 2 | output | opcode[2] stands for unsigend; opcode[1:0] stands for ls width |
+| req_addr_o | 1 | ADDR_WIDTH | output | request address |
+| req_data_o | 1 | XLEN | output | request data |
+| req_ready_i | 1 | 1 | input | request ready |
+| resp_valid_i | 1 | 1 | input | response valid |
+| resp_data_i | 1 | XLEN | input | response data |
+| resp_ready_o | 1 | 1 | output | response ready |
+| **//Interface with PRF** | | | | |
+| rs2_data_i | 1 | XLEN | input | src2 data,the src reg, which is the data of the store, is in the store |
+| rs1_data_i | 1 | XLEN | input | rs1 data,it is the base address register in sl |
+| rd_addr_o | 1 | 5 | output | reg backfill address |
+| load_data_valid_o | 1 | 1 | output | load data is valid, backfill the load instruction this time |
+| load_data_o | 1 | XLEN | output | load data |
+
+# Contribution
+
+Guohua Yin,; Xinze Wang,; Yihai Zhang,; Qiaowen Yang,; Zhenxuan Luan,; Peichen Guo,; Minzi Wang,; Guangyuan Ma,; Yucheng Wang,; Shenwei Hu,; Yifei Zhu,
+
+# Verification
+
+Verification suite includes unit test and regression test. We choose open source tool Verilator as simulator. In the module RTL design stage, the verifiers and designers firstly make clear the top-level signals and functions of the module, building an independent verification environment for submodules (such as Cache, Decoder, Gshare, etc.) that need to be verified. We give the module specific inputs and check whether the outputs meet the design expectations of the module functions, so as to accelerate the progress of RTL design.
+
+After the preliminary completion of core design, the function of the core should be verified. Since Verilator compiles RTL code into C++ code and then runs the simulation, we use C++ to write a simulating memory and load Elf in it, so that core (including Icache and Dcache) interacts with memory, forming a basic computer system, and verifying the correctness of core functions. Step 1: Run isa-test given by RISC-V international to check whether the operation of a single instruction is correct. After passing isa-test, we decide to use RISC-V torture as stimulation for regression test, and iteratively fix our code during the testing process. The current system can achieve 99.99% accuracy when running 10000 torque test samples.
+
+HeHe's Soc is equipped with instruction RAM and data RAM, in addition to the ILA interface. Our Soc verification scheme is to load Elf's instruction segments and data segments into the corresponding RAM, and then reset the core to start running the test program. Check whether the running results are correct through ila.
+## Work Load Division
+
+Front-end group: Xinze Wang(BTB, Fetch), Qiaowen Yang(Gshare), Guohua Yin(Decoder)
+
+Back-end group: Yihai Zhang(ROB,renaming), Peichen Guo(Hazard), Mingzi Wang(Cache),
+
+Validation group: Xinlai Wan, Guangyuan Ma, Yucheng Wang, Shenwei Hu,
+
+SOC: Qiaowenyang
+
+Top module: Zhenxuan Luan, Yifei Zhu
+
+
diff --git a/def/top.def.gz b/def/top.def.gz
new file mode 100644
index 0000000..d125399
--- /dev/null
+++ b/def/top.def.gz
Binary files differ
diff --git a/def/user_project_wrapper.def.gz b/def/user_project_wrapper.def.gz
new file mode 100644
index 0000000..3f7116f
--- /dev/null
+++ b/def/user_project_wrapper.def.gz
Binary files differ
diff --git a/final/def/user_project_wrapper.def.gz b/final/def/user_project_wrapper.def.gz
new file mode 100644
index 0000000..6e40159
--- /dev/null
+++ b/final/def/user_project_wrapper.def.gz
Binary files differ
diff --git a/final/gds/user_project_wrapper.gds.gz b/final/gds/user_project_wrapper.gds.gz
new file mode 100644
index 0000000..18bad8d
--- /dev/null
+++ b/final/gds/user_project_wrapper.gds.gz
Binary files differ
diff --git a/final/lef/user_project_wrapper.lef.gz b/final/lef/user_project_wrapper.lef.gz
new file mode 100644
index 0000000..b4ab8c8
--- /dev/null
+++ b/final/lef/user_project_wrapper.lef.gz
Binary files differ
diff --git a/final/mag/user_project_wrapper.mag.gz b/final/mag/user_project_wrapper.mag.gz
new file mode 100644
index 0000000..496606f
--- /dev/null
+++ b/final/mag/user_project_wrapper.mag.gz
Binary files differ
diff --git a/final/maglef/user_project_wrapper.mag.gz b/final/maglef/user_project_wrapper.mag.gz
new file mode 100644
index 0000000..0b85165
--- /dev/null
+++ b/final/maglef/user_project_wrapper.mag.gz
Binary files differ
diff --git a/final/sdc/user_project_wrapper.sdc b/final/sdc/user_project_wrapper.sdc
new file mode 100644
index 0000000..c560e10
--- /dev/null
+++ b/final/sdc/user_project_wrapper.sdc
@@ -0,0 +1,16 @@
+###############################################################################
+# Created by write_sdc
+# Thu Sep 15 12:52:04 2022
+###############################################################################
+current_design user_project_wrapper
+###############################################################################
+# Timing Constraints
+###############################################################################
+create_clock -name clk -period 12.5000 [get_ports {clk}]
+set_propagated_clock [get_clocks {clk}]
+###############################################################################
+# Environment
+###############################################################################
+###############################################################################
+# Design Rules
+###############################################################################
diff --git a/final/sdf/user_project_wrapper.sdf b/final/sdf/user_project_wrapper.sdf
new file mode 100644
index 0000000..e3a4c03
--- /dev/null
+++ b/final/sdf/user_project_wrapper.sdf
@@ -0,0 +1,779644 @@
+(DELAYFILE
+ (SDFVERSION "3.0")
+ (DESIGN "user_project_wrapper")
+ (DATE "Thu Sep 15 13:09:23 2022")
+ (VENDOR "Parallax")
+ (PROGRAM "STA")
+ (VERSION "2.3.1")
+ (DIVIDER .)
+ (VOLTAGE 1.800::1.800)
+ (PROCESS "1.000::1.000")
+ (TEMPERATURE 25.000::25.000)
+ (TIMESCALE 1ns)
+ (CELL
+ (CELLTYPE "user_project_wrapper")
+ (INSTANCE)
+ (DELAY
+ (ABSOLUTE
+ (INTERCONNECT clk clkbuf_0_clk.A (0.015:0.015:0.015) (0.015:0.015:0.015))
+ (INTERCONNECT clk ANTENNA_clkbuf_0_clk_A.DIODE (0.015:0.015:0.015) (0.015:0.015:0.015))
+ (INTERCONNECT dcache_data_chip_en_1 input1.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT dcache_data_chip_en_1 ANTENNA_input1_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT dcache_data_chip_en_2 input2.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT dcache_data_chip_en_2 ANTENNA_input2_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT dcache_data_in_1 input3.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT dcache_data_in_1 ANTENNA_input3_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT dcache_data_in_2 input4.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT dcache_data_in_2 ANTENNA_input4_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT dcache_data_index_1 input5.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT dcache_data_index_1 ANTENNA_input5_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT dcache_data_index_2 input6.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT dcache_data_index_2 ANTENNA_input6_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT dcache_data_write_en_1 input7.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT dcache_data_write_en_1 ANTENNA_input7_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT dcache_data_write_en_2 input8.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT dcache_data_write_en_2 ANTENNA_input8_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT dcache_tag_chip_en input9.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT dcache_tag_chip_en ANTENNA_input9_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT dcache_tag_index input10.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT dcache_tag_index ANTENNA_input10_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT dcache_tag_write_en input11.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT dcache_tag_write_en ANTENNA_input11_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT dram_clk0 input12.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT dram_clk0 ANTENNA_input12_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT icache_data_index input13.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT icache_data_index ANTENNA_input13_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT icache_tag_index input14.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT icache_tag_index ANTENNA_input14_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT iram_clk0 input15.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT iram_clk0 ANTENNA_input15_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT la_data_in[0] input16.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT la_data_in[0] ANTENNA_input16_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT la_data_in[1] input17.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT la_data_in[1] ANTENNA_input17_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT wb_rst_i input18.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT wb_rst_i ANTENNA_input18_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT wbs_adr_i[10] input19.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT wbs_adr_i[10] ANTENNA_input19_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT wbs_adr_i[11] input20.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT wbs_adr_i[11] ANTENNA_input20_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT wbs_adr_i[12] input21.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT wbs_adr_i[12] ANTENNA_input21_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT wbs_adr_i[13] input22.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT wbs_adr_i[13] ANTENNA_input22_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT wbs_adr_i[14] input23.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT wbs_adr_i[14] ANTENNA_input23_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT wbs_adr_i[15] input24.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT wbs_adr_i[15] ANTENNA_input24_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT wbs_adr_i[16] input25.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT wbs_adr_i[16] ANTENNA_input25_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT wbs_adr_i[17] input26.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT wbs_adr_i[17] ANTENNA_input26_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT wbs_adr_i[18] input27.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT wbs_adr_i[18] ANTENNA_input27_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT wbs_adr_i[19] input28.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT wbs_adr_i[19] ANTENNA_input28_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT wbs_adr_i[20] input29.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT wbs_adr_i[20] ANTENNA_input29_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT wbs_adr_i[21] input30.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT wbs_adr_i[21] ANTENNA_input30_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT wbs_adr_i[22] input31.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT wbs_adr_i[22] ANTENNA_input31_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT wbs_adr_i[23] input32.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT wbs_adr_i[23] ANTENNA_input32_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT wbs_adr_i[24] input33.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT wbs_adr_i[24] ANTENNA_input33_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT wbs_adr_i[25] input34.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT wbs_adr_i[25] ANTENNA_input34_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT wbs_adr_i[26] input35.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT wbs_adr_i[26] ANTENNA_input35_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT wbs_adr_i[27] input36.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT wbs_adr_i[27] ANTENNA_input36_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT wbs_adr_i[28] input37.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT wbs_adr_i[28] ANTENNA_input37_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT wbs_adr_i[29] input38.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT wbs_adr_i[29] ANTENNA_input38_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT wbs_adr_i[30] input39.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT wbs_adr_i[30] ANTENNA_input39_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT wbs_adr_i[31] input40.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT wbs_adr_i[31] ANTENNA_input40_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT wbs_cyc_i input41.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT wbs_cyc_i ANTENNA_input41_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT wbs_stb_i input42.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT wbs_stb_i ANTENNA_input42_A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38907_.Y _38922_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _38907_.Y _38923_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _38907_.Y _38939_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _38907_.Y _38949_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _38907_.Y _38952_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _38907_.Y _38953_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _38908_.X _38909_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _38908_.X _38915_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _38908_.X _38926_.B (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT _38908_.X _43133_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _38908_.X _43977_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _38908_.X _48239_.D_N (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _38908_.X _50231_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _38908_.X _50247_.C (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _38908_.X _59142_.C (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _38908_.X _59758_.B (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _38908_.X _61482_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _38908_.X _61483_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _38908_.X _61484_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _38908_.X _61485_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _38908_.X _66481_.C (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _38908_.X ANTENNA__66481__C.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _38908_.X ANTENNA__61485__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _38908_.X ANTENNA__61484__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _38908_.X ANTENNA__61483__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _38908_.X ANTENNA__61482__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _38908_.X ANTENNA__59758__B.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _38908_.X ANTENNA__59142__C.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _38908_.X ANTENNA__50247__C.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _38908_.X ANTENNA__50231__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _38908_.X ANTENNA__48239__D_N.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _38908_.X ANTENNA__43977__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _38908_.X ANTENNA__43133__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _38908_.X ANTENNA__38926__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _38908_.X ANTENNA__38915__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _38908_.X ANTENNA__38909__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _38909_.X _38922_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38909_.X _38939_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38909_.X _38952_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38909_.X _38953_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38910_.Y _38918_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _38910_.Y _38923_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38910_.Y _38933_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _38910_.Y _38934_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _38911_.X _38914_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38911_.X _38947_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38912_.X _38914_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38912_.X _38925_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38913_.X _38914_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38913_.X _38948_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38914_.X _38918_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38914_.X _38933_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38914_.X _38934_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38915_.X _38918_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38915_.X _38949_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38916_.Y _38917_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38916_.Y _38946_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38917_.X _38918_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38917_.X _38934_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38917_.X _38948_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38918_.X _38922_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38918_.X _38939_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38918_.X _38952_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38918_.X _38953_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38919_.X _38922_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38919_.X _38939_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38920_.Y _38921_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38920_.Y _38931_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38920_.Y _61509_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38920_.Y _74548_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _38921_.X _38922_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38922_.X _38932_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38922_.X _38937_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38922_.X _38944_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _38922_.X _38951_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _38922_.X _38954_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _38922_.X _38955_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _38922_.X _38957_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _38923_.X _38930_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38924_.X _38925_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38924_.X _38941_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38924_.X _38942_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38924_.X _38947_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38925_.X _38930_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _38925_.X _38932_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _38925_.X _38937_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _38925_.X _38955_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _38925_.X _38957_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _38926_.Y _38930_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _38926_.Y _38935_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _38926_.Y _38946_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _38926_.Y _38948_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _38927_.X _38929_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38927_.X _38940_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38928_.Y _38929_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38928_.Y _38941_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38928_.Y _38942_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38929_.X _38930_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38930_.Y _38931_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38930_.Y _38943_.A2_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38930_.Y _38993_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38931_.X _38932_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _38931_.X _38937_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _38931_.X _38944_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _38931_.X _38951_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _38931_.X _38954_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _38931_.X _38955_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38931_.X _38957_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _38932_.Y _38938_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38933_.X _38936_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38933_.X _38937_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38934_.Y _38935_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38935_.Y _38936_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38935_.Y _38937_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38936_.Y _38938_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38937_.X _38938_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38938_.Y _38968_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _38938_.Y _38992_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38939_.X _38940_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38940_.X _38945_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38940_.X _38994_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38941_.X _38943_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38942_.Y _38943_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38943_.X _38944_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38943_.X _38956_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38944_.X _38945_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38945_.Y _38968_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38946_.X _38948_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38947_.X _38948_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38948_.X _38950_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38949_.Y _38950_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38950_.Y _38951_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38951_.Y _38958_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _38951_.Y _38992_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38952_.X _38954_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38953_.Y _38954_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38954_.X _38958_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _38954_.X _38992_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38955_.X _38958_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38955_.X _38993_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38956_.Y _38958_.C1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _38956_.Y _38994_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38956_.Y _38995_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38957_.Y _38958_.D1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _38957_.Y _38993_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38957_.Y _38994_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _38957_.Y _38995_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38958_.Y _38968_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38959_.X _38964_.A (0.028:0.028:0.028) (0.026:0.026:0.026))
+ (INTERCONNECT _38959_.X _38967_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _38959_.X _38970_.S1 (0.047:0.047:0.047) (0.044:0.044:0.044))
+ (INTERCONNECT _38959_.X _39058_.A (0.021:0.021:0.021) (0.020:0.020:0.020))
+ (INTERCONNECT _38959_.X _39065_.S1 (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _38959_.X _39076_.S1 (0.048:0.048:0.048) (0.044:0.044:0.044))
+ (INTERCONNECT _38959_.X _39088_.S1 (0.048:0.048:0.048) (0.044:0.044:0.044))
+ (INTERCONNECT _38959_.X _39095_.S1 (0.050:0.050:0.050) (0.047:0.047:0.047))
+ (INTERCONNECT _38959_.X _39101_.S1 (0.047:0.047:0.047) (0.044:0.044:0.044))
+ (INTERCONNECT _38959_.X _39108_.S1 (0.055:0.055:0.055) (0.051:0.051:0.051))
+ (INTERCONNECT _38959_.X _39112_.S1 (0.054:0.054:0.054) (0.051:0.051:0.051))
+ (INTERCONNECT _38959_.X _39120_.S1 (0.055:0.055:0.055) (0.052:0.052:0.052))
+ (INTERCONNECT _38959_.X _39125_.S1 (0.055:0.055:0.055) (0.051:0.051:0.051))
+ (INTERCONNECT _38959_.X _39132_.S1 (0.056:0.056:0.056) (0.052:0.052:0.052))
+ (INTERCONNECT _38959_.X _39136_.S1 (0.055:0.055:0.055) (0.052:0.052:0.052))
+ (INTERCONNECT _38959_.X _39144_.S1 (0.053:0.053:0.053) (0.050:0.050:0.050))
+ (INTERCONNECT _38959_.X _39150_.S1 (0.054:0.054:0.054) (0.051:0.051:0.051))
+ (INTERCONNECT _38959_.X _39156_.S1 (0.053:0.053:0.053) (0.050:0.050:0.050))
+ (INTERCONNECT _38959_.X _39257_.S1 (0.046:0.046:0.046) (0.043:0.043:0.043))
+ (INTERCONNECT _38959_.X ANTENNA__39257__S1.DIODE (0.046:0.046:0.046) (0.043:0.043:0.043))
+ (INTERCONNECT _38959_.X ANTENNA__39156__S1.DIODE (0.054:0.054:0.054) (0.050:0.050:0.050))
+ (INTERCONNECT _38959_.X ANTENNA__39150__S1.DIODE (0.055:0.055:0.055) (0.051:0.051:0.051))
+ (INTERCONNECT _38959_.X ANTENNA__39144__S1.DIODE (0.053:0.053:0.053) (0.049:0.049:0.049))
+ (INTERCONNECT _38959_.X ANTENNA__39136__S1.DIODE (0.055:0.055:0.055) (0.052:0.052:0.052))
+ (INTERCONNECT _38959_.X ANTENNA__39132__S1.DIODE (0.055:0.055:0.055) (0.052:0.052:0.052))
+ (INTERCONNECT _38959_.X ANTENNA__39125__S1.DIODE (0.055:0.055:0.055) (0.051:0.051:0.051))
+ (INTERCONNECT _38959_.X ANTENNA__39120__S1.DIODE (0.055:0.055:0.055) (0.052:0.052:0.052))
+ (INTERCONNECT _38959_.X ANTENNA__39112__S1.DIODE (0.054:0.054:0.054) (0.050:0.050:0.050))
+ (INTERCONNECT _38959_.X ANTENNA__39108__S1.DIODE (0.055:0.055:0.055) (0.051:0.051:0.051))
+ (INTERCONNECT _38959_.X ANTENNA__39101__S1.DIODE (0.047:0.047:0.047) (0.044:0.044:0.044))
+ (INTERCONNECT _38959_.X ANTENNA__39095__S1.DIODE (0.049:0.049:0.049) (0.046:0.046:0.046))
+ (INTERCONNECT _38959_.X ANTENNA__39088__S1.DIODE (0.047:0.047:0.047) (0.044:0.044:0.044))
+ (INTERCONNECT _38959_.X ANTENNA__39076__S1.DIODE (0.048:0.048:0.048) (0.044:0.044:0.044))
+ (INTERCONNECT _38959_.X ANTENNA__39065__S1.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _38959_.X ANTENNA__39058__A.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017))
+ (INTERCONNECT _38959_.X ANTENNA__38970__S1.DIODE (0.047:0.047:0.047) (0.044:0.044:0.044))
+ (INTERCONNECT _38959_.X ANTENNA__38967__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _38959_.X ANTENNA__38964__A.DIODE (0.028:0.028:0.028) (0.026:0.026:0.026))
+ (INTERCONNECT _38960_.X _38967_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38961_.X _38967_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38962_.Y _38965_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38963_.X _38965_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38964_.Y _38965_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _38964_.Y _59702_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _38964_.Y _61450_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _38964_.Y ANTENNA__61450__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _38964_.Y ANTENNA__59702__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _38964_.Y ANTENNA__38965__C1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _38965_.X _38967_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38966_.Y _38967_.C1 (0.016:0.016:0.016) (0.015:0.015:0.015))
+ (INTERCONNECT _38966_.Y _40637_.B (0.019:0.019:0.019) (0.018:0.018:0.018))
+ (INTERCONNECT _38966_.Y _42323_.B2 (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _38966_.Y _66643_.A (0.017:0.017:0.017) (0.017:0.017:0.017))
+ (INTERCONNECT _38966_.Y _66702_.A (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _38966_.Y _66766_.A (0.017:0.017:0.017) (0.016:0.016:0.016))
+ (INTERCONNECT _38966_.Y _66835_.A (0.021:0.021:0.021) (0.020:0.020:0.020))
+ (INTERCONNECT _38966_.Y _66899_.A (0.023:0.023:0.023) (0.022:0.022:0.022))
+ (INTERCONNECT _38966_.Y _66961_.A (0.024:0.024:0.024) (0.023:0.023:0.023))
+ (INTERCONNECT _38966_.Y _67023_.A (0.024:0.024:0.024) (0.023:0.023:0.023))
+ (INTERCONNECT _38966_.Y _67084_.B (0.019:0.019:0.019) (0.018:0.018:0.018))
+ (INTERCONNECT _38966_.Y _67086_.B (0.019:0.019:0.019) (0.018:0.018:0.018))
+ (INTERCONNECT _38966_.Y _67088_.B (0.018:0.018:0.018) (0.017:0.017:0.017))
+ (INTERCONNECT _38966_.Y _67090_.B (0.018:0.018:0.018) (0.017:0.017:0.017))
+ (INTERCONNECT _38966_.Y _67092_.B (0.018:0.018:0.018) (0.017:0.017:0.017))
+ (INTERCONNECT _38966_.Y ANTENNA__67092__B.DIODE (0.017:0.017:0.017) (0.016:0.016:0.016))
+ (INTERCONNECT _38966_.Y ANTENNA__67090__B.DIODE (0.017:0.017:0.017) (0.016:0.016:0.016))
+ (INTERCONNECT _38966_.Y ANTENNA__67088__B.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017))
+ (INTERCONNECT _38966_.Y ANTENNA__67086__B.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017))
+ (INTERCONNECT _38966_.Y ANTENNA__67084__B.DIODE (0.019:0.019:0.019) (0.018:0.018:0.018))
+ (INTERCONNECT _38966_.Y ANTENNA__67023__A.DIODE (0.024:0.024:0.024) (0.023:0.023:0.023))
+ (INTERCONNECT _38966_.Y ANTENNA__66961__A.DIODE (0.024:0.024:0.024) (0.023:0.023:0.023))
+ (INTERCONNECT _38966_.Y ANTENNA__66899__A.DIODE (0.023:0.023:0.023) (0.022:0.022:0.022))
+ (INTERCONNECT _38966_.Y ANTENNA__66835__A.DIODE (0.020:0.020:0.020) (0.020:0.020:0.020))
+ (INTERCONNECT _38966_.Y ANTENNA__66766__A.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014))
+ (INTERCONNECT _38966_.Y ANTENNA__66702__A.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _38966_.Y ANTENNA__66643__A.DIODE (0.017:0.017:0.017) (0.017:0.017:0.017))
+ (INTERCONNECT _38966_.Y ANTENNA__42323__B2.DIODE (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _38966_.Y ANTENNA__40637__B.DIODE (0.019:0.019:0.019) (0.018:0.018:0.018))
+ (INTERCONNECT _38966_.Y ANTENNA__38967__C1.DIODE (0.016:0.016:0.016) (0.015:0.015:0.015))
+ (INTERCONNECT _38967_.X _38968_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _38967_.X ANTENNA__38968__B1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _38968_.Y _40668_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _38968_.Y _41178_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _38968_.Y _43131_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _38968_.Y _59705_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _38968_.Y _59722_.A_N (0.006:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _38968_.Y _59740_.A_N (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _38968_.Y _39264_.A2 (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _38968_.Y _61463_.A2 (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _38968_.Y _39267_.A2 (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _38968_.Y _61464_.A2 (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _38968_.Y repeater109.A (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _38968_.Y ANTENNA_repeater109_A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _38968_.Y ANTENNA__61464__A2.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _38968_.Y ANTENNA__39267__A2.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _38968_.Y ANTENNA__61463__A2.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _38968_.Y ANTENNA__39264__A2.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _38968_.Y ANTENNA__59740__A_N.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _38968_.Y ANTENNA__59722__A_N.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _38968_.Y ANTENNA__59705__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _38968_.Y ANTENNA__43131__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _38968_.Y ANTENNA__41178__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _38968_.Y ANTENNA__40668__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _38969_.X _38970_.S0 (0.031:0.031:0.031) (0.028:0.028:0.028))
+ (INTERCONNECT _38969_.X _39056_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _38969_.X _39076_.S0 (0.037:0.037:0.037) (0.033:0.033:0.033))
+ (INTERCONNECT _38969_.X _39088_.S0 (0.036:0.036:0.036) (0.032:0.032:0.032))
+ (INTERCONNECT _38969_.X _39095_.S0 (0.038:0.038:0.038) (0.034:0.034:0.034))
+ (INTERCONNECT _38969_.X _39101_.S0 (0.035:0.035:0.035) (0.032:0.032:0.032))
+ (INTERCONNECT _38969_.X _39108_.S0 (0.040:0.040:0.040) (0.035:0.035:0.035))
+ (INTERCONNECT _38969_.X _39112_.S0 (0.040:0.040:0.040) (0.036:0.036:0.036))
+ (INTERCONNECT _38969_.X _39120_.S0 (0.041:0.041:0.041) (0.036:0.036:0.036))
+ (INTERCONNECT _38969_.X _39125_.S0 (0.039:0.039:0.039) (0.035:0.035:0.035))
+ (INTERCONNECT _38969_.X _39132_.S0 (0.041:0.041:0.041) (0.037:0.037:0.037))
+ (INTERCONNECT _38969_.X _39136_.S0 (0.041:0.041:0.041) (0.037:0.037:0.037))
+ (INTERCONNECT _38969_.X _39144_.S0 (0.041:0.041:0.041) (0.037:0.037:0.037))
+ (INTERCONNECT _38969_.X _39150_.S0 (0.042:0.042:0.042) (0.037:0.037:0.037))
+ (INTERCONNECT _38969_.X _39156_.S0 (0.042:0.042:0.042) (0.037:0.037:0.037))
+ (INTERCONNECT _38969_.X _39161_.S0 (0.042:0.042:0.042) (0.038:0.038:0.038))
+ (INTERCONNECT _38969_.X _39257_.S0 (0.037:0.037:0.037) (0.033:0.033:0.033))
+ (INTERCONNECT _38969_.X _41249_.S0 (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _38969_.X _41251_.S0 (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _38969_.X _41256_.S0 (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _38969_.X ANTENNA__41256__S0.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _38969_.X ANTENNA__41251__S0.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _38969_.X ANTENNA__41249__S0.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _38969_.X ANTENNA__39257__S0.DIODE (0.036:0.036:0.036) (0.033:0.033:0.033))
+ (INTERCONNECT _38969_.X ANTENNA__39161__S0.DIODE (0.042:0.042:0.042) (0.038:0.038:0.038))
+ (INTERCONNECT _38969_.X ANTENNA__39156__S0.DIODE (0.042:0.042:0.042) (0.037:0.037:0.037))
+ (INTERCONNECT _38969_.X ANTENNA__39150__S0.DIODE (0.042:0.042:0.042) (0.037:0.037:0.037))
+ (INTERCONNECT _38969_.X ANTENNA__39144__S0.DIODE (0.040:0.040:0.040) (0.036:0.036:0.036))
+ (INTERCONNECT _38969_.X ANTENNA__39136__S0.DIODE (0.041:0.041:0.041) (0.037:0.037:0.037))
+ (INTERCONNECT _38969_.X ANTENNA__39132__S0.DIODE (0.041:0.041:0.041) (0.037:0.037:0.037))
+ (INTERCONNECT _38969_.X ANTENNA__39125__S0.DIODE (0.039:0.039:0.039) (0.035:0.035:0.035))
+ (INTERCONNECT _38969_.X ANTENNA__39120__S0.DIODE (0.041:0.041:0.041) (0.036:0.036:0.036))
+ (INTERCONNECT _38969_.X ANTENNA__39112__S0.DIODE (0.040:0.040:0.040) (0.036:0.036:0.036))
+ (INTERCONNECT _38969_.X ANTENNA__39108__S0.DIODE (0.039:0.039:0.039) (0.035:0.035:0.035))
+ (INTERCONNECT _38969_.X ANTENNA__39101__S0.DIODE (0.035:0.035:0.035) (0.031:0.031:0.031))
+ (INTERCONNECT _38969_.X ANTENNA__39095__S0.DIODE (0.038:0.038:0.038) (0.034:0.034:0.034))
+ (INTERCONNECT _38969_.X ANTENNA__39088__S0.DIODE (0.036:0.036:0.036) (0.032:0.032:0.032))
+ (INTERCONNECT _38969_.X ANTENNA__39076__S0.DIODE (0.037:0.037:0.037) (0.033:0.033:0.033))
+ (INTERCONNECT _38969_.X ANTENNA__39056__A.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _38969_.X ANTENNA__38970__S0.DIODE (0.028:0.028:0.028) (0.025:0.025:0.025))
+ (INTERCONNECT _38970_.X _38971_.B (0.000:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _38970_.X _39077_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _38970_.X _39078_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _38970_.X _39089_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _38970_.X _39090_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _38971_.X _38972_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38972_.X _38966_.A (0.017:0.017:0.017) (0.015:0.015:0.015))
+ (INTERCONNECT _38972_.X _41674_.A (0.016:0.016:0.016) (0.014:0.014:0.014))
+ (INTERCONNECT _38972_.X _42743_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _38972_.X _72516_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _38972_.X _72551_.B (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _38972_.X _72552_.A1 (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _38972_.X _73683_.C (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _38972_.X ANTENNA__73683__C.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _38972_.X ANTENNA__72552__A1.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _38972_.X ANTENNA__72551__B.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _38972_.X ANTENNA__72516__A1.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _38972_.X ANTENNA__42743__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _38972_.X ANTENNA__41674__A.DIODE (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _38972_.X ANTENNA__38966__A.DIODE (0.017:0.017:0.017) (0.015:0.015:0.015))
+ (INTERCONNECT _38973_.X _38980_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38974_.X _38980_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38975_.X _38979_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38976_.X _38979_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38977_.Y _38979_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38978_.X _38979_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38979_.X _38980_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38980_.X _38981_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38980_.X _38985_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38980_.X _40646_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38981_.Y _78318_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38982_.X _38983_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38983_.X _78315_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38984_.Y _38985_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38985_.X _38986_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38985_.X _40647_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38986_.Y _78314_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38987_.X _38988_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38988_.X _78269_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38989_.X _38990_.A (0.050:0.050:0.050) (0.048:0.048:0.048))
+ (INTERCONNECT _38989_.X _39005_.A (0.058:0.058:0.058) (0.056:0.056:0.056))
+ (INTERCONNECT _38989_.X _41269_.B (0.066:0.066:0.066) (0.064:0.064:0.064))
+ (INTERCONNECT _38989_.X _41662_.A (0.060:0.060:0.060) (0.058:0.058:0.058))
+ (INTERCONNECT _38989_.X _42647_.A (0.059:0.059:0.059) (0.057:0.057:0.057))
+ (INTERCONNECT _38989_.X _43132_.A (0.057:0.057:0.057) (0.055:0.055:0.055))
+ (INTERCONNECT _38989_.X _66321_.A (0.059:0.059:0.059) (0.058:0.058:0.058))
+ (INTERCONNECT _38989_.X _72515_.A1 (0.057:0.057:0.057) (0.055:0.055:0.055))
+ (INTERCONNECT _38989_.X ANTENNA__72515__A1.DIODE (0.057:0.057:0.057) (0.056:0.056:0.056))
+ (INTERCONNECT _38989_.X ANTENNA__66321__A.DIODE (0.059:0.059:0.059) (0.058:0.058:0.058))
+ (INTERCONNECT _38989_.X ANTENNA__43132__A.DIODE (0.056:0.056:0.056) (0.054:0.054:0.054))
+ (INTERCONNECT _38989_.X ANTENNA__42647__A.DIODE (0.059:0.059:0.059) (0.057:0.057:0.057))
+ (INTERCONNECT _38989_.X ANTENNA__41662__A.DIODE (0.060:0.060:0.060) (0.058:0.058:0.058))
+ (INTERCONNECT _38989_.X ANTENNA__41269__B.DIODE (0.066:0.066:0.066) (0.064:0.064:0.064))
+ (INTERCONNECT _38989_.X ANTENNA__39005__A.DIODE (0.058:0.058:0.058) (0.056:0.056:0.056))
+ (INTERCONNECT _38989_.X ANTENNA__38990__A.DIODE (0.051:0.051:0.051) (0.049:0.049:0.049))
+ (INTERCONNECT _38990_.Y _38991_.A (0.030:0.030:0.030) (0.029:0.029:0.029))
+ (INTERCONNECT _38990_.Y _39027_.A (0.029:0.029:0.029) (0.028:0.028:0.028))
+ (INTERCONNECT _38990_.Y _39253_.A (0.031:0.031:0.031) (0.030:0.030:0.030))
+ (INTERCONNECT _38990_.Y _40205_.A1 (0.024:0.024:0.024) (0.023:0.023:0.023))
+ (INTERCONNECT _38990_.Y _40668_.A (0.016:0.016:0.016) (0.016:0.016:0.016))
+ (INTERCONNECT _38990_.Y _41178_.A (0.020:0.020:0.020) (0.019:0.019:0.019))
+ (INTERCONNECT _38990_.Y _41203_.A (0.027:0.027:0.027) (0.026:0.026:0.026))
+ (INTERCONNECT _38990_.Y _41236_.B (0.023:0.023:0.023) (0.023:0.023:0.023))
+ (INTERCONNECT _38990_.Y _41286_.B (0.034:0.034:0.034) (0.033:0.033:0.033))
+ (INTERCONNECT _38990_.Y _43359_.A (0.031:0.031:0.031) (0.029:0.029:0.029))
+ (INTERCONNECT _38990_.Y _43434_.A (0.031:0.031:0.031) (0.029:0.029:0.029))
+ (INTERCONNECT _38990_.Y _43504_.A (0.031:0.031:0.031) (0.029:0.029:0.029))
+ (INTERCONNECT _38990_.Y _72513_.A (0.025:0.025:0.025) (0.024:0.024:0.024))
+ (INTERCONNECT _38990_.Y _72985_.A (0.031:0.031:0.031) (0.029:0.029:0.029))
+ (INTERCONNECT _38990_.Y _77724_.A (0.026:0.026:0.026) (0.025:0.025:0.025))
+ (INTERCONNECT _38990_.Y ANTENNA__77724__A.DIODE (0.026:0.026:0.026) (0.025:0.025:0.025))
+ (INTERCONNECT _38990_.Y ANTENNA__72985__A.DIODE (0.030:0.030:0.030) (0.028:0.028:0.028))
+ (INTERCONNECT _38990_.Y ANTENNA__72513__A.DIODE (0.024:0.024:0.024) (0.023:0.023:0.023))
+ (INTERCONNECT _38990_.Y ANTENNA__43504__A.DIODE (0.031:0.031:0.031) (0.029:0.029:0.029))
+ (INTERCONNECT _38990_.Y ANTENNA__43434__A.DIODE (0.031:0.031:0.031) (0.029:0.029:0.029))
+ (INTERCONNECT _38990_.Y ANTENNA__43359__A.DIODE (0.030:0.030:0.030) (0.028:0.028:0.028))
+ (INTERCONNECT _38990_.Y ANTENNA__41286__B.DIODE (0.034:0.034:0.034) (0.033:0.033:0.033))
+ (INTERCONNECT _38990_.Y ANTENNA__41236__B.DIODE (0.023:0.023:0.023) (0.022:0.022:0.022))
+ (INTERCONNECT _38990_.Y ANTENNA__41203__A.DIODE (0.027:0.027:0.027) (0.026:0.026:0.026))
+ (INTERCONNECT _38990_.Y ANTENNA__41178__A.DIODE (0.020:0.020:0.020) (0.019:0.019:0.019))
+ (INTERCONNECT _38990_.Y ANTENNA__40668__A.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013))
+ (INTERCONNECT _38990_.Y ANTENNA__40205__A1.DIODE (0.024:0.024:0.024) (0.023:0.023:0.023))
+ (INTERCONNECT _38990_.Y ANTENNA__39253__A.DIODE (0.031:0.031:0.031) (0.030:0.030:0.030))
+ (INTERCONNECT _38990_.Y ANTENNA__39027__A.DIODE (0.030:0.030:0.030) (0.028:0.028:0.028))
+ (INTERCONNECT _38990_.Y ANTENNA__38991__A.DIODE (0.030:0.030:0.030) (0.029:0.029:0.029))
+ (INTERCONNECT _38991_.X _39001_.A (0.014:0.014:0.014) (0.014:0.014:0.014))
+ (INTERCONNECT _38991_.X _39015_.A (0.019:0.019:0.019) (0.017:0.017:0.017))
+ (INTERCONNECT _38991_.X _39042_.A (0.036:0.036:0.036) (0.033:0.033:0.033))
+ (INTERCONNECT _38991_.X _41205_.A (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _38991_.X _47248_.A (0.058:0.058:0.058) (0.054:0.054:0.054))
+ (INTERCONNECT _38991_.X _49768_.A (0.061:0.061:0.061) (0.056:0.056:0.056))
+ (INTERCONNECT _38991_.X _51672_.A (0.056:0.056:0.056) (0.052:0.052:0.052))
+ (INTERCONNECT _38991_.X _58609_.A (0.060:0.060:0.060) (0.056:0.056:0.056))
+ (INTERCONNECT _38991_.X _59549_.A (0.057:0.057:0.057) (0.053:0.053:0.053))
+ (INTERCONNECT _38991_.X _59935_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _38991_.X _60212_.A (0.059:0.059:0.059) (0.055:0.055:0.055))
+ (INTERCONNECT _38991_.X ANTENNA__60212__A.DIODE (0.058:0.058:0.058) (0.053:0.053:0.053))
+ (INTERCONNECT _38991_.X ANTENNA__59935__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _38991_.X ANTENNA__59549__A.DIODE (0.058:0.058:0.058) (0.054:0.054:0.054))
+ (INTERCONNECT _38991_.X ANTENNA__58609__A.DIODE (0.060:0.060:0.060) (0.056:0.056:0.056))
+ (INTERCONNECT _38991_.X ANTENNA__51672__A.DIODE (0.054:0.054:0.054) (0.051:0.051:0.051))
+ (INTERCONNECT _38991_.X ANTENNA__49768__A.DIODE (0.060:0.060:0.060) (0.056:0.056:0.056))
+ (INTERCONNECT _38991_.X ANTENNA__47248__A.DIODE (0.058:0.058:0.058) (0.054:0.054:0.054))
+ (INTERCONNECT _38991_.X ANTENNA__41205__A.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _38991_.X ANTENNA__39042__A.DIODE (0.034:0.034:0.034) (0.032:0.032:0.032))
+ (INTERCONNECT _38991_.X ANTENNA__39015__A.DIODE (0.019:0.019:0.019) (0.018:0.018:0.018))
+ (INTERCONNECT _38991_.X ANTENNA__39001__A.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _38992_.X _38997_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38993_.Y _38995_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38994_.Y _38995_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38995_.X _38997_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38996_.X _38997_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _38996_.X ANTENNA__38997__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _38997_.Y _39000_.B (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _38997_.Y _39011_.B (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _38997_.Y _73520_.B (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _38997_.Y ANTENNA__73520__B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _38997_.Y ANTENNA__39011__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _38997_.Y ANTENNA__39000__B.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _38998_.X _38999_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _38999_.X _39000_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _38999_.X _43762_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _38999_.X _58183_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _38999_.X _58184_.B2 (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _38999_.X _58185_.B2 (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _38999_.X _58186_.B2 (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _38999_.X _58187_.B2 (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _38999_.X _58188_.B2 (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _38999_.X _66222_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _38999_.X ANTENNA__66222__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _38999_.X ANTENNA__58188__B2.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _38999_.X ANTENNA__58187__B2.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _38999_.X ANTENNA__58186__B2.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _38999_.X ANTENNA__58185__B2.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _38999_.X ANTENNA__58184__B2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _38999_.X ANTENNA__58183__B2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _38999_.X ANTENNA__43762__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _38999_.X ANTENNA__39000__C.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39000_.X _39001_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39000_.X _39006_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39000_.X _43497_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39001_.Y _39002_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39002_.X _39003_.A (0.022:0.022:0.022) (0.020:0.020:0.020))
+ (INTERCONNECT _39002_.X _50103_.A (0.038:0.038:0.038) (0.034:0.034:0.034))
+ (INTERCONNECT _39002_.X _50123_.A (0.036:0.036:0.036) (0.033:0.033:0.033))
+ (INTERCONNECT _39002_.X _55137_.A (0.018:0.018:0.018) (0.017:0.017:0.017))
+ (INTERCONNECT _39002_.X _55157_.A (0.018:0.018:0.018) (0.017:0.017:0.017))
+ (INTERCONNECT _39002_.X _55177_.A (0.011:0.011:0.011) (0.011:0.011:0.011))
+ (INTERCONNECT _39002_.X _55197_.A (0.028:0.028:0.028) (0.026:0.026:0.026))
+ (INTERCONNECT _39002_.X _55402_.A (0.013:0.013:0.013) (0.013:0.013:0.013))
+ (INTERCONNECT _39002_.X _55422_.A (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _39002_.X _59691_.A (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _39002_.X ANTENNA__59691__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39002_.X ANTENNA__55422__A.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _39002_.X ANTENNA__55402__A.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+ (INTERCONNECT _39002_.X ANTENNA__55197__A.DIODE (0.029:0.029:0.029) (0.026:0.026:0.026))
+ (INTERCONNECT _39002_.X ANTENNA__55177__A.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+ (INTERCONNECT _39002_.X ANTENNA__55157__A.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017))
+ (INTERCONNECT _39002_.X ANTENNA__55137__A.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017))
+ (INTERCONNECT _39002_.X ANTENNA__50123__A.DIODE (0.035:0.035:0.035) (0.032:0.032:0.032))
+ (INTERCONNECT _39002_.X ANTENNA__50103__A.DIODE (0.038:0.038:0.038) (0.034:0.034:0.034))
+ (INTERCONNECT _39002_.X ANTENNA__39003__A.DIODE (0.021:0.021:0.021) (0.020:0.020:0.020))
+ (INTERCONNECT _39003_.X _39004_.A (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _39003_.X _41213_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39003_.X _43539_.B1 (0.021:0.021:0.021) (0.019:0.019:0.019))
+ (INTERCONNECT _39003_.X _43540_.B1 (0.019:0.019:0.019) (0.017:0.017:0.017))
+ (INTERCONNECT _39003_.X _43541_.B1 (0.021:0.021:0.021) (0.019:0.019:0.019))
+ (INTERCONNECT _39003_.X _43542_.B1 (0.027:0.027:0.027) (0.024:0.024:0.024))
+ (INTERCONNECT _39003_.X _43543_.B1 (0.027:0.027:0.027) (0.024:0.024:0.024))
+ (INTERCONNECT _39003_.X _48260_.A (0.026:0.026:0.026) (0.023:0.023:0.023))
+ (INTERCONNECT _39003_.X _50063_.A (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _39003_.X _50083_.A (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _39003_.X _58184_.A2 (0.025:0.025:0.025) (0.023:0.023:0.023))
+ (INTERCONNECT _39003_.X _58185_.A2 (0.026:0.026:0.026) (0.024:0.024:0.024))
+ (INTERCONNECT _39003_.X _58186_.A2 (0.026:0.026:0.026) (0.023:0.023:0.023))
+ (INTERCONNECT _39003_.X _58187_.A2 (0.026:0.026:0.026) (0.023:0.023:0.023))
+ (INTERCONNECT _39003_.X _58188_.A2 (0.027:0.027:0.027) (0.024:0.024:0.024))
+ (INTERCONNECT _39003_.X ANTENNA__58188__A2.DIODE (0.027:0.027:0.027) (0.024:0.024:0.024))
+ (INTERCONNECT _39003_.X ANTENNA__58187__A2.DIODE (0.026:0.026:0.026) (0.023:0.023:0.023))
+ (INTERCONNECT _39003_.X ANTENNA__58186__A2.DIODE (0.026:0.026:0.026) (0.023:0.023:0.023))
+ (INTERCONNECT _39003_.X ANTENNA__58185__A2.DIODE (0.026:0.026:0.026) (0.024:0.024:0.024))
+ (INTERCONNECT _39003_.X ANTENNA__58184__A2.DIODE (0.025:0.025:0.025) (0.022:0.022:0.022))
+ (INTERCONNECT _39003_.X ANTENNA__50083__A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _39003_.X ANTENNA__50063__A.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _39003_.X ANTENNA__48260__A.DIODE (0.026:0.026:0.026) (0.023:0.023:0.023))
+ (INTERCONNECT _39003_.X ANTENNA__43543__B1.DIODE (0.027:0.027:0.027) (0.024:0.024:0.024))
+ (INTERCONNECT _39003_.X ANTENNA__43542__B1.DIODE (0.027:0.027:0.027) (0.024:0.024:0.024))
+ (INTERCONNECT _39003_.X ANTENNA__43541__B1.DIODE (0.021:0.021:0.021) (0.019:0.019:0.019))
+ (INTERCONNECT _39003_.X ANTENNA__43540__B1.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017))
+ (INTERCONNECT _39003_.X ANTENNA__43539__B1.DIODE (0.021:0.021:0.021) (0.019:0.019:0.019))
+ (INTERCONNECT _39003_.X ANTENNA__41213__A2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39003_.X ANTENNA__39004__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _39004_.X _39010_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39004_.X _41228_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39004_.X _43763_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _39004_.X _43764_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39004_.X _43765_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39004_.X _43766_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _39004_.X _43767_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _39004_.X _43768_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39004_.X _44139_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39004_.X _44140_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39004_.X _44141_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39004_.X _44142_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39004_.X _44143_.A2 (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _39004_.X _44144_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39004_.X _48257_.A2 (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _39004_.X _48258_.A2 (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _39004_.X _48259_.A2 (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _39004_.X _66225_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39004_.X ANTENNA__66225__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39004_.X ANTENNA__48259__A2.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _39004_.X ANTENNA__48258__A2.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _39004_.X ANTENNA__48257__A2.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _39004_.X ANTENNA__44144__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39004_.X ANTENNA__44143__A2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39004_.X ANTENNA__44142__A2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39004_.X ANTENNA__44141__A2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39004_.X ANTENNA__44140__A2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39004_.X ANTENNA__44139__A2.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39004_.X ANTENNA__43768__A2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39004_.X ANTENNA__43767__A2.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _39004_.X ANTENNA__43766__A2.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _39004_.X ANTENNA__43765__A2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39004_.X ANTENNA__43764__A2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39004_.X ANTENNA__43763__A2.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _39004_.X ANTENNA__41228__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39004_.X ANTENNA__39010__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39005_.X _39006_.A (0.023:0.023:0.023) (0.022:0.022:0.022))
+ (INTERCONNECT _39005_.X _39019_.A (0.024:0.024:0.024) (0.023:0.023:0.023))
+ (INTERCONNECT _39005_.X _39030_.A (0.018:0.018:0.018) (0.018:0.018:0.018))
+ (INTERCONNECT _39005_.X _39035_.A (0.122:0.122:0.122) (0.112:0.112:0.112))
+ (INTERCONNECT _39005_.X _40637_.A (0.031:0.031:0.031) (0.029:0.029:0.029))
+ (INTERCONNECT _39005_.X _41200_.A (0.028:0.028:0.028) (0.026:0.026:0.026))
+ (INTERCONNECT _39005_.X _41202_.D (0.028:0.028:0.028) (0.026:0.026:0.026))
+ (INTERCONNECT _39005_.X _41210_.B (0.027:0.027:0.027) (0.026:0.026:0.026))
+ (INTERCONNECT _39005_.X _41215_.D (0.028:0.028:0.028) (0.026:0.026:0.026))
+ (INTERCONNECT _39005_.X _41221_.B (0.027:0.027:0.027) (0.026:0.026:0.026))
+ (INTERCONNECT _39005_.X _41593_.A (0.126:0.126:0.126) (0.115:0.115:0.115))
+ (INTERCONNECT _39005_.X _42045_.A (0.125:0.125:0.125) (0.114:0.114:0.114))
+ (INTERCONNECT _39005_.X _42054_.A (0.122:0.122:0.122) (0.111:0.111:0.111))
+ (INTERCONNECT _39005_.X _43469_.A (0.020:0.020:0.020) (0.019:0.019:0.019))
+ (INTERCONNECT _39005_.X _43744_.A (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _39005_.X _50270_.C1 (0.125:0.125:0.125) (0.115:0.115:0.115))
+ (INTERCONNECT _39005_.X _50971_.C1 (0.125:0.125:0.125) (0.115:0.115:0.115))
+ (INTERCONNECT _39005_.X _59759_.A (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _39005_.X _66238_.A (0.026:0.026:0.026) (0.025:0.025:0.025))
+ (INTERCONNECT _39005_.X _77117_.A (0.093:0.093:0.093) (0.085:0.085:0.085))
+ (INTERCONNECT _39005_.X ANTENNA__77117__A.DIODE (0.093:0.093:0.093) (0.085:0.085:0.085))
+ (INTERCONNECT _39005_.X ANTENNA__66238__A.DIODE (0.026:0.026:0.026) (0.025:0.025:0.025))
+ (INTERCONNECT _39005_.X ANTENNA__59759__A.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _39005_.X ANTENNA__50971__C1.DIODE (0.126:0.126:0.126) (0.115:0.115:0.115))
+ (INTERCONNECT _39005_.X ANTENNA__50270__C1.DIODE (0.126:0.126:0.126) (0.115:0.115:0.115))
+ (INTERCONNECT _39005_.X ANTENNA__43744__A.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _39005_.X ANTENNA__43469__A.DIODE (0.020:0.020:0.020) (0.019:0.019:0.019))
+ (INTERCONNECT _39005_.X ANTENNA__42054__A.DIODE (0.122:0.122:0.122) (0.111:0.111:0.111))
+ (INTERCONNECT _39005_.X ANTENNA__42045__A.DIODE (0.124:0.124:0.124) (0.113:0.113:0.113))
+ (INTERCONNECT _39005_.X ANTENNA__41593__A.DIODE (0.126:0.126:0.126) (0.115:0.115:0.115))
+ (INTERCONNECT _39005_.X ANTENNA__41221__B.DIODE (0.027:0.027:0.027) (0.026:0.026:0.026))
+ (INTERCONNECT _39005_.X ANTENNA__41215__D.DIODE (0.027:0.027:0.027) (0.026:0.026:0.026))
+ (INTERCONNECT _39005_.X ANTENNA__41210__B.DIODE (0.028:0.028:0.028) (0.026:0.026:0.026))
+ (INTERCONNECT _39005_.X ANTENNA__41202__D.DIODE (0.027:0.027:0.027) (0.026:0.026:0.026))
+ (INTERCONNECT _39005_.X ANTENNA__41200__A.DIODE (0.028:0.028:0.028) (0.026:0.026:0.026))
+ (INTERCONNECT _39005_.X ANTENNA__40637__A.DIODE (0.031:0.031:0.031) (0.029:0.029:0.029))
+ (INTERCONNECT _39005_.X ANTENNA__39035__A.DIODE (0.122:0.122:0.122) (0.112:0.112:0.112))
+ (INTERCONNECT _39005_.X ANTENNA__39030__A.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017))
+ (INTERCONNECT _39005_.X ANTENNA__39019__A.DIODE (0.024:0.024:0.024) (0.023:0.023:0.023))
+ (INTERCONNECT _39005_.X ANTENNA__39006__A.DIODE (0.024:0.024:0.024) (0.023:0.023:0.023))
+ (INTERCONNECT _39006_.X _39007_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39007_.X _39008_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39007_.X _43538_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39007_.X _55403_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39008_.X _39009_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39008_.X _41213_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39008_.X _43542_.A3 (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _39008_.X _43543_.A3 (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _39008_.X _50068_.A (0.030:0.030:0.030) (0.027:0.027:0.027))
+ (INTERCONNECT _39008_.X _50090_.A (0.041:0.041:0.041) (0.037:0.037:0.037))
+ (INTERCONNECT _39008_.X _50112_.A (0.041:0.041:0.041) (0.037:0.037:0.037))
+ (INTERCONNECT _39008_.X _50134_.A (0.039:0.039:0.039) (0.035:0.035:0.035))
+ (INTERCONNECT _39008_.X _55150_.A (0.031:0.031:0.031) (0.028:0.028:0.028))
+ (INTERCONNECT _39008_.X _55172_.A (0.031:0.031:0.031) (0.028:0.028:0.028))
+ (INTERCONNECT _39008_.X _55194_.A (0.038:0.038:0.038) (0.034:0.034:0.034))
+ (INTERCONNECT _39008_.X _59692_.A2 (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _39008_.X ANTENNA__59692__A2.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _39008_.X ANTENNA__55194__A.DIODE (0.035:0.035:0.035) (0.032:0.032:0.032))
+ (INTERCONNECT _39008_.X ANTENNA__55172__A.DIODE (0.031:0.031:0.031) (0.028:0.028:0.028))
+ (INTERCONNECT _39008_.X ANTENNA__55150__A.DIODE (0.031:0.031:0.031) (0.028:0.028:0.028))
+ (INTERCONNECT _39008_.X ANTENNA__50134__A.DIODE (0.036:0.036:0.036) (0.033:0.033:0.033))
+ (INTERCONNECT _39008_.X ANTENNA__50112__A.DIODE (0.041:0.041:0.041) (0.037:0.037:0.037))
+ (INTERCONNECT _39008_.X ANTENNA__50090__A.DIODE (0.041:0.041:0.041) (0.037:0.037:0.037))
+ (INTERCONNECT _39008_.X ANTENNA__50068__A.DIODE (0.028:0.028:0.028) (0.026:0.026:0.026))
+ (INTERCONNECT _39008_.X ANTENNA__43543__A3.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _39008_.X ANTENNA__43542__A3.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _39008_.X ANTENNA__41213__B1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39008_.X ANTENNA__39009__A.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _39009_.X _39010_.B1 (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _39009_.X _48281_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39009_.X _48282_.B1 (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _39009_.X _48283_.B1 (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _39009_.X _50051_.B1 (0.016:0.016:0.016) (0.014:0.014:0.014))
+ (INTERCONNECT _39009_.X _50052_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39009_.X _50053_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39009_.X _50054_.B1 (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _39009_.X _50055_.B1 (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _39009_.X _50056_.B1 (0.021:0.021:0.021) (0.019:0.019:0.019))
+ (INTERCONNECT _39009_.X _50057_.B1 (0.020:0.020:0.020) (0.019:0.019:0.019))
+ (INTERCONNECT _39009_.X _50058_.B1 (0.022:0.022:0.022) (0.020:0.020:0.020))
+ (INTERCONNECT _39009_.X _50059_.B1 (0.019:0.019:0.019) (0.017:0.017:0.017))
+ (INTERCONNECT _39009_.X _50060_.B1 (0.017:0.017:0.017) (0.016:0.016:0.016))
+ (INTERCONNECT _39009_.X _50061_.B1 (0.022:0.022:0.022) (0.020:0.020:0.020))
+ (INTERCONNECT _39009_.X _50062_.B1 (0.024:0.024:0.024) (0.022:0.022:0.022))
+ (INTERCONNECT _39009_.X _50064_.B1 (0.026:0.026:0.026) (0.024:0.024:0.024))
+ (INTERCONNECT _39009_.X _50065_.B1 (0.027:0.027:0.027) (0.024:0.024:0.024))
+ (INTERCONNECT _39009_.X _50066_.B1 (0.027:0.027:0.027) (0.025:0.025:0.025))
+ (INTERCONNECT _39009_.X _50067_.B1 (0.028:0.028:0.028) (0.025:0.025:0.025))
+ (INTERCONNECT _39009_.X ANTENNA__50067__B1.DIODE (0.028:0.028:0.028) (0.025:0.025:0.025))
+ (INTERCONNECT _39009_.X ANTENNA__50066__B1.DIODE (0.027:0.027:0.027) (0.025:0.025:0.025))
+ (INTERCONNECT _39009_.X ANTENNA__50065__B1.DIODE (0.027:0.027:0.027) (0.024:0.024:0.024))
+ (INTERCONNECT _39009_.X ANTENNA__50064__B1.DIODE (0.026:0.026:0.026) (0.024:0.024:0.024))
+ (INTERCONNECT _39009_.X ANTENNA__50062__B1.DIODE (0.024:0.024:0.024) (0.022:0.022:0.022))
+ (INTERCONNECT _39009_.X ANTENNA__50061__B1.DIODE (0.023:0.023:0.023) (0.021:0.021:0.021))
+ (INTERCONNECT _39009_.X ANTENNA__50060__B1.DIODE (0.016:0.016:0.016) (0.015:0.015:0.015))
+ (INTERCONNECT _39009_.X ANTENNA__50059__B1.DIODE (0.019:0.019:0.019) (0.017:0.017:0.017))
+ (INTERCONNECT _39009_.X ANTENNA__50058__B1.DIODE (0.022:0.022:0.022) (0.020:0.020:0.020))
+ (INTERCONNECT _39009_.X ANTENNA__50057__B1.DIODE (0.020:0.020:0.020) (0.019:0.019:0.019))
+ (INTERCONNECT _39009_.X ANTENNA__50056__B1.DIODE (0.021:0.021:0.021) (0.019:0.019:0.019))
+ (INTERCONNECT _39009_.X ANTENNA__50055__B1.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _39009_.X ANTENNA__50054__B1.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _39009_.X ANTENNA__50053__B1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39009_.X ANTENNA__50052__B1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39009_.X ANTENNA__50051__B1.DIODE (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _39009_.X ANTENNA__48283__B1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39009_.X ANTENNA__48282__B1.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _39009_.X ANTENNA__48281__B1.DIODE (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT _39009_.X ANTENNA__39010__B1.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _39010_.X _79296_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39011_.Y _39012_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39011_.Y _39024_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39011_.Y _39036_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39011_.Y _39040_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39011_.Y _41203_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39011_.Y _43544_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39012_.Y _39013_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39012_.Y _41204_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39012_.Y _44153_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39013_.X _39014_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39014_.X _39015_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39014_.X _39019_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39014_.X _39041_.B1 (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT _39014_.X _43498_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39014_.X _66238_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39014_.X _74551_.B1 (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT _39014_.X ANTENNA__74551__B1.DIODE (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT _39014_.X ANTENNA__66238__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39014_.X ANTENNA__43498__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39014_.X ANTENNA__39041__B1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39014_.X ANTENNA__39019__B.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _39014_.X ANTENNA__39015__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39015_.Y _39016_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39016_.X _39017_.A (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _39016_.X _50185_.A (0.037:0.037:0.037) (0.033:0.033:0.033))
+ (INTERCONNECT _39016_.X _50205_.A (0.037:0.037:0.037) (0.033:0.033:0.033))
+ (INTERCONNECT _39016_.X _52183_.A (0.020:0.020:0.020) (0.018:0.018:0.018))
+ (INTERCONNECT _39016_.X _55220_.A (0.024:0.024:0.024) (0.022:0.022:0.022))
+ (INTERCONNECT _39016_.X _55240_.A (0.025:0.025:0.025) (0.022:0.022:0.022))
+ (INTERCONNECT _39016_.X _55260_.A (0.031:0.031:0.031) (0.027:0.027:0.027))
+ (INTERCONNECT _39016_.X _55445_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39016_.X _55465_.A (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT _39016_.X _59693_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39016_.X ANTENNA__59693__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39016_.X ANTENNA__55465__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39016_.X ANTENNA__55445__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39016_.X ANTENNA__55260__A.DIODE (0.030:0.030:0.030) (0.027:0.027:0.027))
+ (INTERCONNECT _39016_.X ANTENNA__55240__A.DIODE (0.025:0.025:0.025) (0.022:0.022:0.022))
+ (INTERCONNECT _39016_.X ANTENNA__55220__A.DIODE (0.023:0.023:0.023) (0.021:0.021:0.021))
+ (INTERCONNECT _39016_.X ANTENNA__52183__A.DIODE (0.020:0.020:0.020) (0.018:0.018:0.018))
+ (INTERCONNECT _39016_.X ANTENNA__50205__A.DIODE (0.037:0.037:0.037) (0.033:0.033:0.033))
+ (INTERCONNECT _39016_.X ANTENNA__50185__A.DIODE (0.037:0.037:0.037) (0.033:0.033:0.033))
+ (INTERCONNECT _39016_.X ANTENNA__39017__A.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _39017_.X _39018_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _39017_.X _41218_.A2 (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _39017_.X _44149_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39017_.X _50145_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39017_.X _50165_.A (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _39017_.X _55485_.A2 (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _39017_.X _55486_.A2 (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _39017_.X _55487_.A2 (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _39017_.X _55488_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39017_.X _58189_.A2 (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _39017_.X _58190_.A2 (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _39017_.X _58191_.A2 (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _39017_.X _58192_.A2 (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _39017_.X _58193_.A2 (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _39017_.X _58194_.A2 (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _39017_.X ANTENNA__58194__A2.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _39017_.X ANTENNA__58193__A2.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _39017_.X ANTENNA__58192__A2.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _39017_.X ANTENNA__58191__A2.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _39017_.X ANTENNA__58190__A2.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _39017_.X ANTENNA__58189__A2.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _39017_.X ANTENNA__55488__A2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39017_.X ANTENNA__55487__A2.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _39017_.X ANTENNA__55486__A2.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _39017_.X ANTENNA__55485__A2.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _39017_.X ANTENNA__50165__A.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _39017_.X ANTENNA__50145__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39017_.X ANTENNA__44149__A.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _39017_.X ANTENNA__41218__A2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39017_.X ANTENNA__39018__A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _39018_.X _39023_.A2 (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _39018_.X _41229_.A2 (0.011:0.011:0.011) (0.011:0.011:0.011))
+ (INTERCONNECT _39018_.X _43545_.A2 (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _39018_.X _43546_.A2 (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _39018_.X _43547_.A2 (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _39018_.X _43548_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39018_.X _43549_.A2 (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT _39018_.X _43769_.A2 (0.021:0.021:0.021) (0.019:0.019:0.019))
+ (INTERCONNECT _39018_.X _43770_.A2 (0.021:0.021:0.021) (0.019:0.019:0.019))
+ (INTERCONNECT _39018_.X _43771_.A2 (0.020:0.020:0.020) (0.019:0.019:0.019))
+ (INTERCONNECT _39018_.X _43772_.A2 (0.020:0.020:0.020) (0.019:0.019:0.019))
+ (INTERCONNECT _39018_.X _43773_.A2 (0.021:0.021:0.021) (0.019:0.019:0.019))
+ (INTERCONNECT _39018_.X _43774_.A2 (0.020:0.020:0.020) (0.018:0.018:0.018))
+ (INTERCONNECT _39018_.X _44145_.A2 (0.016:0.016:0.016) (0.015:0.015:0.015))
+ (INTERCONNECT _39018_.X _44146_.A2 (0.019:0.019:0.019) (0.017:0.017:0.017))
+ (INTERCONNECT _39018_.X _44147_.A2 (0.019:0.019:0.019) (0.018:0.018:0.018))
+ (INTERCONNECT _39018_.X _44148_.A2 (0.019:0.019:0.019) (0.018:0.018:0.018))
+ (INTERCONNECT _39018_.X _66241_.A2 (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _39018_.X ANTENNA__66241__A2.DIODE (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _39018_.X ANTENNA__44148__A2.DIODE (0.019:0.019:0.019) (0.018:0.018:0.018))
+ (INTERCONNECT _39018_.X ANTENNA__44147__A2.DIODE (0.019:0.019:0.019) (0.018:0.018:0.018))
+ (INTERCONNECT _39018_.X ANTENNA__44146__A2.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017))
+ (INTERCONNECT _39018_.X ANTENNA__44145__A2.DIODE (0.016:0.016:0.016) (0.015:0.015:0.015))
+ (INTERCONNECT _39018_.X ANTENNA__43774__A2.DIODE (0.020:0.020:0.020) (0.018:0.018:0.018))
+ (INTERCONNECT _39018_.X ANTENNA__43773__A2.DIODE (0.021:0.021:0.021) (0.019:0.019:0.019))
+ (INTERCONNECT _39018_.X ANTENNA__43772__A2.DIODE (0.020:0.020:0.020) (0.019:0.019:0.019))
+ (INTERCONNECT _39018_.X ANTENNA__43771__A2.DIODE (0.020:0.020:0.020) (0.019:0.019:0.019))
+ (INTERCONNECT _39018_.X ANTENNA__43770__A2.DIODE (0.021:0.021:0.021) (0.019:0.019:0.019))
+ (INTERCONNECT _39018_.X ANTENNA__43769__A2.DIODE (0.021:0.021:0.021) (0.019:0.019:0.019))
+ (INTERCONNECT _39018_.X ANTENNA__43549__A2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39018_.X ANTENNA__43548__A2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39018_.X ANTENNA__43547__A2.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _39018_.X ANTENNA__43546__A2.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _39018_.X ANTENNA__43545__A2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39018_.X ANTENNA__41229__A2.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+ (INTERCONNECT _39018_.X ANTENNA__39023__A2.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _39019_.X _39020_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39020_.X _39021_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39020_.X _55453_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39020_.X _55475_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39020_.X _66236_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39021_.X _39022_.A (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _39021_.X _41218_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39021_.X _43544_.B1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39021_.X _48285_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39021_.X _50157_.A (0.043:0.043:0.043) (0.039:0.039:0.039))
+ (INTERCONNECT _39021_.X _50179_.A (0.045:0.045:0.045) (0.041:0.041:0.041))
+ (INTERCONNECT _39021_.X _50201_.A (0.045:0.045:0.045) (0.041:0.041:0.041))
+ (INTERCONNECT _39021_.X _50223_.A (0.025:0.025:0.025) (0.023:0.023:0.023))
+ (INTERCONNECT _39021_.X _55222_.A (0.046:0.046:0.046) (0.041:0.041:0.041))
+ (INTERCONNECT _39021_.X _55244_.A (0.028:0.028:0.028) (0.025:0.025:0.025))
+ (INTERCONNECT _39021_.X _55266_.A (0.025:0.025:0.025) (0.023:0.023:0.023))
+ (INTERCONNECT _39021_.X ANTENNA__55266__A.DIODE (0.024:0.024:0.024) (0.022:0.022:0.022))
+ (INTERCONNECT _39021_.X ANTENNA__55244__A.DIODE (0.028:0.028:0.028) (0.025:0.025:0.025))
+ (INTERCONNECT _39021_.X ANTENNA__55222__A.DIODE (0.046:0.046:0.046) (0.041:0.041:0.041))
+ (INTERCONNECT _39021_.X ANTENNA__50223__A.DIODE (0.025:0.025:0.025) (0.023:0.023:0.023))
+ (INTERCONNECT _39021_.X ANTENNA__50201__A.DIODE (0.045:0.045:0.045) (0.041:0.041:0.041))
+ (INTERCONNECT _39021_.X ANTENNA__50179__A.DIODE (0.045:0.045:0.045) (0.041:0.041:0.041))
+ (INTERCONNECT _39021_.X ANTENNA__50157__A.DIODE (0.043:0.043:0.043) (0.039:0.039:0.039))
+ (INTERCONNECT _39021_.X ANTENNA__48285__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39021_.X ANTENNA__43544__B1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39021_.X ANTENNA__41218__B1.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _39021_.X ANTENNA__39022__A.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _39022_.X _39023_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39022_.X _43769_.B1 (0.026:0.026:0.026) (0.023:0.023:0.023))
+ (INTERCONNECT _39022_.X _43770_.B1 (0.034:0.034:0.034) (0.031:0.031:0.031))
+ (INTERCONNECT _39022_.X _43771_.B1 (0.034:0.034:0.034) (0.031:0.031:0.031))
+ (INTERCONNECT _39022_.X _43772_.B1 (0.027:0.027:0.027) (0.024:0.024:0.024))
+ (INTERCONNECT _39022_.X _43773_.B1 (0.025:0.025:0.025) (0.023:0.023:0.023))
+ (INTERCONNECT _39022_.X _43774_.B1 (0.034:0.034:0.034) (0.030:0.030:0.030))
+ (INTERCONNECT _39022_.X _44145_.B1 (0.030:0.030:0.030) (0.027:0.027:0.027))
+ (INTERCONNECT _39022_.X _44146_.B1 (0.032:0.032:0.032) (0.029:0.029:0.029))
+ (INTERCONNECT _39022_.X _44147_.B1 (0.033:0.033:0.033) (0.030:0.030:0.030))
+ (INTERCONNECT _39022_.X _44148_.B1 (0.033:0.033:0.033) (0.030:0.030:0.030))
+ (INTERCONNECT _39022_.X _44150_.B1 (0.029:0.029:0.029) (0.026:0.026:0.026))
+ (INTERCONNECT _39022_.X _44151_.B1 (0.032:0.032:0.032) (0.028:0.028:0.028))
+ (INTERCONNECT _39022_.X _48264_.B1 (0.017:0.017:0.017) (0.016:0.016:0.016))
+ (INTERCONNECT _39022_.X _48265_.B1 (0.021:0.021:0.021) (0.019:0.019:0.019))
+ (INTERCONNECT _39022_.X _48266_.B1 (0.023:0.023:0.023) (0.021:0.021:0.021))
+ (INTERCONNECT _39022_.X _48267_.B1 (0.016:0.016:0.016) (0.015:0.015:0.015))
+ (INTERCONNECT _39022_.X _48268_.B1 (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _39022_.X _48269_.B1 (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _39022_.X _48284_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39022_.X ANTENNA__48284__B1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39022_.X ANTENNA__48269__B1.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _39022_.X ANTENNA__48268__B1.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _39022_.X ANTENNA__48267__B1.DIODE (0.016:0.016:0.016) (0.015:0.015:0.015))
+ (INTERCONNECT _39022_.X ANTENNA__48266__B1.DIODE (0.023:0.023:0.023) (0.021:0.021:0.021))
+ (INTERCONNECT _39022_.X ANTENNA__48265__B1.DIODE (0.020:0.020:0.020) (0.018:0.018:0.018))
+ (INTERCONNECT _39022_.X ANTENNA__48264__B1.DIODE (0.017:0.017:0.017) (0.016:0.016:0.016))
+ (INTERCONNECT _39022_.X ANTENNA__44151__B1.DIODE (0.032:0.032:0.032) (0.028:0.028:0.028))
+ (INTERCONNECT _39022_.X ANTENNA__44150__B1.DIODE (0.029:0.029:0.029) (0.026:0.026:0.026))
+ (INTERCONNECT _39022_.X ANTENNA__44148__B1.DIODE (0.033:0.033:0.033) (0.030:0.030:0.030))
+ (INTERCONNECT _39022_.X ANTENNA__44147__B1.DIODE (0.033:0.033:0.033) (0.030:0.030:0.030))
+ (INTERCONNECT _39022_.X ANTENNA__44146__B1.DIODE (0.032:0.032:0.032) (0.028:0.028:0.028))
+ (INTERCONNECT _39022_.X ANTENNA__44145__B1.DIODE (0.030:0.030:0.030) (0.027:0.027:0.027))
+ (INTERCONNECT _39022_.X ANTENNA__43774__B1.DIODE (0.034:0.034:0.034) (0.030:0.030:0.030))
+ (INTERCONNECT _39022_.X ANTENNA__43773__B1.DIODE (0.025:0.025:0.025) (0.023:0.023:0.023))
+ (INTERCONNECT _39022_.X ANTENNA__43772__B1.DIODE (0.027:0.027:0.027) (0.025:0.025:0.025))
+ (INTERCONNECT _39022_.X ANTENNA__43771__B1.DIODE (0.034:0.034:0.034) (0.030:0.030:0.030))
+ (INTERCONNECT _39022_.X ANTENNA__43770__B1.DIODE (0.034:0.034:0.034) (0.031:0.031:0.031))
+ (INTERCONNECT _39022_.X ANTENNA__43769__B1.DIODE (0.025:0.025:0.025) (0.023:0.023:0.023))
+ (INTERCONNECT _39022_.X ANTENNA__39023__B1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39023_.X _79297_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39024_.Y _39025_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39024_.Y _39037_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39024_.Y _39040_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39024_.Y _74549_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39025_.X _39026_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39026_.X _39027_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39026_.X _39030_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39026_.X _43499_.A (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT _39026_.X _43757_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39026_.X _43758_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _39026_.X _43759_.A2 (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _39026_.X _43760_.A2 (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _39026_.X _43761_.A2 (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _39026_.X _48280_.B2 (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _39026_.X _58335_.B2 (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _39026_.X _58336_.B2 (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _39026_.X _58337_.B2 (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _39026_.X _58338_.B2 (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _39026_.X _58339_.B2 (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _39026_.X _58340_.B2 (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _39026_.X ANTENNA__58340__B2.DIODE (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _39026_.X ANTENNA__58339__B2.DIODE (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _39026_.X ANTENNA__58338__B2.DIODE (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _39026_.X ANTENNA__58337__B2.DIODE (0.015:0.015:0.015) (0.013:0.013:0.013))
+ (INTERCONNECT _39026_.X ANTENNA__58336__B2.DIODE (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _39026_.X ANTENNA__58335__B2.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _39026_.X ANTENNA__48280__B2.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _39026_.X ANTENNA__43761__A2.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _39026_.X ANTENNA__43760__A2.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _39026_.X ANTENNA__43759__A2.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _39026_.X ANTENNA__43758__A2.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _39026_.X ANTENNA__43757__A2.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _39026_.X ANTENNA__43499__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39026_.X ANTENNA__39030__B.DIODE (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT _39026_.X ANTENNA__39027__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39027_.Y _39028_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39028_.X _39029_.A (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _39028_.X _41219_.A (0.027:0.027:0.027) (0.025:0.025:0.025))
+ (INTERCONNECT _39028_.X _52165_.A (0.017:0.017:0.017) (0.016:0.016:0.016))
+ (INTERCONNECT _39028_.X _55324_.A (0.031:0.031:0.031) (0.027:0.027:0.027))
+ (INTERCONNECT _39028_.X _55344_.A (0.030:0.030:0.030) (0.027:0.027:0.027))
+ (INTERCONNECT _39028_.X _55364_.A (0.024:0.024:0.024) (0.022:0.022:0.022))
+ (INTERCONNECT _39028_.X _55384_.A (0.011:0.011:0.011) (0.011:0.011:0.011))
+ (INTERCONNECT _39028_.X _58147_.A (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _39028_.X _58166_.A (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _39028_.X _59695_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _39028_.X ANTENNA__59695__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39028_.X ANTENNA__58166__A.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _39028_.X ANTENNA__58147__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _39028_.X ANTENNA__55384__A.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _39028_.X ANTENNA__55364__A.DIODE (0.024:0.024:0.024) (0.022:0.022:0.022))
+ (INTERCONNECT _39028_.X ANTENNA__55344__A.DIODE (0.030:0.030:0.030) (0.027:0.027:0.027))
+ (INTERCONNECT _39028_.X ANTENNA__55324__A.DIODE (0.030:0.030:0.030) (0.027:0.027:0.027))
+ (INTERCONNECT _39028_.X ANTENNA__52165__A.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017))
+ (INTERCONNECT _39028_.X ANTENNA__41219__A.DIODE (0.028:0.028:0.028) (0.025:0.025:0.025))
+ (INTERCONNECT _39028_.X ANTENNA__39029__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39029_.X _39034_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39029_.X _41230_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _39029_.X _43967_.A2 (0.024:0.024:0.024) (0.021:0.021:0.021))
+ (INTERCONNECT _39029_.X _43968_.A2 (0.028:0.028:0.028) (0.025:0.025:0.025))
+ (INTERCONNECT _39029_.X _43969_.A2 (0.028:0.028:0.028) (0.025:0.025:0.025))
+ (INTERCONNECT _39029_.X _43970_.A2 (0.024:0.024:0.024) (0.021:0.021:0.021))
+ (INTERCONNECT _39029_.X _43971_.A2 (0.024:0.024:0.024) (0.022:0.022:0.022))
+ (INTERCONNECT _39029_.X _43972_.A2 (0.028:0.028:0.028) (0.025:0.025:0.025))
+ (INTERCONNECT _39029_.X _48251_.A2 (0.025:0.025:0.025) (0.023:0.023:0.023))
+ (INTERCONNECT _39029_.X _48252_.A2 (0.027:0.027:0.027) (0.024:0.024:0.024))
+ (INTERCONNECT _39029_.X _48253_.A2 (0.028:0.028:0.028) (0.025:0.025:0.025))
+ (INTERCONNECT _39029_.X _48254_.A2 (0.028:0.028:0.028) (0.025:0.025:0.025))
+ (INTERCONNECT _39029_.X _48255_.A2 (0.024:0.024:0.024) (0.022:0.022:0.022))
+ (INTERCONNECT _39029_.X _48256_.A2 (0.026:0.026:0.026) (0.023:0.023:0.023))
+ (INTERCONNECT _39029_.X _48274_.A2 (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _39029_.X _48275_.A2 (0.017:0.017:0.017) (0.016:0.016:0.016))
+ (INTERCONNECT _39029_.X _48276_.A2 (0.021:0.021:0.021) (0.019:0.019:0.019))
+ (INTERCONNECT _39029_.X _48277_.A2 (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _39029_.X ANTENNA__48277__A2.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+ (INTERCONNECT _39029_.X ANTENNA__48276__A2.DIODE (0.021:0.021:0.021) (0.019:0.019:0.019))
+ (INTERCONNECT _39029_.X ANTENNA__48275__A2.DIODE (0.017:0.017:0.017) (0.016:0.016:0.016))
+ (INTERCONNECT _39029_.X ANTENNA__48274__A2.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _39029_.X ANTENNA__48256__A2.DIODE (0.026:0.026:0.026) (0.023:0.023:0.023))
+ (INTERCONNECT _39029_.X ANTENNA__48255__A2.DIODE (0.024:0.024:0.024) (0.022:0.022:0.022))
+ (INTERCONNECT _39029_.X ANTENNA__48254__A2.DIODE (0.027:0.027:0.027) (0.025:0.025:0.025))
+ (INTERCONNECT _39029_.X ANTENNA__48253__A2.DIODE (0.027:0.027:0.027) (0.025:0.025:0.025))
+ (INTERCONNECT _39029_.X ANTENNA__48252__A2.DIODE (0.026:0.026:0.026) (0.024:0.024:0.024))
+ (INTERCONNECT _39029_.X ANTENNA__48251__A2.DIODE (0.025:0.025:0.025) (0.023:0.023:0.023))
+ (INTERCONNECT _39029_.X ANTENNA__43972__A2.DIODE (0.028:0.028:0.028) (0.025:0.025:0.025))
+ (INTERCONNECT _39029_.X ANTENNA__43971__A2.DIODE (0.024:0.024:0.024) (0.022:0.022:0.022))
+ (INTERCONNECT _39029_.X ANTENNA__43970__A2.DIODE (0.024:0.024:0.024) (0.021:0.021:0.021))
+ (INTERCONNECT _39029_.X ANTENNA__43969__A2.DIODE (0.028:0.028:0.028) (0.025:0.025:0.025))
+ (INTERCONNECT _39029_.X ANTENNA__43968__A2.DIODE (0.028:0.028:0.028) (0.025:0.025:0.025))
+ (INTERCONNECT _39029_.X ANTENNA__43967__A2.DIODE (0.024:0.024:0.024) (0.021:0.021:0.021))
+ (INTERCONNECT _39029_.X ANTENNA__41230__A2.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _39029_.X ANTENNA__39034__A2.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39030_.X _39031_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39031_.X _39032_.A (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _39031_.X _52109_.A (0.035:0.035:0.035) (0.032:0.032:0.032))
+ (INTERCONNECT _39031_.X _52131_.A (0.037:0.037:0.037) (0.033:0.033:0.033))
+ (INTERCONNECT _39031_.X _52153_.A (0.037:0.037:0.037) (0.033:0.033:0.033))
+ (INTERCONNECT _39031_.X _52175_.A (0.033:0.033:0.033) (0.030:0.030:0.030))
+ (INTERCONNECT _39031_.X _55336_.A (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _39031_.X _55358_.A (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _39031_.X _55380_.A (0.032:0.032:0.032) (0.029:0.029:0.029))
+ (INTERCONNECT _39031_.X _58145_.A (0.029:0.029:0.029) (0.026:0.026:0.026))
+ (INTERCONNECT _39031_.X _66256_.C (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _39031_.X ANTENNA__66256__C.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _39031_.X ANTENNA__58145__A.DIODE (0.029:0.029:0.029) (0.026:0.026:0.026))
+ (INTERCONNECT _39031_.X ANTENNA__55380__A.DIODE (0.031:0.031:0.031) (0.029:0.029:0.029))
+ (INTERCONNECT _39031_.X ANTENNA__55358__A.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _39031_.X ANTENNA__55336__A.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _39031_.X ANTENNA__52175__A.DIODE (0.032:0.032:0.032) (0.029:0.029:0.029))
+ (INTERCONNECT _39031_.X ANTENNA__52153__A.DIODE (0.037:0.037:0.037) (0.033:0.033:0.033))
+ (INTERCONNECT _39031_.X ANTENNA__52131__A.DIODE (0.036:0.036:0.036) (0.033:0.033:0.033))
+ (INTERCONNECT _39031_.X ANTENNA__52109__A.DIODE (0.035:0.035:0.035) (0.032:0.032:0.032))
+ (INTERCONNECT _39031_.X ANTENNA__39032__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39032_.X _39033_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39032_.X _41224_.B1 (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _39032_.X _58168_.B1 (0.016:0.016:0.016) (0.014:0.014:0.014))
+ (INTERCONNECT _39032_.X _58169_.B1 (0.018:0.018:0.018) (0.016:0.016:0.016))
+ (INTERCONNECT _39032_.X _58170_.B1 (0.019:0.019:0.019) (0.017:0.017:0.017))
+ (INTERCONNECT _39032_.X _58171_.B1 (0.029:0.029:0.029) (0.026:0.026:0.026))
+ (INTERCONNECT _39032_.X _58172_.B1 (0.028:0.028:0.028) (0.025:0.025:0.025))
+ (INTERCONNECT _39032_.X _58173_.B1 (0.025:0.025:0.025) (0.023:0.023:0.023))
+ (INTERCONNECT _39032_.X _58174_.B1 (0.023:0.023:0.023) (0.021:0.021:0.021))
+ (INTERCONNECT _39032_.X _58175_.B1 (0.029:0.029:0.029) (0.026:0.026:0.026))
+ (INTERCONNECT _39032_.X _58176_.B1 (0.028:0.028:0.028) (0.025:0.025:0.025))
+ (INTERCONNECT _39032_.X _58177_.B1 (0.028:0.028:0.028) (0.025:0.025:0.025))
+ (INTERCONNECT _39032_.X _58178_.B1 (0.025:0.025:0.025) (0.023:0.023:0.023))
+ (INTERCONNECT _39032_.X _58179_.B1 (0.027:0.027:0.027) (0.025:0.025:0.025))
+ (INTERCONNECT _39032_.X _58180_.B1 (0.028:0.028:0.028) (0.026:0.026:0.026))
+ (INTERCONNECT _39032_.X _58181_.B1 (0.029:0.029:0.029) (0.026:0.026:0.026))
+ (INTERCONNECT _39032_.X _58182_.B1 (0.029:0.029:0.029) (0.026:0.026:0.026))
+ (INTERCONNECT _39032_.X _59696_.A2 (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _39032_.X _66254_.A2 (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _39032_.X ANTENNA__66254__A2.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _39032_.X ANTENNA__59696__A2.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _39032_.X ANTENNA__58182__B1.DIODE (0.028:0.028:0.028) (0.026:0.026:0.026))
+ (INTERCONNECT _39032_.X ANTENNA__58181__B1.DIODE (0.029:0.029:0.029) (0.026:0.026:0.026))
+ (INTERCONNECT _39032_.X ANTENNA__58180__B1.DIODE (0.028:0.028:0.028) (0.026:0.026:0.026))
+ (INTERCONNECT _39032_.X ANTENNA__58179__B1.DIODE (0.027:0.027:0.027) (0.025:0.025:0.025))
+ (INTERCONNECT _39032_.X ANTENNA__58178__B1.DIODE (0.025:0.025:0.025) (0.023:0.023:0.023))
+ (INTERCONNECT _39032_.X ANTENNA__58177__B1.DIODE (0.028:0.028:0.028) (0.025:0.025:0.025))
+ (INTERCONNECT _39032_.X ANTENNA__58176__B1.DIODE (0.028:0.028:0.028) (0.025:0.025:0.025))
+ (INTERCONNECT _39032_.X ANTENNA__58175__B1.DIODE (0.029:0.029:0.029) (0.026:0.026:0.026))
+ (INTERCONNECT _39032_.X ANTENNA__58174__B1.DIODE (0.022:0.022:0.022) (0.020:0.020:0.020))
+ (INTERCONNECT _39032_.X ANTENNA__58173__B1.DIODE (0.025:0.025:0.025) (0.023:0.023:0.023))
+ (INTERCONNECT _39032_.X ANTENNA__58172__B1.DIODE (0.028:0.028:0.028) (0.025:0.025:0.025))
+ (INTERCONNECT _39032_.X ANTENNA__58171__B1.DIODE (0.029:0.029:0.029) (0.026:0.026:0.026))
+ (INTERCONNECT _39032_.X ANTENNA__58170__B1.DIODE (0.019:0.019:0.019) (0.018:0.018:0.018))
+ (INTERCONNECT _39032_.X ANTENNA__58169__B1.DIODE (0.017:0.017:0.017) (0.016:0.016:0.016))
+ (INTERCONNECT _39032_.X ANTENNA__58168__B1.DIODE (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _39032_.X ANTENNA__41224__B1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _39032_.X ANTENNA__39033__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39033_.X _39034_.B1 (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT _39033_.X _50048_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39033_.X _50049_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39033_.X _50050_.B1 (0.018:0.018:0.018) (0.016:0.016:0.016))
+ (INTERCONNECT _39033_.X _52092_.B1 (0.022:0.022:0.022) (0.020:0.020:0.020))
+ (INTERCONNECT _39033_.X _52093_.B1 (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _39033_.X _52094_.B1 (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _39033_.X _52095_.B1 (0.022:0.022:0.022) (0.020:0.020:0.020))
+ (INTERCONNECT _39033_.X _52096_.B1 (0.021:0.021:0.021) (0.019:0.019:0.019))
+ (INTERCONNECT _39033_.X _52097_.B1 (0.027:0.027:0.027) (0.024:0.024:0.024))
+ (INTERCONNECT _39033_.X _52098_.B1 (0.027:0.027:0.027) (0.024:0.024:0.024))
+ (INTERCONNECT _39033_.X _52099_.B1 (0.027:0.027:0.027) (0.024:0.024:0.024))
+ (INTERCONNECT _39033_.X _52100_.B1 (0.026:0.026:0.026) (0.024:0.024:0.024))
+ (INTERCONNECT _39033_.X _52101_.B1 (0.025:0.025:0.025) (0.023:0.023:0.023))
+ (INTERCONNECT _39033_.X _52102_.B1 (0.027:0.027:0.027) (0.025:0.025:0.025))
+ (INTERCONNECT _39033_.X _52103_.B1 (0.027:0.027:0.027) (0.025:0.025:0.025))
+ (INTERCONNECT _39033_.X _52104_.B1 (0.027:0.027:0.027) (0.025:0.025:0.025))
+ (INTERCONNECT _39033_.X _52106_.B1 (0.028:0.028:0.028) (0.025:0.025:0.025))
+ (INTERCONNECT _39033_.X _52107_.B1 (0.029:0.029:0.029) (0.026:0.026:0.026))
+ (INTERCONNECT _39033_.X _52108_.B1 (0.029:0.029:0.029) (0.026:0.026:0.026))
+ (INTERCONNECT _39033_.X ANTENNA__52108__B1.DIODE (0.029:0.029:0.029) (0.026:0.026:0.026))
+ (INTERCONNECT _39033_.X ANTENNA__52107__B1.DIODE (0.029:0.029:0.029) (0.026:0.026:0.026))
+ (INTERCONNECT _39033_.X ANTENNA__52106__B1.DIODE (0.028:0.028:0.028) (0.026:0.026:0.026))
+ (INTERCONNECT _39033_.X ANTENNA__52104__B1.DIODE (0.027:0.027:0.027) (0.024:0.024:0.024))
+ (INTERCONNECT _39033_.X ANTENNA__52103__B1.DIODE (0.027:0.027:0.027) (0.025:0.025:0.025))
+ (INTERCONNECT _39033_.X ANTENNA__52102__B1.DIODE (0.027:0.027:0.027) (0.025:0.025:0.025))
+ (INTERCONNECT _39033_.X ANTENNA__52101__B1.DIODE (0.024:0.024:0.024) (0.022:0.022:0.022))
+ (INTERCONNECT _39033_.X ANTENNA__52100__B1.DIODE (0.026:0.026:0.026) (0.024:0.024:0.024))
+ (INTERCONNECT _39033_.X ANTENNA__52099__B1.DIODE (0.027:0.027:0.027) (0.024:0.024:0.024))
+ (INTERCONNECT _39033_.X ANTENNA__52098__B1.DIODE (0.027:0.027:0.027) (0.024:0.024:0.024))
+ (INTERCONNECT _39033_.X ANTENNA__52097__B1.DIODE (0.027:0.027:0.027) (0.024:0.024:0.024))
+ (INTERCONNECT _39033_.X ANTENNA__52096__B1.DIODE (0.021:0.021:0.021) (0.019:0.019:0.019))
+ (INTERCONNECT _39033_.X ANTENNA__52095__B1.DIODE (0.023:0.023:0.023) (0.021:0.021:0.021))
+ (INTERCONNECT _39033_.X ANTENNA__52094__B1.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _39033_.X ANTENNA__52093__B1.DIODE (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _39033_.X ANTENNA__52092__B1.DIODE (0.022:0.022:0.022) (0.020:0.020:0.020))
+ (INTERCONNECT _39033_.X ANTENNA__50050__B1.DIODE (0.018:0.018:0.018) (0.016:0.016:0.016))
+ (INTERCONNECT _39033_.X ANTENNA__50049__B1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39033_.X ANTENNA__50048__B1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39033_.X ANTENNA__39034__B1.DIODE (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT _39034_.X _79298_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39035_.X _39036_.A (0.039:0.039:0.039) (0.036:0.036:0.036))
+ (INTERCONNECT _39035_.X _39039_.A (0.040:0.040:0.040) (0.037:0.037:0.037))
+ (INTERCONNECT _39035_.X _41454_.A (0.035:0.035:0.035) (0.033:0.033:0.033))
+ (INTERCONNECT _39035_.X _41513_.B1 (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _39035_.X _41518_.B1 (0.014:0.014:0.014) (0.014:0.014:0.014))
+ (INTERCONNECT _39035_.X _41523_.B1 (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _39035_.X _41528_.B1 (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _39035_.X _41537_.B1 (0.026:0.026:0.026) (0.025:0.025:0.025))
+ (INTERCONNECT _39035_.X _41542_.B1 (0.026:0.026:0.026) (0.025:0.025:0.025))
+ (INTERCONNECT _39035_.X _41547_.B1 (0.027:0.027:0.027) (0.026:0.026:0.026))
+ (INTERCONNECT _39035_.X _41552_.B1 (0.027:0.027:0.027) (0.026:0.026:0.026))
+ (INTERCONNECT _39035_.X _41557_.B1 (0.026:0.026:0.026) (0.025:0.025:0.025))
+ (INTERCONNECT _39035_.X _41562_.B1 (0.026:0.026:0.026) (0.024:0.024:0.024))
+ (INTERCONNECT _39035_.X _41567_.B1 (0.027:0.027:0.027) (0.025:0.025:0.025))
+ (INTERCONNECT _39035_.X _41573_.B1 (0.027:0.027:0.027) (0.025:0.025:0.025))
+ (INTERCONNECT _39035_.X _41583_.B1 (0.026:0.026:0.026) (0.024:0.024:0.024))
+ (INTERCONNECT _39035_.X _41588_.B1 (0.025:0.025:0.025) (0.024:0.024:0.024))
+ (INTERCONNECT _39035_.X _42070_.A (0.025:0.025:0.025) (0.023:0.023:0.023))
+ (INTERCONNECT _39035_.X _60666_.A (0.034:0.034:0.034) (0.031:0.031:0.031))
+ (INTERCONNECT _39035_.X _61156_.A (0.042:0.042:0.042) (0.038:0.038:0.038))
+ (INTERCONNECT _39035_.X ANTENNA__61156__A.DIODE (0.042:0.042:0.042) (0.038:0.038:0.038))
+ (INTERCONNECT _39035_.X ANTENNA__60666__A.DIODE (0.033:0.033:0.033) (0.031:0.031:0.031))
+ (INTERCONNECT _39035_.X ANTENNA__42070__A.DIODE (0.025:0.025:0.025) (0.023:0.023:0.023))
+ (INTERCONNECT _39035_.X ANTENNA__41588__B1.DIODE (0.023:0.023:0.023) (0.022:0.022:0.022))
+ (INTERCONNECT _39035_.X ANTENNA__41583__B1.DIODE (0.023:0.023:0.023) (0.022:0.022:0.022))
+ (INTERCONNECT _39035_.X ANTENNA__41573__B1.DIODE (0.022:0.022:0.022) (0.021:0.021:0.021))
+ (INTERCONNECT _39035_.X ANTENNA__41567__B1.DIODE (0.024:0.024:0.024) (0.022:0.022:0.022))
+ (INTERCONNECT _39035_.X ANTENNA__41562__B1.DIODE (0.023:0.023:0.023) (0.022:0.022:0.022))
+ (INTERCONNECT _39035_.X ANTENNA__41557__B1.DIODE (0.022:0.022:0.022) (0.021:0.021:0.021))
+ (INTERCONNECT _39035_.X ANTENNA__41552__B1.DIODE (0.020:0.020:0.020) (0.019:0.019:0.019))
+ (INTERCONNECT _39035_.X ANTENNA__41547__B1.DIODE (0.019:0.019:0.019) (0.018:0.018:0.018))
+ (INTERCONNECT _39035_.X ANTENNA__41542__B1.DIODE (0.023:0.023:0.023) (0.021:0.021:0.021))
+ (INTERCONNECT _39035_.X ANTENNA__41537__B1.DIODE (0.023:0.023:0.023) (0.022:0.022:0.022))
+ (INTERCONNECT _39035_.X ANTENNA__41528__B1.DIODE (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _39035_.X ANTENNA__41523__B1.DIODE (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _39035_.X ANTENNA__41518__B1.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+ (INTERCONNECT _39035_.X ANTENNA__41513__B1.DIODE (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _39035_.X ANTENNA__41454__A.DIODE (0.035:0.035:0.035) (0.033:0.033:0.033))
+ (INTERCONNECT _39035_.X ANTENNA__39039__A.DIODE (0.040:0.040:0.040) (0.037:0.037:0.037))
+ (INTERCONNECT _39035_.X ANTENNA__39036__A.DIODE (0.039:0.039:0.039) (0.036:0.036:0.036))
+ (INTERCONNECT _39036_.X _39037_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39036_.X _74549_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39037_.X _39038_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39038_.X _78172_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39039_.X _39040_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39039_.X _59869_.A (0.028:0.028:0.028) (0.026:0.026:0.026))
+ (INTERCONNECT _39039_.X _61453_.A (0.027:0.027:0.027) (0.024:0.024:0.024))
+ (INTERCONNECT _39039_.X _73696_.A (0.022:0.022:0.022) (0.020:0.020:0.020))
+ (INTERCONNECT _39039_.X _73894_.A (0.041:0.041:0.041) (0.037:0.037:0.037))
+ (INTERCONNECT _39039_.X _74001_.A (0.032:0.032:0.032) (0.029:0.029:0.029))
+ (INTERCONNECT _39039_.X _74042_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _39039_.X _74184_.A (0.042:0.042:0.042) (0.038:0.038:0.038))
+ (INTERCONNECT _39039_.X _74285_.A (0.042:0.042:0.042) (0.038:0.038:0.038))
+ (INTERCONNECT _39039_.X _74368_.A (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _39039_.X ANTENNA__74368__A.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _39039_.X ANTENNA__74285__A.DIODE (0.042:0.042:0.042) (0.038:0.038:0.038))
+ (INTERCONNECT _39039_.X ANTENNA__74184__A.DIODE (0.042:0.042:0.042) (0.038:0.038:0.038))
+ (INTERCONNECT _39039_.X ANTENNA__74042__A.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _39039_.X ANTENNA__74001__A.DIODE (0.032:0.032:0.032) (0.029:0.029:0.029))
+ (INTERCONNECT _39039_.X ANTENNA__73894__A.DIODE (0.041:0.041:0.041) (0.037:0.037:0.037))
+ (INTERCONNECT _39039_.X ANTENNA__73696__A.DIODE (0.020:0.020:0.020) (0.018:0.018:0.018))
+ (INTERCONNECT _39039_.X ANTENNA__61453__A.DIODE (0.026:0.026:0.026) (0.023:0.023:0.023))
+ (INTERCONNECT _39039_.X ANTENNA__59869__A.DIODE (0.028:0.028:0.028) (0.026:0.026:0.026))
+ (INTERCONNECT _39039_.X ANTENNA__39040__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39040_.X _39041_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39040_.X _74551_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39041_.X _78173_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39042_.X _39043_.A (0.077:0.077:0.077) (0.073:0.073:0.073))
+ (INTERCONNECT _39042_.X _42001_.A (0.107:0.107:0.107) (0.100:0.100:0.100))
+ (INTERCONNECT _39042_.X _42058_.A (0.054:0.054:0.054) (0.051:0.051:0.051))
+ (INTERCONNECT _39042_.X _43151_.A (0.107:0.107:0.107) (0.100:0.100:0.100))
+ (INTERCONNECT _39042_.X _50259_.A (0.104:0.104:0.104) (0.098:0.098:0.098))
+ (INTERCONNECT _39042_.X _59709_.A (0.074:0.074:0.074) (0.070:0.070:0.070))
+ (INTERCONNECT _39042_.X _59778_.A (0.105:0.105:0.105) (0.098:0.098:0.098))
+ (INTERCONNECT _39042_.X _59838_.A (0.105:0.105:0.105) (0.098:0.098:0.098))
+ (INTERCONNECT _39042_.X _59971_.A (0.106:0.106:0.106) (0.100:0.100:0.100))
+ (INTERCONNECT _39042_.X _60150_.A (0.093:0.093:0.093) (0.088:0.088:0.088))
+ (INTERCONNECT _39042_.X _60188_.A (0.093:0.093:0.093) (0.088:0.088:0.088))
+ (INTERCONNECT _39042_.X _60196_.A (0.102:0.102:0.102) (0.096:0.096:0.096))
+ (INTERCONNECT _39042_.X _60204_.A (0.104:0.104:0.104) (0.098:0.098:0.098))
+ (INTERCONNECT _39042_.X _61471_.A (0.079:0.079:0.079) (0.075:0.075:0.075))
+ (INTERCONNECT _39042_.X _61475_.A (0.098:0.098:0.098) (0.092:0.092:0.092))
+ (INTERCONNECT _39042_.X _61488_.C1 (0.079:0.079:0.079) (0.075:0.075:0.075))
+ (INTERCONNECT _39042_.X _66173_.A (0.106:0.106:0.106) (0.099:0.099:0.099))
+ (INTERCONNECT _39042_.X _66494_.A (0.099:0.099:0.099) (0.093:0.093:0.093))
+ (INTERCONNECT _39042_.X _76146_.A (0.106:0.106:0.106) (0.100:0.100:0.100))
+ (INTERCONNECT _39042_.X _77725_.A1 (0.025:0.025:0.025) (0.023:0.023:0.023))
+ (INTERCONNECT _39042_.X ANTENNA__77725__A1.DIODE (0.025:0.025:0.025) (0.023:0.023:0.023))
+ (INTERCONNECT _39042_.X ANTENNA__76146__A.DIODE (0.106:0.106:0.106) (0.100:0.100:0.100))
+ (INTERCONNECT _39042_.X ANTENNA__66494__A.DIODE (0.099:0.099:0.099) (0.093:0.093:0.093))
+ (INTERCONNECT _39042_.X ANTENNA__66173__A.DIODE (0.105:0.105:0.105) (0.099:0.099:0.099))
+ (INTERCONNECT _39042_.X ANTENNA__61488__C1.DIODE (0.079:0.079:0.079) (0.075:0.075:0.075))
+ (INTERCONNECT _39042_.X ANTENNA__61475__A.DIODE (0.098:0.098:0.098) (0.092:0.092:0.092))
+ (INTERCONNECT _39042_.X ANTENNA__61471__A.DIODE (0.079:0.079:0.079) (0.075:0.075:0.075))
+ (INTERCONNECT _39042_.X ANTENNA__60204__A.DIODE (0.105:0.105:0.105) (0.098:0.098:0.098))
+ (INTERCONNECT _39042_.X ANTENNA__60196__A.DIODE (0.102:0.102:0.102) (0.096:0.096:0.096))
+ (INTERCONNECT _39042_.X ANTENNA__60188__A.DIODE (0.093:0.093:0.093) (0.088:0.088:0.088))
+ (INTERCONNECT _39042_.X ANTENNA__60150__A.DIODE (0.093:0.093:0.093) (0.088:0.088:0.088))
+ (INTERCONNECT _39042_.X ANTENNA__59971__A.DIODE (0.106:0.106:0.106) (0.100:0.100:0.100))
+ (INTERCONNECT _39042_.X ANTENNA__59838__A.DIODE (0.105:0.105:0.105) (0.098:0.098:0.098))
+ (INTERCONNECT _39042_.X ANTENNA__59778__A.DIODE (0.105:0.105:0.105) (0.098:0.098:0.098))
+ (INTERCONNECT _39042_.X ANTENNA__59709__A.DIODE (0.076:0.076:0.076) (0.072:0.072:0.072))
+ (INTERCONNECT _39042_.X ANTENNA__50259__A.DIODE (0.104:0.104:0.104) (0.098:0.098:0.098))
+ (INTERCONNECT _39042_.X ANTENNA__43151__A.DIODE (0.107:0.107:0.107) (0.100:0.100:0.100))
+ (INTERCONNECT _39042_.X ANTENNA__42058__A.DIODE (0.055:0.055:0.055) (0.052:0.052:0.052))
+ (INTERCONNECT _39042_.X ANTENNA__42001__A.DIODE (0.107:0.107:0.107) (0.100:0.100:0.100))
+ (INTERCONNECT _39042_.X ANTENNA__39043__A.DIODE (0.077:0.077:0.077) (0.073:0.073:0.073))
+ (INTERCONNECT _39043_.X _39044_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39043_.X _42308_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39043_.X _58456_.A (0.034:0.034:0.034) (0.030:0.030:0.030))
+ (INTERCONNECT _39043_.X _59724_.A (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _39043_.X _59733_.A (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _39043_.X _59742_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _39043_.X _59751_.A (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _39043_.X _59924_.A (0.034:0.034:0.034) (0.030:0.030:0.030))
+ (INTERCONNECT _39043_.X _59952_.A (0.035:0.035:0.035) (0.031:0.031:0.031))
+ (INTERCONNECT _39043_.X _59962_.A (0.035:0.035:0.035) (0.031:0.031:0.031))
+ (INTERCONNECT _39043_.X _66354_.A (0.019:0.019:0.019) (0.017:0.017:0.017))
+ (INTERCONNECT _39043_.X _74452_.A (0.032:0.032:0.032) (0.029:0.029:0.029))
+ (INTERCONNECT _39043_.X _76056_.A (0.025:0.025:0.025) (0.023:0.023:0.023))
+ (INTERCONNECT _39043_.X _76079_.A (0.022:0.022:0.022) (0.021:0.021:0.021))
+ (INTERCONNECT _39043_.X _76105_.A (0.026:0.026:0.026) (0.024:0.024:0.024))
+ (INTERCONNECT _39043_.X _77722_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39043_.X ANTENNA__77722__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39043_.X ANTENNA__76105__A.DIODE (0.026:0.026:0.026) (0.024:0.024:0.024))
+ (INTERCONNECT _39043_.X ANTENNA__76079__A.DIODE (0.022:0.022:0.022) (0.021:0.021:0.021))
+ (INTERCONNECT _39043_.X ANTENNA__76056__A.DIODE (0.025:0.025:0.025) (0.023:0.023:0.023))
+ (INTERCONNECT _39043_.X ANTENNA__74452__A.DIODE (0.033:0.033:0.033) (0.029:0.029:0.029))
+ (INTERCONNECT _39043_.X ANTENNA__66354__A.DIODE (0.019:0.019:0.019) (0.017:0.017:0.017))
+ (INTERCONNECT _39043_.X ANTENNA__59962__A.DIODE (0.035:0.035:0.035) (0.031:0.031:0.031))
+ (INTERCONNECT _39043_.X ANTENNA__59952__A.DIODE (0.035:0.035:0.035) (0.031:0.031:0.031))
+ (INTERCONNECT _39043_.X ANTENNA__59924__A.DIODE (0.034:0.034:0.034) (0.030:0.030:0.030))
+ (INTERCONNECT _39043_.X ANTENNA__59751__A.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _39043_.X ANTENNA__59742__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _39043_.X ANTENNA__59733__A.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _39043_.X ANTENNA__59724__A.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _39043_.X ANTENNA__58456__A.DIODE (0.034:0.034:0.034) (0.030:0.030:0.030))
+ (INTERCONNECT _39043_.X ANTENNA__42308__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39043_.X ANTENNA__39044__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39044_.X _61498_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39044_.X _66567_.A (0.020:0.020:0.020) (0.019:0.019:0.019))
+ (INTERCONNECT _39044_.X _72576_.A (0.027:0.027:0.027) (0.025:0.025:0.025))
+ (INTERCONNECT _39044_.X _72621_.A (0.027:0.027:0.027) (0.025:0.025:0.025))
+ (INTERCONNECT _39044_.X _74059_.A (0.020:0.020:0.020) (0.019:0.019:0.019))
+ (INTERCONNECT _39044_.X _74395_.A (0.024:0.024:0.024) (0.023:0.023:0.023))
+ (INTERCONNECT _39044_.X _74397_.A (0.025:0.025:0.025) (0.023:0.023:0.023))
+ (INTERCONNECT _39044_.X _74399_.A (0.025:0.025:0.025) (0.023:0.023:0.023))
+ (INTERCONNECT _39044_.X _74401_.A (0.025:0.025:0.025) (0.023:0.023:0.023))
+ (INTERCONNECT _39044_.X _74403_.A (0.024:0.024:0.024) (0.023:0.023:0.023))
+ (INTERCONNECT _39044_.X _74405_.A (0.024:0.024:0.024) (0.022:0.022:0.022))
+ (INTERCONNECT _39044_.X _77145_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39044_.X _86763_.D (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39044_.X ANTENNA__86763__D.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39044_.X ANTENNA__77145__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39044_.X ANTENNA__74405__A.DIODE (0.024:0.024:0.024) (0.022:0.022:0.022))
+ (INTERCONNECT _39044_.X ANTENNA__74403__A.DIODE (0.023:0.023:0.023) (0.022:0.022:0.022))
+ (INTERCONNECT _39044_.X ANTENNA__74401__A.DIODE (0.025:0.025:0.025) (0.023:0.023:0.023))
+ (INTERCONNECT _39044_.X ANTENNA__74399__A.DIODE (0.025:0.025:0.025) (0.023:0.023:0.023))
+ (INTERCONNECT _39044_.X ANTENNA__74397__A.DIODE (0.025:0.025:0.025) (0.023:0.023:0.023))
+ (INTERCONNECT _39044_.X ANTENNA__74395__A.DIODE (0.025:0.025:0.025) (0.023:0.023:0.023))
+ (INTERCONNECT _39044_.X ANTENNA__74059__A.DIODE (0.020:0.020:0.020) (0.019:0.019:0.019))
+ (INTERCONNECT _39044_.X ANTENNA__72621__A.DIODE (0.027:0.027:0.027) (0.025:0.025:0.025))
+ (INTERCONNECT _39044_.X ANTENNA__72576__A.DIODE (0.027:0.027:0.027) (0.025:0.025:0.025))
+ (INTERCONNECT _39044_.X ANTENNA__66567__A.DIODE (0.020:0.020:0.020) (0.019:0.019:0.019))
+ (INTERCONNECT _39044_.X ANTENNA__61498__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39045_.X _39052_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39045_.X _61174_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39046_.Y _39052_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39046_.Y _60629_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39046_.Y _61162_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39046_.Y _61171_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39046_.Y ANTENNA__61171__C.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39046_.Y ANTENNA__61162__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39046_.Y ANTENNA__60629__C.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39046_.Y ANTENNA__39052__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39047_.X _39050_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39047_.X _73550_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39048_.Y _39049_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39048_.Y _60630_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39049_.X _39050_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39049_.X _61153_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39050_.X _39051_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39050_.X _61171_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39050_.X _61438_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39051_.X _39052_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39051_.X _61305_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39052_.X _39053_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39053_.X _39054_.A (0.020:0.020:0.020) (0.019:0.019:0.019))
+ (INTERCONNECT _39053_.X _39116_.S (0.033:0.033:0.033) (0.030:0.030:0.030))
+ (INTERCONNECT _39053_.X _39140_.S (0.039:0.039:0.039) (0.036:0.036:0.036))
+ (INTERCONNECT _39053_.X _39143_.S (0.041:0.041:0.041) (0.038:0.038:0.038))
+ (INTERCONNECT _39053_.X _39155_.S (0.044:0.044:0.044) (0.041:0.041:0.041))
+ (INTERCONNECT _39053_.X _39166_.S (0.046:0.046:0.046) (0.043:0.043:0.043))
+ (INTERCONNECT _39053_.X _39177_.S (0.048:0.048:0.048) (0.045:0.045:0.045))
+ (INTERCONNECT _39053_.X _39188_.S (0.049:0.049:0.049) (0.045:0.045:0.045))
+ (INTERCONNECT _39053_.X _39199_.S (0.050:0.050:0.050) (0.046:0.046:0.046))
+ (INTERCONNECT _39053_.X _39210_.S (0.053:0.053:0.053) (0.049:0.049:0.049))
+ (INTERCONNECT _39053_.X _39221_.S (0.052:0.052:0.052) (0.048:0.048:0.048))
+ (INTERCONNECT _39053_.X _39232_.S (0.053:0.053:0.053) (0.049:0.049:0.049))
+ (INTERCONNECT _39053_.X _39248_.S (0.053:0.053:0.053) (0.049:0.049:0.049))
+ (INTERCONNECT _39053_.X ANTENNA__39248__S.DIODE (0.052:0.052:0.052) (0.049:0.049:0.049))
+ (INTERCONNECT _39053_.X ANTENNA__39232__S.DIODE (0.053:0.053:0.053) (0.049:0.049:0.049))
+ (INTERCONNECT _39053_.X ANTENNA__39221__S.DIODE (0.052:0.052:0.052) (0.048:0.048:0.048))
+ (INTERCONNECT _39053_.X ANTENNA__39210__S.DIODE (0.053:0.053:0.053) (0.049:0.049:0.049))
+ (INTERCONNECT _39053_.X ANTENNA__39199__S.DIODE (0.050:0.050:0.050) (0.046:0.046:0.046))
+ (INTERCONNECT _39053_.X ANTENNA__39188__S.DIODE (0.049:0.049:0.049) (0.045:0.045:0.045))
+ (INTERCONNECT _39053_.X ANTENNA__39177__S.DIODE (0.048:0.048:0.048) (0.044:0.044:0.044))
+ (INTERCONNECT _39053_.X ANTENNA__39166__S.DIODE (0.046:0.046:0.046) (0.043:0.043:0.043))
+ (INTERCONNECT _39053_.X ANTENNA__39155__S.DIODE (0.045:0.045:0.045) (0.042:0.042:0.042))
+ (INTERCONNECT _39053_.X ANTENNA__39143__S.DIODE (0.042:0.042:0.042) (0.039:0.039:0.039))
+ (INTERCONNECT _39053_.X ANTENNA__39140__S.DIODE (0.038:0.038:0.038) (0.035:0.035:0.035))
+ (INTERCONNECT _39053_.X ANTENNA__39116__S.DIODE (0.033:0.033:0.033) (0.031:0.031:0.031))
+ (INTERCONNECT _39053_.X ANTENNA__39054__A.DIODE (0.020:0.020:0.020) (0.019:0.019:0.019))
+ (INTERCONNECT _39054_.X _39055_.S (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _39054_.X _39072_.S (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _39054_.X _39080_.S (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39054_.X _39087_.S (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _39054_.X _39094_.S (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _39054_.X _39100_.S (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _39054_.X _39107_.S (0.026:0.026:0.026) (0.023:0.023:0.023))
+ (INTERCONNECT _39054_.X _39119_.S (0.030:0.030:0.030) (0.027:0.027:0.027))
+ (INTERCONNECT _39054_.X _39124_.S (0.027:0.027:0.027) (0.024:0.024:0.024))
+ (INTERCONNECT _39054_.X _39131_.S (0.031:0.031:0.031) (0.028:0.028:0.028))
+ (INTERCONNECT _39054_.X _39149_.S (0.034:0.034:0.034) (0.031:0.031:0.031))
+ (INTERCONNECT _39054_.X _39160_.S (0.036:0.036:0.036) (0.033:0.033:0.033))
+ (INTERCONNECT _39054_.X _39171_.S (0.037:0.037:0.037) (0.033:0.033:0.033))
+ (INTERCONNECT _39054_.X _39182_.S (0.038:0.038:0.038) (0.034:0.034:0.034))
+ (INTERCONNECT _39054_.X _39193_.S (0.039:0.039:0.039) (0.035:0.035:0.035))
+ (INTERCONNECT _39054_.X _39204_.S (0.039:0.039:0.039) (0.035:0.035:0.035))
+ (INTERCONNECT _39054_.X _39219_.S (0.041:0.041:0.041) (0.037:0.037:0.037))
+ (INTERCONNECT _39054_.X _39230_.S (0.041:0.041:0.041) (0.037:0.037:0.037))
+ (INTERCONNECT _39054_.X _39241_.S (0.041:0.041:0.041) (0.038:0.038:0.038))
+ (INTERCONNECT _39054_.X _39243_.S (0.041:0.041:0.041) (0.038:0.038:0.038))
+ (INTERCONNECT _39054_.X ANTENNA__39243__S.DIODE (0.041:0.041:0.041) (0.037:0.037:0.037))
+ (INTERCONNECT _39054_.X ANTENNA__39241__S.DIODE (0.041:0.041:0.041) (0.038:0.038:0.038))
+ (INTERCONNECT _39054_.X ANTENNA__39230__S.DIODE (0.041:0.041:0.041) (0.037:0.037:0.037))
+ (INTERCONNECT _39054_.X ANTENNA__39219__S.DIODE (0.041:0.041:0.041) (0.037:0.037:0.037))
+ (INTERCONNECT _39054_.X ANTENNA__39204__S.DIODE (0.039:0.039:0.039) (0.035:0.035:0.035))
+ (INTERCONNECT _39054_.X ANTENNA__39193__S.DIODE (0.039:0.039:0.039) (0.035:0.035:0.035))
+ (INTERCONNECT _39054_.X ANTENNA__39182__S.DIODE (0.038:0.038:0.038) (0.034:0.034:0.034))
+ (INTERCONNECT _39054_.X ANTENNA__39171__S.DIODE (0.037:0.037:0.037) (0.033:0.033:0.033))
+ (INTERCONNECT _39054_.X ANTENNA__39160__S.DIODE (0.036:0.036:0.036) (0.032:0.032:0.032))
+ (INTERCONNECT _39054_.X ANTENNA__39149__S.DIODE (0.035:0.035:0.035) (0.031:0.031:0.031))
+ (INTERCONNECT _39054_.X ANTENNA__39131__S.DIODE (0.032:0.032:0.032) (0.029:0.029:0.029))
+ (INTERCONNECT _39054_.X ANTENNA__39124__S.DIODE (0.028:0.028:0.028) (0.025:0.025:0.025))
+ (INTERCONNECT _39054_.X ANTENNA__39119__S.DIODE (0.029:0.029:0.029) (0.026:0.026:0.026))
+ (INTERCONNECT _39054_.X ANTENNA__39107__S.DIODE (0.026:0.026:0.026) (0.023:0.023:0.023))
+ (INTERCONNECT _39054_.X ANTENNA__39100__S.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _39054_.X ANTENNA__39094__S.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _39054_.X ANTENNA__39087__S.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _39054_.X ANTENNA__39080__S.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39054_.X ANTENNA__39072__S.DIODE (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _39054_.X ANTENNA__39055__S.DIODE (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _39055_.X _39070_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39056_.X _39057_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39056_.X _39167_.S0 (0.030:0.030:0.030) (0.027:0.027:0.027))
+ (INTERCONNECT _39056_.X _39172_.S0 (0.035:0.035:0.035) (0.031:0.031:0.031))
+ (INTERCONNECT _39056_.X _39178_.S0 (0.032:0.032:0.032) (0.029:0.029:0.029))
+ (INTERCONNECT _39056_.X _39183_.S0 (0.037:0.037:0.037) (0.033:0.033:0.033))
+ (INTERCONNECT _39056_.X _39189_.S0 (0.040:0.040:0.040) (0.036:0.036:0.036))
+ (INTERCONNECT _39056_.X _39194_.S0 (0.039:0.039:0.039) (0.034:0.034:0.034))
+ (INTERCONNECT _39056_.X _39200_.S0 (0.045:0.045:0.045) (0.039:0.039:0.039))
+ (INTERCONNECT _39056_.X _39205_.S0 (0.045:0.045:0.045) (0.040:0.040:0.040))
+ (INTERCONNECT _39056_.X _39211_.S0 (0.046:0.046:0.046) (0.041:0.041:0.041))
+ (INTERCONNECT _39056_.X _39215_.S0 (0.048:0.048:0.048) (0.042:0.042:0.042))
+ (INTERCONNECT _39056_.X _39222_.S0 (0.048:0.048:0.048) (0.043:0.043:0.043))
+ (INTERCONNECT _39056_.X _39226_.S0 (0.048:0.048:0.048) (0.042:0.042:0.042))
+ (INTERCONNECT _39056_.X _41247_.S0 (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _39056_.X _41258_.S0 (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39056_.X _41261_.S0 (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39056_.X _59698_.C_N (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _39056_.X _59699_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _39056_.X _59700_.C_N (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _39056_.X _59701_.A1 (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _39056_.X ANTENNA__59701__A1.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _39056_.X ANTENNA__59700__C_N.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _39056_.X ANTENNA__59699__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _39056_.X ANTENNA__59698__C_N.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _39056_.X ANTENNA__41261__S0.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39056_.X ANTENNA__41258__S0.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _39056_.X ANTENNA__41247__S0.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39056_.X ANTENNA__39226__S0.DIODE (0.048:0.048:0.048) (0.042:0.042:0.042))
+ (INTERCONNECT _39056_.X ANTENNA__39222__S0.DIODE (0.048:0.048:0.048) (0.043:0.043:0.043))
+ (INTERCONNECT _39056_.X ANTENNA__39215__S0.DIODE (0.048:0.048:0.048) (0.042:0.042:0.042))
+ (INTERCONNECT _39056_.X ANTENNA__39211__S0.DIODE (0.046:0.046:0.046) (0.041:0.041:0.041))
+ (INTERCONNECT _39056_.X ANTENNA__39205__S0.DIODE (0.044:0.044:0.044) (0.039:0.039:0.039))
+ (INTERCONNECT _39056_.X ANTENNA__39200__S0.DIODE (0.045:0.045:0.045) (0.039:0.039:0.039))
+ (INTERCONNECT _39056_.X ANTENNA__39194__S0.DIODE (0.038:0.038:0.038) (0.034:0.034:0.034))
+ (INTERCONNECT _39056_.X ANTENNA__39189__S0.DIODE (0.040:0.040:0.040) (0.035:0.035:0.035))
+ (INTERCONNECT _39056_.X ANTENNA__39183__S0.DIODE (0.036:0.036:0.036) (0.032:0.032:0.032))
+ (INTERCONNECT _39056_.X ANTENNA__39178__S0.DIODE (0.033:0.033:0.033) (0.029:0.029:0.029))
+ (INTERCONNECT _39056_.X ANTENNA__39172__S0.DIODE (0.035:0.035:0.035) (0.031:0.031:0.031))
+ (INTERCONNECT _39056_.X ANTENNA__39167__S0.DIODE (0.030:0.030:0.030) (0.026:0.026:0.026))
+ (INTERCONNECT _39056_.X ANTENNA__39057__A.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _39057_.X _39060_.S0 (0.018:0.018:0.018) (0.017:0.017:0.017))
+ (INTERCONNECT _39057_.X _39073_.S0 (0.018:0.018:0.018) (0.017:0.017:0.017))
+ (INTERCONNECT _39057_.X _39233_.S0 (0.027:0.027:0.027) (0.025:0.025:0.025))
+ (INTERCONNECT _39057_.X _39237_.S0 (0.028:0.028:0.028) (0.025:0.025:0.025))
+ (INTERCONNECT _39057_.X _39244_.S0 (0.029:0.029:0.029) (0.026:0.026:0.026))
+ (INTERCONNECT _39057_.X _39249_.S0 (0.028:0.028:0.028) (0.026:0.026:0.026))
+ (INTERCONNECT _39057_.X _59697_.S0 (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _39057_.X _59703_.S0 (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _39057_.X _59704_.S0 (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _39057_.X _59708_.S0 (0.020:0.020:0.020) (0.018:0.018:0.018))
+ (INTERCONNECT _39057_.X _59711_.S0 (0.021:0.021:0.021) (0.019:0.019:0.019))
+ (INTERCONNECT _39057_.X _59713_.S0 (0.021:0.021:0.021) (0.019:0.019:0.019))
+ (INTERCONNECT _39057_.X _59715_.S0 (0.018:0.018:0.018) (0.017:0.017:0.017))
+ (INTERCONNECT _39057_.X _59717_.S0 (0.017:0.017:0.017) (0.015:0.015:0.015))
+ (INTERCONNECT _39057_.X _59719_.S0 (0.016:0.016:0.016) (0.015:0.015:0.015))
+ (INTERCONNECT _39057_.X _61440_.S0 (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _39057_.X _61444_.S0 (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _39057_.X _61447_.S (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _39057_.X _61449_.S (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _39057_.X _61456_.S0 (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _39057_.X ANTENNA__61456__S0.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _39057_.X ANTENNA__61449__S.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _39057_.X ANTENNA__61447__S.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _39057_.X ANTENNA__61444__S0.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _39057_.X ANTENNA__61440__S0.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _39057_.X ANTENNA__59719__S0.DIODE (0.016:0.016:0.016) (0.015:0.015:0.015))
+ (INTERCONNECT _39057_.X ANTENNA__59717__S0.DIODE (0.017:0.017:0.017) (0.016:0.016:0.016))
+ (INTERCONNECT _39057_.X ANTENNA__59715__S0.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017))
+ (INTERCONNECT _39057_.X ANTENNA__59713__S0.DIODE (0.021:0.021:0.021) (0.019:0.019:0.019))
+ (INTERCONNECT _39057_.X ANTENNA__59711__S0.DIODE (0.021:0.021:0.021) (0.019:0.019:0.019))
+ (INTERCONNECT _39057_.X ANTENNA__59708__S0.DIODE (0.020:0.020:0.020) (0.018:0.018:0.018))
+ (INTERCONNECT _39057_.X ANTENNA__59704__S0.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _39057_.X ANTENNA__59703__S0.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _39057_.X ANTENNA__59697__S0.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _39057_.X ANTENNA__39249__S0.DIODE (0.028:0.028:0.028) (0.026:0.026:0.026))
+ (INTERCONNECT _39057_.X ANTENNA__39244__S0.DIODE (0.029:0.029:0.029) (0.026:0.026:0.026))
+ (INTERCONNECT _39057_.X ANTENNA__39237__S0.DIODE (0.028:0.028:0.028) (0.025:0.025:0.025))
+ (INTERCONNECT _39057_.X ANTENNA__39233__S0.DIODE (0.027:0.027:0.027) (0.025:0.025:0.025))
+ (INTERCONNECT _39057_.X ANTENNA__39073__S0.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017))
+ (INTERCONNECT _39057_.X ANTENNA__39060__S0.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017))
+ (INTERCONNECT _39058_.X _39059_.A (0.018:0.018:0.018) (0.017:0.017:0.017))
+ (INTERCONNECT _39058_.X _39161_.S1 (0.044:0.044:0.044) (0.040:0.040:0.040))
+ (INTERCONNECT _39058_.X _39167_.S1 (0.039:0.039:0.039) (0.035:0.035:0.035))
+ (INTERCONNECT _39058_.X _39172_.S1 (0.044:0.044:0.044) (0.041:0.041:0.041))
+ (INTERCONNECT _39058_.X _39178_.S1 (0.041:0.041:0.041) (0.038:0.038:0.038))
+ (INTERCONNECT _39058_.X _39183_.S1 (0.046:0.046:0.046) (0.043:0.043:0.043))
+ (INTERCONNECT _39058_.X _39189_.S1 (0.049:0.049:0.049) (0.045:0.045:0.045))
+ (INTERCONNECT _39058_.X _39194_.S1 (0.047:0.047:0.047) (0.043:0.043:0.043))
+ (INTERCONNECT _39058_.X _39200_.S1 (0.052:0.052:0.052) (0.048:0.048:0.048))
+ (INTERCONNECT _39058_.X _39205_.S1 (0.051:0.051:0.051) (0.047:0.047:0.047))
+ (INTERCONNECT _39058_.X _39211_.S1 (0.053:0.053:0.053) (0.049:0.049:0.049))
+ (INTERCONNECT _39058_.X _39215_.S1 (0.054:0.054:0.054) (0.050:0.050:0.050))
+ (INTERCONNECT _39058_.X _39222_.S1 (0.054:0.054:0.054) (0.050:0.050:0.050))
+ (INTERCONNECT _39058_.X _39226_.S1 (0.053:0.053:0.053) (0.050:0.050:0.050))
+ (INTERCONNECT _39058_.X _41247_.S1 (0.011:0.011:0.011) (0.011:0.011:0.011))
+ (INTERCONNECT _39058_.X _41249_.S1 (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _39058_.X _41251_.S1 (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _39058_.X _41256_.S1 (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _39058_.X _41258_.S1 (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _39058_.X _41261_.S1 (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _39058_.X ANTENNA__41261__S1.DIODE (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _39058_.X ANTENNA__41258__S1.DIODE (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _39058_.X ANTENNA__41256__S1.DIODE (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _39058_.X ANTENNA__41251__S1.DIODE (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _39058_.X ANTENNA__41249__S1.DIODE (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _39058_.X ANTENNA__41247__S1.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _39058_.X ANTENNA__39226__S1.DIODE (0.053:0.053:0.053) (0.049:0.049:0.049))
+ (INTERCONNECT _39058_.X ANTENNA__39222__S1.DIODE (0.054:0.054:0.054) (0.050:0.050:0.050))
+ (INTERCONNECT _39058_.X ANTENNA__39215__S1.DIODE (0.054:0.054:0.054) (0.050:0.050:0.050))
+ (INTERCONNECT _39058_.X ANTENNA__39211__S1.DIODE (0.053:0.053:0.053) (0.049:0.049:0.049))
+ (INTERCONNECT _39058_.X ANTENNA__39205__S1.DIODE (0.051:0.051:0.051) (0.047:0.047:0.047))
+ (INTERCONNECT _39058_.X ANTENNA__39200__S1.DIODE (0.052:0.052:0.052) (0.048:0.048:0.048))
+ (INTERCONNECT _39058_.X ANTENNA__39194__S1.DIODE (0.047:0.047:0.047) (0.044:0.044:0.044))
+ (INTERCONNECT _39058_.X ANTENNA__39189__S1.DIODE (0.049:0.049:0.049) (0.045:0.045:0.045))
+ (INTERCONNECT _39058_.X ANTENNA__39183__S1.DIODE (0.046:0.046:0.046) (0.043:0.043:0.043))
+ (INTERCONNECT _39058_.X ANTENNA__39178__S1.DIODE (0.042:0.042:0.042) (0.038:0.038:0.038))
+ (INTERCONNECT _39058_.X ANTENNA__39172__S1.DIODE (0.045:0.045:0.045) (0.042:0.042:0.042))
+ (INTERCONNECT _39058_.X ANTENNA__39167__S1.DIODE (0.039:0.039:0.039) (0.036:0.036:0.036))
+ (INTERCONNECT _39058_.X ANTENNA__39161__S1.DIODE (0.044:0.044:0.044) (0.040:0.040:0.040))
+ (INTERCONNECT _39058_.X ANTENNA__39059__A.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017))
+ (INTERCONNECT _39059_.X _39060_.S1 (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _39059_.X _39073_.S1 (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _39059_.X _39233_.S1 (0.018:0.018:0.018) (0.017:0.017:0.017))
+ (INTERCONNECT _39059_.X _39237_.S1 (0.017:0.017:0.017) (0.017:0.017:0.017))
+ (INTERCONNECT _39059_.X _39244_.S1 (0.018:0.018:0.018) (0.017:0.017:0.017))
+ (INTERCONNECT _39059_.X _39249_.S1 (0.018:0.018:0.018) (0.017:0.017:0.017))
+ (INTERCONNECT _39059_.X _59697_.S1 (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _39059_.X _59701_.C1 (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _39059_.X _59703_.S1 (0.011:0.011:0.011) (0.011:0.011:0.011))
+ (INTERCONNECT _39059_.X _59704_.S1 (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _39059_.X _59708_.S1 (0.019:0.019:0.019) (0.018:0.018:0.018))
+ (INTERCONNECT _39059_.X _59711_.S1 (0.020:0.020:0.020) (0.018:0.018:0.018))
+ (INTERCONNECT _39059_.X _59713_.S1 (0.020:0.020:0.020) (0.018:0.018:0.018))
+ (INTERCONNECT _39059_.X _59715_.S1 (0.018:0.018:0.018) (0.017:0.017:0.017))
+ (INTERCONNECT _39059_.X _59717_.S1 (0.017:0.017:0.017) (0.016:0.016:0.016))
+ (INTERCONNECT _39059_.X _59719_.S1 (0.016:0.016:0.016) (0.015:0.015:0.015))
+ (INTERCONNECT _39059_.X _61440_.S1 (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _39059_.X _61444_.S1 (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _39059_.X _61448_.A (0.011:0.011:0.011) (0.011:0.011:0.011))
+ (INTERCONNECT _39059_.X _61456_.S1 (0.012:0.012:0.012) (0.012:0.012:0.012))
+ (INTERCONNECT _39059_.X ANTENNA__61456__S1.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+ (INTERCONNECT _39059_.X ANTENNA__61448__A.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+ (INTERCONNECT _39059_.X ANTENNA__61444__S1.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _39059_.X ANTENNA__61440__S1.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _39059_.X ANTENNA__59719__S1.DIODE (0.015:0.015:0.015) (0.015:0.015:0.015))
+ (INTERCONNECT _39059_.X ANTENNA__59717__S1.DIODE (0.017:0.017:0.017) (0.016:0.016:0.016))
+ (INTERCONNECT _39059_.X ANTENNA__59715__S1.DIODE (0.017:0.017:0.017) (0.016:0.016:0.016))
+ (INTERCONNECT _39059_.X ANTENNA__59713__S1.DIODE (0.020:0.020:0.020) (0.018:0.018:0.018))
+ (INTERCONNECT _39059_.X ANTENNA__59711__S1.DIODE (0.020:0.020:0.020) (0.018:0.018:0.018))
+ (INTERCONNECT _39059_.X ANTENNA__59708__S1.DIODE (0.019:0.019:0.019) (0.018:0.018:0.018))
+ (INTERCONNECT _39059_.X ANTENNA__59704__S1.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _39059_.X ANTENNA__59703__S1.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+ (INTERCONNECT _39059_.X ANTENNA__59701__C1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _39059_.X ANTENNA__59697__S1.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014))
+ (INTERCONNECT _39059_.X ANTENNA__39249__S1.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017))
+ (INTERCONNECT _39059_.X ANTENNA__39244__S1.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017))
+ (INTERCONNECT _39059_.X ANTENNA__39237__S1.DIODE (0.017:0.017:0.017) (0.016:0.016:0.016))
+ (INTERCONNECT _39059_.X ANTENNA__39233__S1.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017))
+ (INTERCONNECT _39059_.X ANTENNA__39073__S1.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+ (INTERCONNECT _39059_.X ANTENNA__39060__S1.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _39060_.X _39070_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39061_.X _39063_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39061_.X _61454_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39062_.X _39063_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39062_.X _61450_.B1_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39062_.X ANTENNA__61450__B1_N.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39062_.X ANTENNA__39063__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39063_.X _39064_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39064_.X _39067_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39064_.X _39081_.A (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT _39064_.X _60896_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39064_.X _61442_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39064_.X _61446_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39064_.X _61455_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39065_.X _39066_.B_N (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39065_.X _60896_.C (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _39065_.X _61451_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39065_.X ANTENNA__61451__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39065_.X ANTENNA__60896__C.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39065_.X ANTENNA__39066__B_N.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39066_.Y _39067_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39066_.Y _39081_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39066_.Y _61441_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39066_.Y _61445_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39066_.Y _61459_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39066_.Y _61461_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _39067_.X _39068_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39067_.X _42452_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39067_.X _60633_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39067_.X _61162_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39067_.X _73549_.C (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39067_.X ANTENNA__73549__C.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39067_.X ANTENNA__61162__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39067_.X ANTENNA__60633__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39067_.X ANTENNA__42452__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39067_.X ANTENNA__39068__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39068_.X _39069_.A (0.054:0.054:0.054) (0.049:0.049:0.049))
+ (INTERCONNECT _39068_.X _39148_.A (0.054:0.054:0.054) (0.049:0.049:0.049))
+ (INTERCONNECT _39068_.X _39235_.S (0.047:0.047:0.047) (0.043:0.043:0.043))
+ (INTERCONNECT _39068_.X _39251_.S (0.048:0.048:0.048) (0.043:0.043:0.043))
+ (INTERCONNECT _39068_.X _39253_.B (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _39068_.X _39261_.B (0.013:0.013:0.013) (0.011:0.011:0.011))
+ (INTERCONNECT _39068_.X _60888_.A (0.018:0.018:0.018) (0.016:0.016:0.016))
+ (INTERCONNECT _39068_.X _61157_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39068_.X _61171_.A (0.018:0.018:0.018) (0.016:0.016:0.016))
+ (INTERCONNECT _39068_.X _72575_.A2 (0.040:0.040:0.040) (0.037:0.037:0.037))
+ (INTERCONNECT _39068_.X _72611_.B1 (0.040:0.040:0.040) (0.036:0.036:0.036))
+ (INTERCONNECT _39068_.X _72620_.A2 (0.040:0.040:0.040) (0.036:0.036:0.036))
+ (INTERCONNECT _39068_.X _72656_.A2_N (0.046:0.046:0.046) (0.041:0.041:0.041))
+ (INTERCONNECT _39068_.X _72683_.B2 (0.052:0.052:0.052) (0.047:0.047:0.047))
+ (INTERCONNECT _39068_.X _72693_.C1 (0.052:0.052:0.052) (0.048:0.048:0.048))
+ (INTERCONNECT _39068_.X _72694_.A2 (0.053:0.053:0.053) (0.048:0.048:0.048))
+ (INTERCONNECT _39068_.X _72768_.B2 (0.051:0.051:0.051) (0.046:0.046:0.046))
+ (INTERCONNECT _39068_.X _72776_.B2 (0.050:0.050:0.050) (0.045:0.045:0.045))
+ (INTERCONNECT _39068_.X _73300_.A2 (0.054:0.054:0.054) (0.049:0.049:0.049))
+ (INTERCONNECT _39068_.X _73305_.A2 (0.054:0.054:0.054) (0.049:0.049:0.049))
+ (INTERCONNECT _39068_.X ANTENNA__73305__A2.DIODE (0.054:0.054:0.054) (0.049:0.049:0.049))
+ (INTERCONNECT _39068_.X ANTENNA__73300__A2.DIODE (0.054:0.054:0.054) (0.049:0.049:0.049))
+ (INTERCONNECT _39068_.X ANTENNA__72776__B2.DIODE (0.050:0.050:0.050) (0.046:0.046:0.046))
+ (INTERCONNECT _39068_.X ANTENNA__72768__B2.DIODE (0.052:0.052:0.052) (0.047:0.047:0.047))
+ (INTERCONNECT _39068_.X ANTENNA__72694__A2.DIODE (0.054:0.054:0.054) (0.049:0.049:0.049))
+ (INTERCONNECT _39068_.X ANTENNA__72693__C1.DIODE (0.054:0.054:0.054) (0.049:0.049:0.049))
+ (INTERCONNECT _39068_.X ANTENNA__72683__B2.DIODE (0.052:0.052:0.052) (0.047:0.047:0.047))
+ (INTERCONNECT _39068_.X ANTENNA__72656__A2_N.DIODE (0.047:0.047:0.047) (0.043:0.043:0.043))
+ (INTERCONNECT _39068_.X ANTENNA__72620__A2.DIODE (0.040:0.040:0.040) (0.037:0.037:0.037))
+ (INTERCONNECT _39068_.X ANTENNA__72611__B1.DIODE (0.040:0.040:0.040) (0.036:0.036:0.036))
+ (INTERCONNECT _39068_.X ANTENNA__72575__A2.DIODE (0.040:0.040:0.040) (0.037:0.037:0.037))
+ (INTERCONNECT _39068_.X ANTENNA__61171__A.DIODE (0.019:0.019:0.019) (0.017:0.017:0.017))
+ (INTERCONNECT _39068_.X ANTENNA__61157__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39068_.X ANTENNA__60888__A.DIODE (0.018:0.018:0.018) (0.016:0.016:0.016))
+ (INTERCONNECT _39068_.X ANTENNA__39261__B.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _39068_.X ANTENNA__39253__B.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _39068_.X ANTENNA__39251__S.DIODE (0.048:0.048:0.048) (0.043:0.043:0.043))
+ (INTERCONNECT _39068_.X ANTENNA__39235__S.DIODE (0.047:0.047:0.047) (0.043:0.043:0.043))
+ (INTERCONNECT _39068_.X ANTENNA__39148__A.DIODE (0.054:0.054:0.054) (0.049:0.049:0.049))
+ (INTERCONNECT _39068_.X ANTENNA__39069__A.DIODE (0.054:0.054:0.054) (0.049:0.049:0.049))
+ (INTERCONNECT _39069_.X _39070_.S (0.027:0.027:0.027) (0.025:0.025:0.025))
+ (INTERCONNECT _39069_.X _39074_.S (0.027:0.027:0.027) (0.025:0.025:0.025))
+ (INTERCONNECT _39069_.X _39078_.B1 (0.027:0.027:0.027) (0.024:0.024:0.024))
+ (INTERCONNECT _39069_.X _39092_.S (0.027:0.027:0.027) (0.024:0.024:0.024))
+ (INTERCONNECT _39069_.X _39097_.S (0.027:0.027:0.027) (0.025:0.025:0.025))
+ (INTERCONNECT _39069_.X _39105_.S (0.026:0.026:0.026) (0.024:0.024:0.024))
+ (INTERCONNECT _39069_.X _39110_.S (0.020:0.020:0.020) (0.019:0.019:0.019))
+ (INTERCONNECT _39069_.X _39122_.S (0.019:0.019:0.019) (0.017:0.017:0.017))
+ (INTERCONNECT _39069_.X _39129_.S (0.020:0.020:0.020) (0.018:0.018:0.018))
+ (INTERCONNECT _39069_.X _39134_.S (0.018:0.018:0.018) (0.017:0.017:0.017))
+ (INTERCONNECT _39069_.X _39146_.S (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _39069_.X _39158_.S (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _39069_.X _39169_.S (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _39069_.X _39180_.S (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _39069_.X _39191_.S (0.011:0.011:0.011) (0.011:0.011:0.011))
+ (INTERCONNECT _39069_.X _39202_.S (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _39069_.X _39213_.S (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _39069_.X _39224_.S (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _39069_.X _73213_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39069_.X _73216_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39069_.X ANTENNA__73216__A1.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _39069_.X ANTENNA__73213__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39069_.X ANTENNA__39224__S.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _39069_.X ANTENNA__39213__S.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _39069_.X ANTENNA__39202__S.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _39069_.X ANTENNA__39191__S.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _39069_.X ANTENNA__39180__S.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _39069_.X ANTENNA__39169__S.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _39069_.X ANTENNA__39158__S.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _39069_.X ANTENNA__39146__S.DIODE (0.016:0.016:0.016) (0.015:0.015:0.015))
+ (INTERCONNECT _39069_.X ANTENNA__39134__S.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017))
+ (INTERCONNECT _39069_.X ANTENNA__39129__S.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017))
+ (INTERCONNECT _39069_.X ANTENNA__39122__S.DIODE (0.019:0.019:0.019) (0.018:0.018:0.018))
+ (INTERCONNECT _39069_.X ANTENNA__39110__S.DIODE (0.021:0.021:0.021) (0.019:0.019:0.019))
+ (INTERCONNECT _39069_.X ANTENNA__39105__S.DIODE (0.027:0.027:0.027) (0.024:0.024:0.024))
+ (INTERCONNECT _39069_.X ANTENNA__39097__S.DIODE (0.027:0.027:0.027) (0.025:0.025:0.025))
+ (INTERCONNECT _39069_.X ANTENNA__39092__S.DIODE (0.026:0.026:0.026) (0.024:0.024:0.024))
+ (INTERCONNECT _39069_.X ANTENNA__39078__B1.DIODE (0.026:0.026:0.026) (0.024:0.024:0.024))
+ (INTERCONNECT _39069_.X ANTENNA__39074__S.DIODE (0.027:0.027:0.027) (0.025:0.025:0.025))
+ (INTERCONNECT _39069_.X ANTENNA__39070__S.DIODE (0.027:0.027:0.027) (0.025:0.025:0.025))
+ (INTERCONNECT _39070_.X _39071_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39071_.X _84013_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39072_.X _39074_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39073_.X _39074_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39074_.X _39075_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39075_.X _84014_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39076_.X _39077_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39076_.X _39078_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39076_.X _39089_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39076_.X _39090_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39077_.Y _39085_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39078_.X _39085_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39079_.X _39080_.A0 (0.033:0.033:0.033) (0.031:0.031:0.031))
+ (INTERCONNECT _39079_.X _42574_.A0 (0.043:0.043:0.043) (0.041:0.041:0.041))
+ (INTERCONNECT _39079_.X _44531_.A0 (0.034:0.034:0.034) (0.032:0.032:0.032))
+ (INTERCONNECT _39079_.X _58344_.A (0.050:0.050:0.050) (0.046:0.046:0.046))
+ (INTERCONNECT _39079_.X _58348_.A (0.050:0.050:0.050) (0.046:0.046:0.046))
+ (INTERCONNECT _39079_.X _60647_.A1 (0.018:0.018:0.018) (0.017:0.017:0.017))
+ (INTERCONNECT _39079_.X _60905_.A1 (0.017:0.017:0.017) (0.016:0.016:0.016))
+ (INTERCONNECT _39079_.X _61177_.A0 (0.043:0.043:0.043) (0.040:0.040:0.040))
+ (INTERCONNECT _39079_.X _61312_.A0 (0.030:0.030:0.030) (0.028:0.028:0.028))
+ (INTERCONNECT _39079_.X _61452_.A0 (0.033:0.033:0.033) (0.030:0.030:0.030))
+ (INTERCONNECT _39079_.X _73557_.A0 (0.024:0.024:0.024) (0.023:0.023:0.023))
+ (INTERCONNECT _39079_.X _75965_.A (0.045:0.045:0.045) (0.042:0.042:0.042))
+ (INTERCONNECT _39079_.X ANTENNA__75965__A.DIODE (0.045:0.045:0.045) (0.042:0.042:0.042))
+ (INTERCONNECT _39079_.X ANTENNA__73557__A0.DIODE (0.024:0.024:0.024) (0.023:0.023:0.023))
+ (INTERCONNECT _39079_.X ANTENNA__61452__A0.DIODE (0.033:0.033:0.033) (0.030:0.030:0.030))
+ (INTERCONNECT _39079_.X ANTENNA__61312__A0.DIODE (0.030:0.030:0.030) (0.028:0.028:0.028))
+ (INTERCONNECT _39079_.X ANTENNA__61177__A0.DIODE (0.043:0.043:0.043) (0.040:0.040:0.040))
+ (INTERCONNECT _39079_.X ANTENNA__60905__A1.DIODE (0.017:0.017:0.017) (0.016:0.016:0.016))
+ (INTERCONNECT _39079_.X ANTENNA__60647__A1.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017))
+ (INTERCONNECT _39079_.X ANTENNA__58348__A.DIODE (0.050:0.050:0.050) (0.046:0.046:0.046))
+ (INTERCONNECT _39079_.X ANTENNA__58344__A.DIODE (0.049:0.049:0.049) (0.046:0.046:0.046))
+ (INTERCONNECT _39079_.X ANTENNA__44531__A0.DIODE (0.034:0.034:0.034) (0.031:0.031:0.031))
+ (INTERCONNECT _39079_.X ANTENNA__42574__A0.DIODE (0.043:0.043:0.043) (0.041:0.041:0.041))
+ (INTERCONNECT _39079_.X ANTENNA__39080__A0.DIODE (0.033:0.033:0.033) (0.031:0.031:0.031))
+ (INTERCONNECT _39080_.X _39085_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39081_.Y _39082_.A (0.012:0.012:0.012) (0.012:0.012:0.012))
+ (INTERCONNECT _39081_.Y _39262_.A (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _39081_.Y _41662_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39081_.Y _72645_.A (0.012:0.012:0.012) (0.012:0.012:0.012))
+ (INTERCONNECT _39081_.Y ANTENNA__72645__A.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+ (INTERCONNECT _39081_.Y ANTENNA__41662__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39081_.Y ANTENNA__39262__A.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+ (INTERCONNECT _39081_.Y ANTENNA__39082__A.DIODE (0.012:0.012:0.012) (0.011:0.011:0.012))
+ (INTERCONNECT _39082_.X _39083_.A (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _39082_.X _72592_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39082_.X _72601_.S (0.019:0.019:0.019) (0.018:0.018:0.018))
+ (INTERCONNECT _39082_.X _72628_.S (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _39082_.X _72635_.S (0.019:0.019:0.019) (0.017:0.017:0.017))
+ (INTERCONNECT _39082_.X _72682_.B1 (0.018:0.018:0.018) (0.016:0.016:0.016))
+ (INTERCONNECT _39082_.X _72701_.S (0.018:0.018:0.018) (0.017:0.017:0.017))
+ (INTERCONNECT _39082_.X _72710_.S (0.020:0.020:0.020) (0.019:0.019:0.019))
+ (INTERCONNECT _39082_.X _72717_.S (0.018:0.018:0.018) (0.017:0.017:0.017))
+ (INTERCONNECT _39082_.X _72726_.S (0.021:0.021:0.021) (0.019:0.019:0.019))
+ (INTERCONNECT _39082_.X _72733_.S (0.022:0.022:0.022) (0.020:0.020:0.020))
+ (INTERCONNECT _39082_.X _72742_.S (0.023:0.023:0.023) (0.021:0.021:0.021))
+ (INTERCONNECT _39082_.X _72749_.S (0.022:0.022:0.022) (0.020:0.020:0.020))
+ (INTERCONNECT _39082_.X _72758_.S (0.024:0.024:0.024) (0.022:0.022:0.022))
+ (INTERCONNECT _39082_.X _72767_.B1 (0.018:0.018:0.018) (0.017:0.017:0.017))
+ (INTERCONNECT _39082_.X _72775_.B1 (0.016:0.016:0.016) (0.015:0.015:0.015))
+ (INTERCONNECT _39082_.X _72793_.B1 (0.018:0.018:0.018) (0.017:0.017:0.017))
+ (INTERCONNECT _39082_.X _72801_.S (0.024:0.024:0.024) (0.022:0.022:0.022))
+ (INTERCONNECT _39082_.X _72808_.S (0.023:0.023:0.023) (0.021:0.021:0.021))
+ (INTERCONNECT _39082_.X _73218_.A (0.018:0.018:0.018) (0.017:0.017:0.017))
+ (INTERCONNECT _39082_.X ANTENNA__73218__A.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017))
+ (INTERCONNECT _39082_.X ANTENNA__72808__S.DIODE (0.024:0.024:0.024) (0.021:0.021:0.021))
+ (INTERCONNECT _39082_.X ANTENNA__72801__S.DIODE (0.023:0.023:0.023) (0.021:0.021:0.021))
+ (INTERCONNECT _39082_.X ANTENNA__72793__B1.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017))
+ (INTERCONNECT _39082_.X ANTENNA__72775__B1.DIODE (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _39082_.X ANTENNA__72767__B1.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017))
+ (INTERCONNECT _39082_.X ANTENNA__72758__S.DIODE (0.023:0.023:0.023) (0.021:0.021:0.021))
+ (INTERCONNECT _39082_.X ANTENNA__72749__S.DIODE (0.022:0.022:0.022) (0.020:0.020:0.020))
+ (INTERCONNECT _39082_.X ANTENNA__72742__S.DIODE (0.023:0.023:0.023) (0.021:0.021:0.021))
+ (INTERCONNECT _39082_.X ANTENNA__72733__S.DIODE (0.022:0.022:0.022) (0.020:0.020:0.020))
+ (INTERCONNECT _39082_.X ANTENNA__72726__S.DIODE (0.021:0.021:0.021) (0.019:0.019:0.019))
+ (INTERCONNECT _39082_.X ANTENNA__72717__S.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017))
+ (INTERCONNECT _39082_.X ANTENNA__72710__S.DIODE (0.020:0.020:0.020) (0.019:0.019:0.019))
+ (INTERCONNECT _39082_.X ANTENNA__72701__S.DIODE (0.019:0.019:0.019) (0.018:0.018:0.018))
+ (INTERCONNECT _39082_.X ANTENNA__72682__B1.DIODE (0.018:0.018:0.018) (0.016:0.016:0.016))
+ (INTERCONNECT _39082_.X ANTENNA__72635__S.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017))
+ (INTERCONNECT _39082_.X ANTENNA__72628__S.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _39082_.X ANTENNA__72601__S.DIODE (0.019:0.019:0.019) (0.017:0.017:0.017))
+ (INTERCONNECT _39082_.X ANTENNA__72592__S.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39082_.X ANTENNA__39083__A.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _39083_.X _39084_.A (0.046:0.046:0.046) (0.042:0.042:0.042))
+ (INTERCONNECT _39083_.X _39117_.S (0.022:0.022:0.022) (0.021:0.021:0.021))
+ (INTERCONNECT _39083_.X _39141_.S (0.017:0.017:0.017) (0.016:0.016:0.016))
+ (INTERCONNECT _39083_.X _61451_.B1 (0.041:0.041:0.041) (0.037:0.037:0.037))
+ (INTERCONNECT _39083_.X _66435_.B (0.046:0.046:0.046) (0.042:0.042:0.042))
+ (INTERCONNECT _39083_.X _66438_.B (0.046:0.046:0.046) (0.042:0.042:0.042))
+ (INTERCONNECT _39083_.X _66441_.B (0.046:0.046:0.046) (0.042:0.042:0.042))
+ (INTERCONNECT _39083_.X _66478_.B (0.046:0.046:0.046) (0.042:0.042:0.042))
+ (INTERCONNECT _39083_.X _72543_.D (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _39083_.X _72549_.D (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _39083_.X _72558_.S (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _39083_.X _72567_.S (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _39083_.X _72574_.C1 (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _39083_.X _72584_.S (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _39083_.X _72612_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39083_.X _72619_.C1 (0.012:0.012:0.012) (0.012:0.012:0.012))
+ (INTERCONNECT _39083_.X _72646_.A2 (0.012:0.012:0.012) (0.012:0.012:0.012))
+ (INTERCONNECT _39083_.X _72666_.A2 (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _39083_.X _72673_.A2 (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _39083_.X _72785_.B1 (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _39083_.X ANTENNA__72785__B1.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _39083_.X ANTENNA__72673__A2.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _39083_.X ANTENNA__72666__A2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39083_.X ANTENNA__72646__A2.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _39083_.X ANTENNA__72619__C1.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _39083_.X ANTENNA__72612__A2.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _39083_.X ANTENNA__72584__S.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _39083_.X ANTENNA__72574__C1.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _39083_.X ANTENNA__72567__S.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _39083_.X ANTENNA__72558__S.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _39083_.X ANTENNA__72549__D.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _39083_.X ANTENNA__72543__D.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _39083_.X ANTENNA__66478__B.DIODE (0.046:0.046:0.046) (0.042:0.042:0.042))
+ (INTERCONNECT _39083_.X ANTENNA__66441__B.DIODE (0.046:0.046:0.046) (0.042:0.042:0.042))
+ (INTERCONNECT _39083_.X ANTENNA__66438__B.DIODE (0.046:0.046:0.046) (0.042:0.042:0.042))
+ (INTERCONNECT _39083_.X ANTENNA__66435__B.DIODE (0.046:0.046:0.046) (0.042:0.042:0.042))
+ (INTERCONNECT _39083_.X ANTENNA__61451__B1.DIODE (0.041:0.041:0.041) (0.037:0.037:0.037))
+ (INTERCONNECT _39083_.X ANTENNA__39141__S.DIODE (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _39083_.X ANTENNA__39117__S.DIODE (0.022:0.022:0.022) (0.020:0.020:0.020))
+ (INTERCONNECT _39083_.X ANTENNA__39084__A.DIODE (0.045:0.045:0.045) (0.041:0.041:0.041))
+ (INTERCONNECT _39084_.X _39085_.B2 (0.021:0.021:0.021) (0.020:0.020:0.020))
+ (INTERCONNECT _39084_.X _39220_.B1 (0.025:0.025:0.025) (0.024:0.024:0.024))
+ (INTERCONNECT _39084_.X _39231_.B1 (0.025:0.025:0.025) (0.023:0.023:0.023))
+ (INTERCONNECT _39084_.X _39242_.B1 (0.025:0.025:0.025) (0.024:0.024:0.024))
+ (INTERCONNECT _39084_.X _39247_.A1 (0.025:0.025:0.025) (0.024:0.024:0.024))
+ (INTERCONNECT _39084_.X _61158_.A2 (0.021:0.021:0.021) (0.020:0.020:0.020))
+ (INTERCONNECT _39084_.X _66393_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39084_.X _66396_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39084_.X _66399_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39084_.X _66402_.B (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39084_.X _66405_.B (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _39084_.X _66408_.B (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _39084_.X _66411_.B (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _39084_.X _66414_.B (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39084_.X _66417_.B (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39084_.X _66420_.B (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39084_.X _66423_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39084_.X _66426_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39084_.X _66429_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39084_.X _66432_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39084_.X ANTENNA__66432__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39084_.X ANTENNA__66429__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39084_.X ANTENNA__66426__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39084_.X ANTENNA__66423__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39084_.X ANTENNA__66420__B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39084_.X ANTENNA__66417__B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39084_.X ANTENNA__66414__B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39084_.X ANTENNA__66411__B.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _39084_.X ANTENNA__66408__B.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _39084_.X ANTENNA__66405__B.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _39084_.X ANTENNA__66402__B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39084_.X ANTENNA__66399__B.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _39084_.X ANTENNA__66396__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39084_.X ANTENNA__66393__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39084_.X ANTENNA__61158__A2.DIODE (0.021:0.021:0.021) (0.020:0.020:0.020))
+ (INTERCONNECT _39084_.X ANTENNA__39247__A1.DIODE (0.025:0.025:0.025) (0.024:0.024:0.024))
+ (INTERCONNECT _39084_.X ANTENNA__39242__B1.DIODE (0.025:0.025:0.025) (0.024:0.024:0.024))
+ (INTERCONNECT _39084_.X ANTENNA__39231__B1.DIODE (0.024:0.024:0.024) (0.023:0.023:0.023))
+ (INTERCONNECT _39084_.X ANTENNA__39220__B1.DIODE (0.025:0.025:0.025) (0.024:0.024:0.024))
+ (INTERCONNECT _39084_.X ANTENNA__39085__B2.DIODE (0.021:0.021:0.021) (0.020:0.020:0.020))
+ (INTERCONNECT _39085_.X _84015_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39086_.X _39087_.A0 (0.026:0.026:0.026) (0.024:0.024:0.024))
+ (INTERCONNECT _39086_.X _42550_.A0 (0.038:0.038:0.038) (0.035:0.035:0.035))
+ (INTERCONNECT _39086_.X _44550_.A0 (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39086_.X _58345_.A (0.045:0.045:0.045) (0.041:0.041:0.041))
+ (INTERCONNECT _39086_.X _58349_.A (0.045:0.045:0.045) (0.041:0.041:0.041))
+ (INTERCONNECT _39086_.X _60651_.A1 (0.019:0.019:0.019) (0.018:0.018:0.018))
+ (INTERCONNECT _39086_.X _60909_.A1 (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _39086_.X _61161_.A1 (0.026:0.026:0.026) (0.024:0.024:0.024))
+ (INTERCONNECT _39086_.X _61169_.A1 (0.026:0.026:0.026) (0.024:0.024:0.024))
+ (INTERCONNECT _39086_.X _61172_.A0 (0.026:0.026:0.026) (0.024:0.024:0.024))
+ (INTERCONNECT _39086_.X _61179_.A0 (0.037:0.037:0.037) (0.034:0.034:0.034))
+ (INTERCONNECT _39086_.X _61314_.A0 (0.025:0.025:0.025) (0.023:0.023:0.023))
+ (INTERCONNECT _39086_.X _61458_.A0 (0.026:0.026:0.026) (0.024:0.024:0.024))
+ (INTERCONNECT _39086_.X _73559_.A0 (0.020:0.020:0.020) (0.019:0.019:0.019))
+ (INTERCONNECT _39086_.X _75968_.A (0.039:0.039:0.039) (0.036:0.036:0.036))
+ (INTERCONNECT _39086_.X ANTENNA__75968__A.DIODE (0.039:0.039:0.039) (0.036:0.036:0.036))
+ (INTERCONNECT _39086_.X ANTENNA__73559__A0.DIODE (0.021:0.021:0.021) (0.019:0.019:0.019))
+ (INTERCONNECT _39086_.X ANTENNA__61458__A0.DIODE (0.026:0.026:0.026) (0.024:0.024:0.024))
+ (INTERCONNECT _39086_.X ANTENNA__61314__A0.DIODE (0.024:0.024:0.024) (0.023:0.023:0.023))
+ (INTERCONNECT _39086_.X ANTENNA__61179__A0.DIODE (0.037:0.037:0.037) (0.034:0.034:0.034))
+ (INTERCONNECT _39086_.X ANTENNA__61172__A0.DIODE (0.026:0.026:0.026) (0.024:0.024:0.024))
+ (INTERCONNECT _39086_.X ANTENNA__61169__A1.DIODE (0.025:0.025:0.025) (0.023:0.023:0.023))
+ (INTERCONNECT _39086_.X ANTENNA__61161__A1.DIODE (0.026:0.026:0.026) (0.024:0.024:0.024))
+ (INTERCONNECT _39086_.X ANTENNA__60909__A1.DIODE (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _39086_.X ANTENNA__60651__A1.DIODE (0.019:0.019:0.019) (0.018:0.018:0.018))
+ (INTERCONNECT _39086_.X ANTENNA__58349__A.DIODE (0.044:0.044:0.044) (0.041:0.041:0.041))
+ (INTERCONNECT _39086_.X ANTENNA__58345__A.DIODE (0.045:0.045:0.045) (0.041:0.041:0.041))
+ (INTERCONNECT _39086_.X ANTENNA__44550__A0.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39086_.X ANTENNA__42550__A0.DIODE (0.038:0.038:0.038) (0.034:0.034:0.034))
+ (INTERCONNECT _39086_.X ANTENNA__39087__A0.DIODE (0.026:0.026:0.026) (0.024:0.024:0.024))
+ (INTERCONNECT _39087_.X _39092_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39088_.X _39089_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39088_.X _39090_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39089_.X _39091_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39089_.X _39096_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39089_.X _39102_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39089_.X _39103_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39090_.Y _39091_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39091_.Y _39092_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39092_.X _39093_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39093_.X _84016_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39094_.X _39097_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39095_.X _39096_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39095_.X _39102_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39095_.X _39103_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39096_.X _39097_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39097_.X _39098_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39098_.X _84017_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39099_.X _39100_.A0 (0.038:0.038:0.038) (0.035:0.035:0.035))
+ (INTERCONNECT _39099_.X _42470_.A1 (0.035:0.035:0.035) (0.032:0.032:0.032))
+ (INTERCONNECT _39099_.X _44588_.A0 (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39099_.X _58342_.A (0.045:0.045:0.045) (0.042:0.042:0.042))
+ (INTERCONNECT _39099_.X _58364_.A (0.045:0.045:0.045) (0.041:0.041:0.041))
+ (INTERCONNECT _39099_.X _60658_.A1 (0.025:0.025:0.025) (0.023:0.023:0.023))
+ (INTERCONNECT _39099_.X _60916_.A1 (0.016:0.016:0.016) (0.015:0.015:0.015))
+ (INTERCONNECT _39099_.X _61183_.A0 (0.035:0.035:0.035) (0.032:0.032:0.032))
+ (INTERCONNECT _39099_.X _61318_.A0 (0.018:0.018:0.018) (0.017:0.017:0.017))
+ (INTERCONNECT _39099_.X _73563_.A0 (0.032:0.032:0.032) (0.029:0.029:0.029))
+ (INTERCONNECT _39099_.X _75974_.A (0.036:0.036:0.036) (0.034:0.034:0.034))
+ (INTERCONNECT _39099_.X ANTENNA__75974__A.DIODE (0.036:0.036:0.036) (0.034:0.034:0.034))
+ (INTERCONNECT _39099_.X ANTENNA__73563__A0.DIODE (0.032:0.032:0.032) (0.029:0.029:0.029))
+ (INTERCONNECT _39099_.X ANTENNA__61318__A0.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017))
+ (INTERCONNECT _39099_.X ANTENNA__61183__A0.DIODE (0.035:0.035:0.035) (0.032:0.032:0.032))
+ (INTERCONNECT _39099_.X ANTENNA__60916__A1.DIODE (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _39099_.X ANTENNA__60658__A1.DIODE (0.026:0.026:0.026) (0.024:0.024:0.024))
+ (INTERCONNECT _39099_.X ANTENNA__58364__A.DIODE (0.045:0.045:0.045) (0.041:0.041:0.041))
+ (INTERCONNECT _39099_.X ANTENNA__58342__A.DIODE (0.044:0.044:0.044) (0.041:0.041:0.041))
+ (INTERCONNECT _39099_.X ANTENNA__44588__A0.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39099_.X ANTENNA__42470__A1.DIODE (0.035:0.035:0.035) (0.032:0.032:0.032))
+ (INTERCONNECT _39099_.X ANTENNA__39100__A0.DIODE (0.038:0.038:0.038) (0.035:0.035:0.035))
+ (INTERCONNECT _39100_.X _39105_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39101_.X _39102_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39101_.X _39103_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39102_.X _39104_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39102_.X _39109_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39102_.X _39113_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39102_.X _39114_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39103_.Y _39104_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39104_.Y _39105_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39105_.X _39106_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39106_.X _84018_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39107_.X _39110_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39108_.X _39109_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39108_.X _39113_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39108_.X _39114_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39109_.X _39110_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39110_.X _39111_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39111_.X _84019_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39112_.X _39113_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39112_.X _39114_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39113_.X _39115_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39113_.X _39121_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39113_.X _39126_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39113_.X _39127_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39114_.X _39115_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39115_.X _39117_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39116_.X _39117_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39117_.X _39118_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39118_.X _84020_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39119_.X _39122_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39120_.X _39121_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39120_.X _39126_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39120_.X _39127_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _39121_.X _39122_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39122_.X _39123_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39123_.X _84021_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39124_.X _39129_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39125_.X _39126_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39125_.X _39127_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39126_.X _39128_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39126_.X _39133_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39126_.X _39137_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39126_.X _39138_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39126_.X ANTENNA__39138__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39126_.X ANTENNA__39137__A.DIODE (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT _39126_.X ANTENNA__39133__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39126_.X ANTENNA__39128__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39127_.Y _39128_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39128_.Y _39129_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39129_.X _39130_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39130_.X _84022_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39131_.X _39134_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39132_.X _39133_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39132_.X _39137_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39132_.X _39138_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39133_.X _39134_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39134_.X _39135_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39135_.X _84023_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39136_.X _39137_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39136_.X _39138_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39137_.X _39139_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39137_.X _39145_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39137_.X _39151_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39137_.X _39152_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39138_.Y _39139_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39139_.Y _39141_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39140_.X _39141_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39141_.X _39142_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39142_.X _84024_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39143_.X _39146_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39144_.X _39145_.B (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT _39144_.X _39151_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39144_.X _39152_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39144_.X ANTENNA__39152__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39144_.X ANTENNA__39151__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39144_.X ANTENNA__39145__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39145_.X _39146_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39146_.X _39147_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39147_.X _84025_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39148_.X _39153_.B1 (0.016:0.016:0.016) (0.015:0.015:0.015))
+ (INTERCONNECT _39148_.X _39154_.A1 (0.016:0.016:0.016) (0.015:0.015:0.015))
+ (INTERCONNECT _39148_.X _39164_.B1 (0.016:0.016:0.016) (0.015:0.015:0.015))
+ (INTERCONNECT _39148_.X _39165_.A1 (0.016:0.016:0.016) (0.015:0.015:0.015))
+ (INTERCONNECT _39148_.X _39175_.B1 (0.013:0.013:0.013) (0.013:0.013:0.013))
+ (INTERCONNECT _39148_.X _39176_.A1 (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _39148_.X _39186_.B1 (0.017:0.017:0.017) (0.016:0.016:0.016))
+ (INTERCONNECT _39148_.X _39187_.A1 (0.017:0.017:0.017) (0.016:0.016:0.016))
+ (INTERCONNECT _39148_.X _39197_.B1 (0.019:0.019:0.019) (0.018:0.018:0.018))
+ (INTERCONNECT _39148_.X _39198_.A1 (0.019:0.019:0.019) (0.018:0.018:0.018))
+ (INTERCONNECT _39148_.X _39208_.B1 (0.021:0.021:0.021) (0.020:0.020:0.020))
+ (INTERCONNECT _39148_.X _39209_.A1 (0.021:0.021:0.021) (0.020:0.020:0.020))
+ (INTERCONNECT _39148_.X _39218_.A (0.024:0.024:0.024) (0.022:0.022:0.022))
+ (INTERCONNECT _39148_.X _39229_.A (0.025:0.025:0.025) (0.023:0.023:0.023))
+ (INTERCONNECT _39148_.X _39240_.A (0.025:0.025:0.025) (0.023:0.023:0.023))
+ (INTERCONNECT _39148_.X _39246_.B1 (0.025:0.025:0.025) (0.023:0.023:0.023))
+ (INTERCONNECT _39148_.X _61454_.B2 (0.027:0.027:0.027) (0.025:0.025:0.025))
+ (INTERCONNECT _39148_.X _72786_.A2 (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _39148_.X _72794_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39148_.X ANTENNA__72794__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39148_.X ANTENNA__72786__A2.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _39148_.X ANTENNA__61454__B2.DIODE (0.027:0.027:0.027) (0.025:0.025:0.025))
+ (INTERCONNECT _39148_.X ANTENNA__39246__B1.DIODE (0.025:0.025:0.025) (0.023:0.023:0.023))
+ (INTERCONNECT _39148_.X ANTENNA__39240__A.DIODE (0.025:0.025:0.025) (0.023:0.023:0.023))
+ (INTERCONNECT _39148_.X ANTENNA__39229__A.DIODE (0.025:0.025:0.025) (0.023:0.023:0.023))
+ (INTERCONNECT _39148_.X ANTENNA__39218__A.DIODE (0.023:0.023:0.023) (0.021:0.021:0.021))
+ (INTERCONNECT _39148_.X ANTENNA__39209__A1.DIODE (0.021:0.021:0.021) (0.019:0.019:0.019))
+ (INTERCONNECT _39148_.X ANTENNA__39208__B1.DIODE (0.021:0.021:0.021) (0.020:0.020:0.020))
+ (INTERCONNECT _39148_.X ANTENNA__39198__A1.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017))
+ (INTERCONNECT _39148_.X ANTENNA__39197__B1.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017))
+ (INTERCONNECT _39148_.X ANTENNA__39187__A1.DIODE (0.017:0.017:0.017) (0.016:0.016:0.016))
+ (INTERCONNECT _39148_.X ANTENNA__39186__B1.DIODE (0.016:0.016:0.016) (0.015:0.015:0.015))
+ (INTERCONNECT _39148_.X ANTENNA__39176__A1.DIODE (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _39148_.X ANTENNA__39175__B1.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013))
+ (INTERCONNECT _39148_.X ANTENNA__39165__A1.DIODE (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _39148_.X ANTENNA__39164__B1.DIODE (0.016:0.016:0.016) (0.015:0.015:0.015))
+ (INTERCONNECT _39148_.X ANTENNA__39154__A1.DIODE (0.016:0.016:0.016) (0.015:0.015:0.015))
+ (INTERCONNECT _39148_.X ANTENNA__39153__B1.DIODE (0.016:0.016:0.016) (0.015:0.015:0.015))
+ (INTERCONNECT _39149_.X _39154_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39150_.X _39151_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39150_.X _39152_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39151_.X _39153_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39151_.X _39157_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39151_.X _39162_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39151_.X _39163_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _39152_.Y _39153_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39153_.Y _39154_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39154_.X _84026_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39155_.X _39158_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39156_.X _39157_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39156_.X _39162_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39156_.X _39163_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39156_.X ANTENNA__39163__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39156_.X ANTENNA__39162__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39156_.X ANTENNA__39157__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39157_.X _39158_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39158_.X _39159_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39159_.X _84027_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39160_.X _39165_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39161_.X _39162_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39161_.X _39163_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39162_.X _39164_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39162_.X _39168_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39162_.X _39173_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _39162_.X _39174_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39163_.Y _39164_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39164_.Y _39165_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39165_.X _84028_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39166_.X _39169_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39167_.X _39168_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39167_.X _39173_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39167_.X _39174_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39167_.X ANTENNA__39174__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39167_.X ANTENNA__39173__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39167_.X ANTENNA__39168__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39168_.X _39169_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39169_.X _39170_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39170_.X _84029_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39171_.X _39176_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39172_.X _39173_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39172_.X _39174_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39173_.Y _39175_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39174_.X _39175_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39174_.X _39179_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39174_.X _39184_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _39174_.X _39185_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39175_.Y _39176_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39176_.X _84030_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39177_.X _39180_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39178_.X _39179_.B (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT _39178_.X _39184_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39178_.X _39185_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39178_.X ANTENNA__39185__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39178_.X ANTENNA__39184__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39178_.X ANTENNA__39179__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39179_.X _39180_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39180_.X _39181_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39181_.X _84031_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39182_.X _39187_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39183_.X _39184_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39183_.X _39185_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39183_.X ANTENNA__39185__C.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39183_.X ANTENNA__39184__B1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39184_.Y _39186_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39185_.X _39186_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _39185_.X _39190_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39185_.X _39195_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39185_.X _39196_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39186_.Y _39187_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39187_.X _84032_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39188_.X _39191_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39189_.X _39190_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39189_.X _39195_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39189_.X _39196_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39189_.X ANTENNA__39196__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39189_.X ANTENNA__39195__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39189_.X ANTENNA__39190__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39190_.X _39191_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39191_.X _39192_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39192_.X _84033_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39193_.X _39198_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39194_.X _39195_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39194_.X _39196_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39194_.X ANTENNA__39196__C.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39194_.X ANTENNA__39195__B1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39195_.Y _39197_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39196_.X _39197_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39196_.X _39201_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39196_.X _39206_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39196_.X _39207_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39197_.Y _39198_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39198_.X _84034_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39199_.X _39202_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39200_.X _39201_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39200_.X _39206_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39200_.X _39207_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39200_.X ANTENNA__39207__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39200_.X ANTENNA__39206__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39200_.X ANTENNA__39201__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39201_.X _39202_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39202_.X _39203_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39203_.X _84035_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39204_.X _39209_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39205_.X _39206_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39205_.X _39207_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39205_.X ANTENNA__39207__C.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39205_.X ANTENNA__39206__B1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39206_.Y _39208_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39207_.X _39208_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39207_.X _39212_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39207_.X _39216_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39207_.X _39217_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39208_.Y _39209_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39209_.X _84036_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39210_.X _39213_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39211_.X _39212_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39211_.X _39216_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39211_.X _39217_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39211_.X ANTENNA__39217__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39211_.X ANTENNA__39216__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39211_.X ANTENNA__39212__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39212_.X _39213_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39213_.X _39214_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39214_.X _84037_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39215_.X _39216_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39215_.X _39217_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39215_.X ANTENNA__39217__B1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39215_.X ANTENNA__39216__C.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39216_.X _39220_.A1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39216_.X _39223_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39216_.X _39227_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39216_.X _39228_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39217_.X _39218_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39218_.Y _39220_.A2_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39219_.X _39220_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39220_.X _84038_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39221_.X _39224_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39222_.X _39223_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39222_.X _39227_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39222_.X _39228_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39222_.X ANTENNA__39228__A2.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39222_.X ANTENNA__39227__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39222_.X ANTENNA__39223__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39223_.X _39224_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39224_.X _39225_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39225_.X _84039_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39226_.X _39227_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39226_.X _39228_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39226_.X ANTENNA__39228__B1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39226_.X ANTENNA__39227__C.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39227_.X _39231_.A1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39227_.X _39234_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _39227_.X _39238_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39227_.X _39239_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39228_.X _39229_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39229_.Y _39231_.A2_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39230_.X _39231_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39231_.X _84040_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39232_.X _39235_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39233_.X _39234_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39233_.X _39238_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39233_.X _39239_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39233_.X ANTENNA__39239__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39233_.X ANTENNA__39238__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39233_.X ANTENNA__39234__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39234_.X _39235_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39235_.X _39236_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39236_.X _84041_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39237_.X _39238_.C (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT _39237_.X _39239_.B1 (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT _39237_.X ANTENNA__39239__B1.DIODE (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT _39237_.X ANTENNA__39238__C.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39238_.X _39242_.A1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39238_.X _39245_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39238_.X _39246_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39239_.X _39240_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39240_.Y _39242_.A2_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39241_.X _39242_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39242_.X _84042_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39243_.X _39247_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39244_.X _39245_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39244_.X _39246_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39244_.X ANTENNA__39246__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39244_.X ANTENNA__39245__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39245_.Y _39247_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39245_.Y _39250_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39246_.X _39247_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39247_.X _84043_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39248_.X _39251_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39249_.X _39250_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39249_.X ANTENNA__39250__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39250_.Y _39251_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39251_.X _39252_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39252_.X _84044_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39253_.Y _39254_.A (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _39253_.Y _41174_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39253_.Y _41680_.A (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _39253_.Y _41932_.B (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _39253_.Y _55832_.A (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _39253_.Y _58394_.A (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _39253_.Y ANTENNA__58394__A.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _39253_.Y ANTENNA__55832__A.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _39253_.Y ANTENNA__41932__B.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _39253_.Y ANTENNA__41680__A.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _39253_.Y ANTENNA__41174__B.DIODE (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT _39253_.Y ANTENNA__39254__A.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _39254_.X _39255_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39254_.X _40667_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39254_.X _41933_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39254_.X _60436_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _39254_.X _60473_.A (0.078:0.078:0.078) (0.071:0.071:0.071))
+ (INTERCONNECT _39254_.X _60516_.A (0.087:0.087:0.087) (0.080:0.080:0.080))
+ (INTERCONNECT _39254_.X _60559_.A (0.068:0.068:0.068) (0.063:0.063:0.063))
+ (INTERCONNECT _39254_.X _74607_.A (0.073:0.073:0.073) (0.067:0.067:0.067))
+ (INTERCONNECT _39254_.X _74650_.A (0.087:0.087:0.087) (0.080:0.080:0.080))
+ (INTERCONNECT _39254_.X _74693_.B (0.087:0.087:0.087) (0.080:0.080:0.080))
+ (INTERCONNECT _39254_.X _74695_.B (0.087:0.087:0.087) (0.080:0.080:0.080))
+ (INTERCONNECT _39254_.X _74697_.B (0.087:0.087:0.087) (0.080:0.080:0.080))
+ (INTERCONNECT _39254_.X _74699_.B (0.087:0.087:0.087) (0.080:0.080:0.080))
+ (INTERCONNECT _39254_.X _74701_.B (0.086:0.086:0.086) (0.079:0.079:0.079))
+ (INTERCONNECT _39254_.X _74703_.B (0.085:0.085:0.085) (0.078:0.078:0.078))
+ (INTERCONNECT _39254_.X _74705_.B (0.083:0.083:0.083) (0.077:0.077:0.077))
+ (INTERCONNECT _39254_.X _74707_.B (0.083:0.083:0.083) (0.076:0.076:0.076))
+ (INTERCONNECT _39254_.X _74709_.B (0.084:0.084:0.084) (0.078:0.078:0.078))
+ (INTERCONNECT _39254_.X _74711_.B (0.080:0.080:0.080) (0.074:0.074:0.074))
+ (INTERCONNECT _39254_.X _74713_.B (0.081:0.081:0.081) (0.074:0.074:0.074))
+ (INTERCONNECT _39254_.X ANTENNA__74713__B.DIODE (0.081:0.081:0.081) (0.075:0.075:0.075))
+ (INTERCONNECT _39254_.X ANTENNA__74711__B.DIODE (0.080:0.080:0.080) (0.074:0.074:0.074))
+ (INTERCONNECT _39254_.X ANTENNA__74709__B.DIODE (0.084:0.084:0.084) (0.077:0.077:0.077))
+ (INTERCONNECT _39254_.X ANTENNA__74707__B.DIODE (0.082:0.082:0.082) (0.076:0.076:0.076))
+ (INTERCONNECT _39254_.X ANTENNA__74705__B.DIODE (0.083:0.083:0.083) (0.076:0.076:0.076))
+ (INTERCONNECT _39254_.X ANTENNA__74703__B.DIODE (0.085:0.085:0.085) (0.078:0.078:0.078))
+ (INTERCONNECT _39254_.X ANTENNA__74701__B.DIODE (0.086:0.086:0.086) (0.080:0.080:0.080))
+ (INTERCONNECT _39254_.X ANTENNA__74699__B.DIODE (0.087:0.087:0.087) (0.080:0.080:0.080))
+ (INTERCONNECT _39254_.X ANTENNA__74697__B.DIODE (0.087:0.087:0.087) (0.080:0.080:0.080))
+ (INTERCONNECT _39254_.X ANTENNA__74695__B.DIODE (0.087:0.087:0.087) (0.080:0.080:0.080))
+ (INTERCONNECT _39254_.X ANTENNA__74693__B.DIODE (0.087:0.087:0.087) (0.080:0.080:0.080))
+ (INTERCONNECT _39254_.X ANTENNA__74650__A.DIODE (0.087:0.087:0.087) (0.080:0.080:0.080))
+ (INTERCONNECT _39254_.X ANTENNA__74607__A.DIODE (0.073:0.073:0.073) (0.067:0.067:0.067))
+ (INTERCONNECT _39254_.X ANTENNA__60559__A.DIODE (0.069:0.069:0.069) (0.063:0.063:0.063))
+ (INTERCONNECT _39254_.X ANTENNA__60516__A.DIODE (0.087:0.087:0.087) (0.080:0.080:0.080))
+ (INTERCONNECT _39254_.X ANTENNA__60473__A.DIODE (0.077:0.077:0.077) (0.071:0.071:0.071))
+ (INTERCONNECT _39254_.X ANTENNA__60436__A.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _39254_.X ANTENNA__41933__A.DIODE (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT _39254_.X ANTENNA__40667__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39254_.X ANTENNA__39255__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39255_.X _39264_.A3 (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39255_.X _39267_.A3 (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _39255_.X _43162_.C1 (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _39255_.X _61161_.C1 (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _39255_.X _61459_.B2 (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _39255_.X _61463_.A3 (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39255_.X _61464_.A3 (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39255_.X _66445_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39255_.X _66449_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39255_.X _66451_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39255_.X _66453_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39255_.X _66455_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39255_.X _66457_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39255_.X _66459_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39255_.X _66461_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39255_.X _66463_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39255_.X _66465_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39255_.X _72371_.B1 (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _39255_.X _72511_.C1 (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _39255_.X _73684_.A2 (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _39255_.X ANTENNA__73684__A2.DIODE (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _39255_.X ANTENNA__72511__C1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39255_.X ANTENNA__72371__B1.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _39255_.X ANTENNA__66465__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39255_.X ANTENNA__66463__B.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _39255_.X ANTENNA__66461__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39255_.X ANTENNA__66459__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39255_.X ANTENNA__66457__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39255_.X ANTENNA__66455__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39255_.X ANTENNA__66453__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39255_.X ANTENNA__66451__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39255_.X ANTENNA__66449__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39255_.X ANTENNA__66445__B1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39255_.X ANTENNA__61464__A3.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39255_.X ANTENNA__61463__A3.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39255_.X ANTENNA__61459__B2.DIODE (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _39255_.X ANTENNA__61161__C1.DIODE (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _39255_.X ANTENNA__43162__C1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39255_.X ANTENNA__39267__A3.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39255_.X ANTENNA__39264__A3.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39256_.Y _39263_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39257_.X _39258_.B_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39257_.X _42318_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39258_.X _39259_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39259_.X _39260_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39259_.X _39262_.B (0.033:0.033:0.033) (0.030:0.030:0.030))
+ (INTERCONNECT _39259_.X _72566_.S (0.047:0.047:0.047) (0.043:0.043:0.043))
+ (INTERCONNECT _39259_.X _72583_.S (0.047:0.047:0.047) (0.043:0.043:0.043))
+ (INTERCONNECT _39259_.X _72591_.S (0.036:0.036:0.036) (0.033:0.033:0.033))
+ (INTERCONNECT _39259_.X _72600_.S (0.046:0.046:0.046) (0.043:0.043:0.043))
+ (INTERCONNECT _39259_.X _72627_.S (0.040:0.040:0.040) (0.036:0.036:0.036))
+ (INTERCONNECT _39259_.X _72634_.S (0.047:0.047:0.047) (0.043:0.043:0.043))
+ (INTERCONNECT _39259_.X _72687_.B1 (0.050:0.050:0.050) (0.046:0.046:0.046))
+ (INTERCONNECT _39259_.X _72700_.S (0.053:0.053:0.053) (0.049:0.049:0.049))
+ (INTERCONNECT _39259_.X _72709_.S (0.054:0.054:0.054) (0.050:0.050:0.050))
+ (INTERCONNECT _39259_.X _72716_.S (0.052:0.052:0.052) (0.048:0.048:0.048))
+ (INTERCONNECT _39259_.X _72725_.S (0.054:0.054:0.054) (0.050:0.050:0.050))
+ (INTERCONNECT _39259_.X _72732_.S (0.056:0.056:0.056) (0.051:0.051:0.051))
+ (INTERCONNECT _39259_.X _72741_.S (0.057:0.057:0.057) (0.052:0.052:0.052))
+ (INTERCONNECT _39259_.X _72748_.S (0.055:0.055:0.055) (0.051:0.051:0.051))
+ (INTERCONNECT _39259_.X _72757_.S (0.056:0.056:0.056) (0.052:0.052:0.052))
+ (INTERCONNECT _39259_.X _72800_.S (0.056:0.056:0.056) (0.052:0.052:0.052))
+ (INTERCONNECT _39259_.X _72807_.S (0.057:0.057:0.057) (0.052:0.052:0.052))
+ (INTERCONNECT _39259_.X ANTENNA__72807__S.DIODE (0.057:0.057:0.057) (0.052:0.052:0.052))
+ (INTERCONNECT _39259_.X ANTENNA__72800__S.DIODE (0.056:0.056:0.056) (0.052:0.052:0.052))
+ (INTERCONNECT _39259_.X ANTENNA__72757__S.DIODE (0.056:0.056:0.056) (0.052:0.052:0.052))
+ (INTERCONNECT _39259_.X ANTENNA__72748__S.DIODE (0.055:0.055:0.055) (0.051:0.051:0.051))
+ (INTERCONNECT _39259_.X ANTENNA__72741__S.DIODE (0.057:0.057:0.057) (0.052:0.052:0.052))
+ (INTERCONNECT _39259_.X ANTENNA__72732__S.DIODE (0.056:0.056:0.056) (0.051:0.051:0.051))
+ (INTERCONNECT _39259_.X ANTENNA__72725__S.DIODE (0.055:0.055:0.055) (0.050:0.050:0.050))
+ (INTERCONNECT _39259_.X ANTENNA__72716__S.DIODE (0.052:0.052:0.052) (0.048:0.048:0.048))
+ (INTERCONNECT _39259_.X ANTENNA__72709__S.DIODE (0.054:0.054:0.054) (0.049:0.049:0.049))
+ (INTERCONNECT _39259_.X ANTENNA__72700__S.DIODE (0.053:0.053:0.053) (0.049:0.049:0.049))
+ (INTERCONNECT _39259_.X ANTENNA__72687__B1.DIODE (0.051:0.051:0.051) (0.047:0.047:0.047))
+ (INTERCONNECT _39259_.X ANTENNA__72634__S.DIODE (0.047:0.047:0.047) (0.043:0.043:0.043))
+ (INTERCONNECT _39259_.X ANTENNA__72627__S.DIODE (0.040:0.040:0.040) (0.036:0.036:0.036))
+ (INTERCONNECT _39259_.X ANTENNA__72600__S.DIODE (0.046:0.046:0.046) (0.042:0.042:0.042))
+ (INTERCONNECT _39259_.X ANTENNA__72591__S.DIODE (0.034:0.034:0.034) (0.031:0.031:0.031))
+ (INTERCONNECT _39259_.X ANTENNA__72583__S.DIODE (0.047:0.047:0.047) (0.043:0.043:0.043))
+ (INTERCONNECT _39259_.X ANTENNA__72566__S.DIODE (0.047:0.047:0.047) (0.043:0.043:0.043))
+ (INTERCONNECT _39259_.X ANTENNA__39262__B.DIODE (0.031:0.031:0.031) (0.028:0.028:0.028))
+ (INTERCONNECT _39259_.X ANTENNA__39260__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39260_.X _39263_.A2 (0.021:0.021:0.021) (0.020:0.020:0.020))
+ (INTERCONNECT _39260_.X _39266_.A1 (0.021:0.021:0.021) (0.020:0.020:0.020))
+ (INTERCONNECT _39260_.X _40667_.A (0.021:0.021:0.021) (0.020:0.020:0.020))
+ (INTERCONNECT _39260_.X _41174_.A (0.019:0.019:0.019) (0.018:0.018:0.018))
+ (INTERCONNECT _39260_.X _61159_.A2 (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _39260_.X _72512_.B (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _39260_.X _72548_.B (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _39260_.X _72557_.S (0.015:0.015:0.015) (0.015:0.015:0.015))
+ (INTERCONNECT _39260_.X _72573_.B (0.017:0.017:0.017) (0.016:0.016:0.016))
+ (INTERCONNECT _39260_.X _72618_.B (0.018:0.018:0.018) (0.017:0.017:0.017))
+ (INTERCONNECT _39260_.X _72681_.C1 (0.022:0.022:0.022) (0.020:0.020:0.020))
+ (INTERCONNECT _39260_.X _72682_.A2 (0.023:0.023:0.023) (0.021:0.021:0.021))
+ (INTERCONNECT _39260_.X _72766_.C1 (0.023:0.023:0.023) (0.022:0.022:0.022))
+ (INTERCONNECT _39260_.X _72767_.A2 (0.023:0.023:0.023) (0.022:0.022:0.022))
+ (INTERCONNECT _39260_.X _72774_.C1 (0.023:0.023:0.023) (0.022:0.022:0.022))
+ (INTERCONNECT _39260_.X _72775_.A2 (0.023:0.023:0.023) (0.022:0.022:0.022))
+ (INTERCONNECT _39260_.X _72783_.B1 (0.021:0.021:0.021) (0.020:0.020:0.020))
+ (INTERCONNECT _39260_.X _72785_.A2 (0.022:0.022:0.022) (0.020:0.020:0.020))
+ (INTERCONNECT _39260_.X _72793_.A2 (0.022:0.022:0.022) (0.021:0.021:0.021))
+ (INTERCONNECT _39260_.X _73218_.B (0.022:0.022:0.022) (0.020:0.020:0.020))
+ (INTERCONNECT _39260_.X ANTENNA__73218__B.DIODE (0.022:0.022:0.022) (0.020:0.020:0.020))
+ (INTERCONNECT _39260_.X ANTENNA__72793__A2.DIODE (0.022:0.022:0.022) (0.020:0.020:0.020))
+ (INTERCONNECT _39260_.X ANTENNA__72785__A2.DIODE (0.022:0.022:0.022) (0.020:0.020:0.020))
+ (INTERCONNECT _39260_.X ANTENNA__72783__B1.DIODE (0.021:0.021:0.021) (0.020:0.020:0.020))
+ (INTERCONNECT _39260_.X ANTENNA__72775__A2.DIODE (0.023:0.023:0.023) (0.022:0.022:0.022))
+ (INTERCONNECT _39260_.X ANTENNA__72774__C1.DIODE (0.023:0.023:0.023) (0.021:0.021:0.021))
+ (INTERCONNECT _39260_.X ANTENNA__72767__A2.DIODE (0.023:0.023:0.023) (0.022:0.022:0.022))
+ (INTERCONNECT _39260_.X ANTENNA__72766__C1.DIODE (0.023:0.023:0.023) (0.021:0.021:0.021))
+ (INTERCONNECT _39260_.X ANTENNA__72682__A2.DIODE (0.022:0.022:0.022) (0.021:0.021:0.021))
+ (INTERCONNECT _39260_.X ANTENNA__72681__C1.DIODE (0.022:0.022:0.022) (0.020:0.020:0.020))
+ (INTERCONNECT _39260_.X ANTENNA__72618__B.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017))
+ (INTERCONNECT _39260_.X ANTENNA__72573__B.DIODE (0.017:0.017:0.017) (0.016:0.016:0.016))
+ (INTERCONNECT _39260_.X ANTENNA__72557__S.DIODE (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _39260_.X ANTENNA__72548__B.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013))
+ (INTERCONNECT _39260_.X ANTENNA__72512__B.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013))
+ (INTERCONNECT _39260_.X ANTENNA__61159__A2.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013))
+ (INTERCONNECT _39260_.X ANTENNA__41174__A.DIODE (0.020:0.020:0.020) (0.019:0.019:0.019))
+ (INTERCONNECT _39260_.X ANTENNA__40667__A.DIODE (0.021:0.021:0.021) (0.020:0.020:0.020))
+ (INTERCONNECT _39260_.X ANTENNA__39266__A1.DIODE (0.021:0.021:0.021) (0.020:0.020:0.020))
+ (INTERCONNECT _39260_.X ANTENNA__39263__A2.DIODE (0.021:0.021:0.021) (0.020:0.020:0.020))
+ (INTERCONNECT _39261_.Y _39263_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39261_.Y _39266_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39261_.Y _60892_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39261_.Y _60897_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39261_.Y ANTENNA__60897__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39261_.Y ANTENNA__60892__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39261_.Y ANTENNA__39266__A2.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39261_.Y ANTENNA__39263__A3.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39262_.Y _39263_.B1 (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _39262_.Y _39266_.B1 (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _39262_.Y _42326_.A (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _39262_.Y _42328_.A2 (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _39262_.Y _42331_.A2 (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _39262_.Y _61155_.B (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39262_.Y _61465_.A2 (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _39262_.Y _61466_.A2 (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _39262_.Y _72657_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39262_.Y _72665_.C1 (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT _39262_.Y _72672_.C1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39262_.Y ANTENNA__72672__C1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39262_.Y ANTENNA__72665__C1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39262_.Y ANTENNA__72657__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39262_.Y ANTENNA__61466__A2.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _39262_.Y ANTENNA__61465__A2.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _39262_.Y ANTENNA__61155__B.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _39262_.Y ANTENNA__42331__A2.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _39262_.Y ANTENNA__42328__A2.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _39262_.Y ANTENNA__42326__A.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _39262_.Y ANTENNA__39266__B1.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _39262_.Y ANTENNA__39263__B1.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _39263_.X _39264_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39263_.X _61463_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39264_.X _79181_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39265_.X _39266_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39266_.X _39267_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39266_.X _61464_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39267_.X _79182_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39268_.Y _39271_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39268_.Y _39321_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39268_.Y _39357_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39268_.Y _39421_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39268_.Y _39508_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39269_.Y _39271_.B2 (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _39269_.Y _39311_.A1 (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _39269_.Y _39352_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39269_.Y _39457_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39269_.Y _39482_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39269_.Y _72676_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39269_.Y ANTENNA__72676__B1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39269_.Y ANTENNA__39482__B2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39269_.Y ANTENNA__39457__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39269_.Y ANTENNA__39352__B2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39269_.Y ANTENNA__39311__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39269_.Y ANTENNA__39271__B2.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _39270_.Y _39271_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39271_.X _39289_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39272_.Y _39278_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39272_.Y _39300_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39272_.Y _39395_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39272_.Y _39460_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39272_.Y _39504_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39272_.Y ANTENNA__39504__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39272_.Y ANTENNA__39460__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39272_.Y ANTENNA__39395__B2.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39272_.Y ANTENNA__39300__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39272_.Y ANTENNA__39278__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39273_.Y _39278_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39274_.X _39275_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39274_.X _39374_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39274_.X _39437_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39274_.X _39503_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39274_.X _39587_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39274_.X _72595_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39274_.X _72596_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39274_.X _74978_.A0 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39274_.X ANTENNA__74978__A0.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39274_.X ANTENNA__72596__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39274_.X ANTENNA__72595__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39274_.X ANTENNA__39587__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39274_.X ANTENNA__39503__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39274_.X ANTENNA__39437__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39274_.X ANTENNA__39374__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39274_.X ANTENNA__39275__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39275_.Y _39277_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39275_.Y _39292_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39275_.Y _73236_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39275_.Y ANTENNA__73236__A1.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39275_.Y ANTENNA__39292__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39275_.Y ANTENNA__39277__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39276_.Y _39277_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39276_.Y _39299_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39276_.Y _39410_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39276_.Y _39457_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39276_.Y _39468_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39276_.Y _39502_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39276_.Y ANTENNA__39502__A1.DIODE (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _39276_.Y ANTENNA__39468__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39276_.Y ANTENNA__39457__B2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39276_.Y ANTENNA__39410__B2.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39276_.Y ANTENNA__39299__B2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39276_.Y ANTENNA__39277__B2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39277_.X _39278_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39278_.X _39289_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39279_.Y _39282_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39279_.Y _39321_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39280_.Y _39282_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39280_.Y _39334_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39280_.Y _72729_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39280_.Y ANTENNA__72729__A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39280_.Y ANTENNA__39334__B2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39280_.Y ANTENNA__39282__B2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39281_.Y _39282_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39282_.X _39289_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39283_.Y _39288_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39283_.Y _39320_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39283_.Y _39396_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39283_.Y _39481_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39283_.Y _73258_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39283_.Y ANTENNA__73258__A1.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39283_.Y ANTENNA__39481__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39283_.Y ANTENNA__39396__B2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39283_.Y ANTENNA__39320__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39283_.Y ANTENNA__39288__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39284_.Y _39288_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39284_.Y _43182_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39284_.Y _43189_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39285_.Y _39287_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39285_.Y _43182_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39285_.Y _43216_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39286_.Y _39287_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39286_.Y _39371_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39286_.Y _39424_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39286_.Y _39486_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39286_.Y ANTENNA__39486__B2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39286_.Y ANTENNA__39424__B2.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39286_.Y ANTENNA__39371__B2.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39286_.Y ANTENNA__39287__B2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39287_.X _39288_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39288_.X _39289_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39289_.X _39417_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39290_.Y _39292_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39290_.Y _39470_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39291_.X _39292_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39292_.X _39307_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39293_.Y _39296_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39294_.Y _39296_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39294_.Y _39341_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39294_.Y _39370_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39294_.Y _39476_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39294_.Y _39534_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39295_.X _39296_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39296_.X _39307_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39297_.Y _39300_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39297_.Y _39413_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39297_.Y _39438_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39297_.Y _39525_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39298_.Y _39299_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39298_.Y _43212_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39299_.X _39300_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39300_.X _39307_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39301_.Y _39306_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39301_.Y _43191_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39302_.Y _39306_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39302_.Y _39324_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39302_.Y _39392_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39302_.Y _39481_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39302_.Y _72745_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39302_.Y _73288_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39302_.Y ANTENNA__73288__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39302_.Y ANTENNA__72745__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39302_.Y ANTENNA__39481__B2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39302_.Y ANTENNA__39392__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39302_.Y ANTENNA__39324__B2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39302_.Y ANTENNA__39306__B2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39303_.Y _39305_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39303_.Y _43201_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39304_.Y _39305_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39304_.Y _39325_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39304_.Y _43214_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39305_.X _39306_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39306_.X _39307_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39307_.X _39344_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39308_.Y _39312_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39308_.Y _39352_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39308_.Y _39531_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39308_.Y _72561_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39308_.Y _72562_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39308_.Y ANTENNA__72562__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39308_.Y ANTENNA__72561__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39308_.Y ANTENNA__39531__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39308_.Y ANTENNA__39352__A2.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39308_.Y ANTENNA__39312__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39309_.Y _39312_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39310_.Y _39311_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39310_.Y _39405_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39310_.Y _39524_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39310_.Y _72697_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39310_.Y ANTENNA__72697__A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39310_.Y ANTENNA__39524__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39310_.Y ANTENNA__39405__B2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39310_.Y ANTENNA__39311__B2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39311_.X _39312_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39312_.X _39326_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39313_.Y _39318_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39313_.Y _39428_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39313_.Y _39470_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39314_.Y _39318_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _39314_.Y _43205_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39314_.Y _43221_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39315_.Y _39317_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39315_.Y _43166_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39316_.Y _39317_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39316_.Y _39342_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39316_.Y _39404_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39316_.Y _39475_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39316_.Y _39497_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39316_.Y _72570_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39316_.Y ANTENNA__72570__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39316_.Y ANTENNA__39497__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39316_.Y ANTENNA__39475__B2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39316_.Y ANTENNA__39404__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39316_.Y ANTENNA__39342__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39316_.Y ANTENNA__39317__B2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39317_.X _39318_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39318_.X _39326_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39319_.Y _39320_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39319_.Y _43200_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39319_.Y _43220_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39320_.X _39321_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39321_.X _39326_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39322_.Y _39325_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39322_.Y _39335_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39323_.Y _39324_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39323_.Y _39361_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39323_.Y _39504_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39323_.Y _73279_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39323_.Y ANTENNA__73279__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39323_.Y ANTENNA__39504__B2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39323_.Y ANTENNA__39361__B2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39323_.Y ANTENNA__39324__A1.DIODE (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT _39324_.X _39325_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39325_.X _39326_.D_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39326_.X _39344_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39327_.Y _39331_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39327_.Y _43178_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39328_.Y _39331_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39328_.Y _39404_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39328_.Y _39443_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39328_.Y _39489_.B2 (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _39328_.Y _72713_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39328_.Y ANTENNA__72713__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39328_.Y ANTENNA__39489__B2.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _39328_.Y ANTENNA__39443__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39328_.Y ANTENNA__39404__B2.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _39328_.Y ANTENNA__39331__B2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39329_.X _39330_.A (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _39329_.X _39412_.B (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _39329_.X _39459_.A (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _39329_.X _39533_.A (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _39329_.X _39679_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39329_.X _72779_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39329_.X _72780_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39329_.X _73302_.A (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _39329_.X _75020_.A0 (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _39329_.X ANTENNA__75020__A0.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _39329_.X ANTENNA__73302__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39329_.X ANTENNA__72780__B.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _39329_.X ANTENNA__72779__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39329_.X ANTENNA__39679__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39329_.X ANTENNA__39533__A.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _39329_.X ANTENNA__39459__A.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _39329_.X ANTENNA__39412__B.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _39329_.X ANTENNA__39330__A.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _39330_.X _39331_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39330_.X ANTENNA__39331__C1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39331_.X _39343_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39332_.Y _39335_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39332_.Y _39342_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39332_.Y _43213_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39333_.Y _39334_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39333_.Y _39379_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39333_.Y _39446_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39333_.Y _39511_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39333_.Y ANTENNA__39511__B2.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39333_.Y ANTENNA__39446__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39333_.Y ANTENNA__39379__B2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39333_.Y ANTENNA__39334__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39334_.X _39335_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39335_.X _39343_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39336_.Y _39339_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39336_.Y _39349_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39336_.Y _39464_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39336_.Y _39469_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39336_.Y _39492_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39336_.Y _73230_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39336_.Y ANTENNA__73230__A1.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39336_.Y ANTENNA__39492__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39336_.Y ANTENNA__39469__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39336_.Y ANTENNA__39464__B2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39336_.Y ANTENNA__39349__A2.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39336_.Y ANTENNA__39339__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39337_.Y _39339_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39337_.Y _39400_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39337_.Y _39424_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39337_.Y _39507_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39338_.X _39339_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39339_.X _39343_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39340_.Y _39341_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39340_.Y _39361_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39340_.Y _39427_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39340_.Y _39521_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39341_.X _39342_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39342_.X _39343_.D_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39343_.X _39344_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39344_.Y _39417_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39345_.Y _39349_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39345_.Y _43315_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39346_.Y _39348_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39346_.Y _43345_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39347_.Y _39348_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39347_.Y _43350_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39348_.X _39349_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39349_.X _39363_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39350_.Y _39353_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39350_.Y _43346_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39351_.Y _39353_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39351_.Y _43329_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39351_.Y ANTENNA__43329__B1.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39351_.Y ANTENNA__39353__B1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39352_.X _39353_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39353_.X _39363_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39354_.Y _39358_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39354_.Y _43326_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39355_.Y _39358_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39355_.Y _43334_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39356_.Y _39357_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39356_.Y _43323_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _39357_.X _39358_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39358_.X _39363_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39359_.Y _39362_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39359_.Y _43314_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39360_.Y _39362_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39360_.Y _43349_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39361_.X _39362_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39362_.X _39363_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39363_.X _39416_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39364_.Y _39367_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39364_.Y _43334_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39365_.Y _39367_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39365_.Y _39431_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39365_.Y _39488_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39365_.Y _72588_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39365_.Y _73233_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39365_.Y ANTENNA__73233__A1.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39365_.Y ANTENNA__72588__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39365_.Y ANTENNA__39488__B2.DIODE (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT _39365_.Y ANTENNA__39431__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39365_.Y ANTENNA__39367__B2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39366_.X _39367_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39367_.X _39381_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39368_.Y _39371_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39368_.Y _39447_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39368_.Y _39539_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39369_.Y _39370_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39369_.Y _43336_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39370_.X _39371_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39371_.X _39381_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39371_.X ANTENNA__39381__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39372_.Y _39375_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39372_.Y _43346_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39373_.Y _39375_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39373_.Y _43320_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39374_.X _39375_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39375_.X _39381_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39376_.Y _39380_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39376_.Y _39420_.A1_N (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39376_.Y _39431_.B2 (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT _39376_.Y _39489_.A1 (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT _39376_.Y _72676_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39376_.Y ANTENNA__72676__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39376_.Y ANTENNA__39489__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39376_.Y ANTENNA__39431__B2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39376_.Y ANTENNA__39420__A1_N.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39376_.Y ANTENNA__39380__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39377_.Y _39380_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39377_.Y _43343_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39378_.Y _39379_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39378_.Y _43318_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39379_.X _39380_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39380_.X _39381_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39381_.X _39415_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39382_.Y _39387_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39383_.Y _39387_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39383_.Y _39453_.B2 (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT _39383_.Y _39515_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39383_.Y _73306_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39383_.Y ANTENNA__73306__A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39383_.Y ANTENNA__39515__B2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39383_.Y ANTENNA__39453__B2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39383_.Y ANTENNA__39387__B2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39384_.Y _39386_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39384_.Y _39427_.A1_N (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39384_.Y _39444_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39384_.Y _39511_.A1_N (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39384_.Y _39512_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39384_.Y _72551_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39384_.Y _72552_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39384_.Y _73221_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39384_.Y ANTENNA__73221__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39384_.Y ANTENNA__72552__B1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39384_.Y ANTENNA__72551__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39384_.Y ANTENNA__39512__A1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39384_.Y ANTENNA__39511__A1_N.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39384_.Y ANTENNA__39444__A1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39384_.Y ANTENNA__39427__A1_N.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39384_.Y ANTENNA__39386__A2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39385_.Y _39386_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39385_.Y _43327_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39385_.Y ANTENNA__43327__B1.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39385_.Y ANTENNA__39386__B1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39386_.X _39387_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39387_.X _39402_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39388_.Y _39392_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39388_.Y _43348_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39389_.Y _39391_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39389_.Y _43344_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39390_.Y _39391_.B2 (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _39390_.Y _39463_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39390_.Y _39492_.B2 (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _39390_.Y _72771_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39390_.Y ANTENNA__72771__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39390_.Y ANTENNA__39492__B2.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _39390_.Y ANTENNA__39463__B2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39390_.Y ANTENNA__39391__B2.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _39391_.X _39392_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39392_.X _39402_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39393_.Y _39396_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39393_.Y _43320_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39394_.Y _39395_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _39394_.Y _39446_.B2 (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _39394_.Y _39469_.B2 (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _39394_.Y _39486_.A1 (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _39394_.Y _72631_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39394_.Y ANTENNA__72631__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39394_.Y ANTENNA__39486__A1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _39394_.Y ANTENNA__39469__B2.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _39394_.Y ANTENNA__39446__B2.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _39394_.Y ANTENNA__39395__A2.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _39395_.X _39396_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39396_.X _39402_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39397_.Y _39401_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39397_.Y _39421_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39397_.Y _39467_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39397_.Y _39516_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39397_.Y _72615_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39397_.Y ANTENNA__72615__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39397_.Y ANTENNA__39516__A1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39397_.Y ANTENNA__39467__A1.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _39397_.Y ANTENNA__39421__B2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39397_.Y ANTENNA__39401__A2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39398_.Y _39401_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39398_.Y _43332_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39399_.Y _39400_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39399_.Y _43333_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39400_.X _39401_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39401_.X _39402_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39402_.X _39415_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39402_.X ANTENNA__39415__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39403_.Y _39405_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39403_.Y _43315_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39404_.X _39405_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39405_.X _39415_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39406_.X _39414_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39407_.X _39414_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39408_.Y _39410_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39408_.Y _43329_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39409_.X _39410_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39410_.X _39414_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39411_.Y _39413_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39411_.Y _43328_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39412_.X _39413_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39413_.X _39414_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39414_.X _39415_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39414_.X ANTENNA__39415__D.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39415_.X _39416_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39416_.Y _39417_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39416_.Y _39548_.S (0.022:0.022:0.022) (0.020:0.020:0.020))
+ (INTERCONNECT _39416_.Y _39557_.A (0.024:0.024:0.024) (0.021:0.022:0.022))
+ (INTERCONNECT _39416_.Y _39579_.S (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _39416_.Y _39588_.S (0.019:0.019:0.019) (0.017:0.018:0.018))
+ (INTERCONNECT _39416_.Y _39597_.S (0.019:0.019:0.019) (0.017:0.018:0.018))
+ (INTERCONNECT _39416_.Y _39606_.S (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _39416_.Y _39619_.S (0.018:0.018:0.018) (0.016:0.016:0.016))
+ (INTERCONNECT _39416_.Y _39624_.S (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _39416_.Y _39653_.S (0.026:0.026:0.026) (0.024:0.024:0.024))
+ (INTERCONNECT _39416_.Y _39658_.S (0.025:0.025:0.025) (0.022:0.023:0.023))
+ (INTERCONNECT _39416_.Y _39667_.S (0.026:0.026:0.026) (0.023:0.023:0.024))
+ (INTERCONNECT _39416_.Y _39684_.S (0.027:0.027:0.027) (0.024:0.024:0.024))
+ (INTERCONNECT _39416_.Y _39689_.S (0.027:0.027:0.027) (0.024:0.024:0.024))
+ (INTERCONNECT _39416_.Y ANTENNA__39689__S.DIODE (0.027:0.027:0.027) (0.024:0.024:0.024))
+ (INTERCONNECT _39416_.Y ANTENNA__39684__S.DIODE (0.026:0.026:0.026) (0.024:0.024:0.024))
+ (INTERCONNECT _39416_.Y ANTENNA__39667__S.DIODE (0.026:0.026:0.026) (0.023:0.023:0.024))
+ (INTERCONNECT _39416_.Y ANTENNA__39658__S.DIODE (0.025:0.025:0.025) (0.022:0.022:0.023))
+ (INTERCONNECT _39416_.Y ANTENNA__39653__S.DIODE (0.026:0.026:0.026) (0.023:0.024:0.024))
+ (INTERCONNECT _39416_.Y ANTENNA__39624__S.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+ (INTERCONNECT _39416_.Y ANTENNA__39619__S.DIODE (0.017:0.017:0.017) (0.016:0.016:0.016))
+ (INTERCONNECT _39416_.Y ANTENNA__39606__S.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _39416_.Y ANTENNA__39597__S.DIODE (0.019:0.019:0.019) (0.017:0.017:0.018))
+ (INTERCONNECT _39416_.Y ANTENNA__39588__S.DIODE (0.019:0.019:0.019) (0.017:0.018:0.018))
+ (INTERCONNECT _39416_.Y ANTENNA__39579__S.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _39416_.Y ANTENNA__39557__A.DIODE (0.024:0.024:0.024) (0.021:0.022:0.022))
+ (INTERCONNECT _39416_.Y ANTENNA__39548__S.DIODE (0.022:0.022:0.022) (0.020:0.021:0.021))
+ (INTERCONNECT _39416_.Y ANTENNA__39417__B1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39417_.Y _39418_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39417_.Y ANTENNA__39418__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39418_.Y _39545_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39418_.Y _39553_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39418_.Y _39681_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39419_.Y _39420_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39419_.Y _39467_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _39419_.Y _43303_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39420_.X _39421_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39421_.X _39433_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39422_.Y _39425_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39422_.Y _43302_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39423_.Y _39425_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39423_.Y _43273_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39424_.X _39425_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39425_.X _39433_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39426_.Y _39428_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39426_.Y _43295_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39427_.X _39428_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39428_.X _39433_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39429_.Y _39432_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39429_.Y _43296_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39430_.Y _39432_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39430_.Y _39476_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _39430_.Y _43294_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39431_.X _39432_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39432_.X _39433_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39433_.X _39448_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39434_.Y _39440_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39435_.X _39440_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39436_.Y _39438_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39436_.Y _43302_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39437_.X _39438_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39437_.X ANTENNA__39438__C1.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39438_.X _39440_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39439_.X _39440_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39440_.X _39448_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39441_.Y _39444_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39441_.Y _43296_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39442_.Y _39443_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39442_.Y _43289_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39442_.Y _43300_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39443_.X _39444_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39444_.X _39448_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39445_.Y _39447_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39445_.Y _43310_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39446_.X _39447_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39447_.X _39448_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39448_.X _39478_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39449_.Y _39453_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39449_.Y _39474_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39450_.Y _39452_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39450_.Y _39475_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39450_.Y _43275_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39450_.Y _43288_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39450_.Y ANTENNA__43288__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39450_.Y ANTENNA__43275__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39450_.Y ANTENNA__39475__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39450_.Y ANTENNA__39452__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39451_.Y _39452_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39451_.Y _43284_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39452_.X _39453_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39453_.X _39465_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39454_.Y _39456_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39454_.Y _43273_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39455_.Y _39456_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39455_.Y _43293_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39456_.X _39457_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39457_.X _39465_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39458_.Y _39460_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39458_.Y _39473_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39458_.Y _43279_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39459_.X _39460_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39459_.X ANTENNA__39460__C1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39460_.X _39465_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39461_.Y _39464_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39461_.Y _43271_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39462_.Y _39463_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39462_.Y _43285_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39463_.X _39464_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39464_.X _39465_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39465_.X _39478_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39466_.Y _39468_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39466_.Y _43297_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39467_.X _39468_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39468_.X _39477_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39469_.X _39470_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39470_.X _39477_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39471_.Y _39474_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39472_.Y _39473_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39472_.Y _43282_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39473_.X _39474_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39474_.X _39477_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39475_.X _39476_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39476_.X _39477_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39477_.X _39478_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39478_.X _39479_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39478_.X ANTENNA__39479__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39479_.X _39544_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39479_.X _39549_.B_N (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39479_.X _39552_.A (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _39479_.X _39580_.B (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT _39479_.X _39589_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39479_.X _39598_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39479_.X _39607_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39479_.X _39620_.B (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _39479_.X _39625_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39479_.X _39654_.B (0.011:0.011:0.011) (0.011:0.011:0.011))
+ (INTERCONNECT _39479_.X _39659_.B (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _39479_.X _39668_.B (0.011:0.011:0.011) (0.011:0.011:0.011))
+ (INTERCONNECT _39479_.X _39681_.A2 (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _39479_.X _39685_.B (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _39479_.X _39690_.B (0.011:0.011:0.011) (0.011:0.011:0.011))
+ (INTERCONNECT _39479_.X ANTENNA__39690__B.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+ (INTERCONNECT _39479_.X ANTENNA__39685__B.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _39479_.X ANTENNA__39681__A2.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _39479_.X ANTENNA__39668__B.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+ (INTERCONNECT _39479_.X ANTENNA__39659__B.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _39479_.X ANTENNA__39654__B.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+ (INTERCONNECT _39479_.X ANTENNA__39625__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39479_.X ANTENNA__39620__B.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _39479_.X ANTENNA__39607__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39479_.X ANTENNA__39598__B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39479_.X ANTENNA__39589__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39479_.X ANTENNA__39580__B.DIODE (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT _39479_.X ANTENNA__39552__A.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _39479_.X ANTENNA__39549__B_N.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39479_.X ANTENNA__39544__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39480_.Y _39482_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39480_.Y _43230_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39481_.X _39482_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39482_.X _39494_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39483_.Y _39485_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39483_.Y _43225_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39484_.Y _39485_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39484_.Y _43225_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39485_.X _39486_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39486_.X _39494_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39487_.Y _39488_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39487_.Y _43228_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39488_.X _39489_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39489_.X _39494_.C (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _39490_.Y _39493_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39490_.Y _43232_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39491_.Y _39493_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39491_.Y _43232_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39492_.X _39493_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39493_.X _39494_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39494_.X _39543_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39495_.Y _39497_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39495_.Y _43236_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39496_.X _39497_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39497_.X _39509_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39498_.Y _39502_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39498_.Y _43241_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39499_.Y _39501_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39499_.Y _43242_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39500_.Y _39501_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39500_.Y _43242_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39501_.X _39502_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39502_.X _39509_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39503_.X _39504_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39504_.X _39509_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39505_.Y _39508_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39505_.Y _43245_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39506_.Y _39507_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39506_.Y _43244_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39507_.X _39508_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39508_.X _39509_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39509_.X _39543_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39510_.Y _39512_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39510_.Y _43257_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39511_.X _39512_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39512_.X _39526_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39513_.Y _39516_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39513_.Y _43258_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39514_.Y _39515_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39514_.Y _43259_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39515_.X _39516_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39516_.X _39526_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39517_.Y _39521_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39517_.Y _43261_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39518_.Y _39520_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39518_.Y _43262_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39519_.Y _39520_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39519_.Y _43262_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39520_.X _39521_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39521_.X _39526_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39522_.Y _39525_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39522_.Y _43266_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39523_.Y _39524_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39523_.Y _43265_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39524_.X _39525_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39525_.X _39526_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39526_.X _39542_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39527_.Y _39531_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39527_.Y _43247_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39528_.Y _39530_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39528_.Y _43248_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39529_.Y _39530_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39529_.Y _43248_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39530_.X _39531_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39531_.X _39542_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39532_.Y _39534_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39532_.Y _43252_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39533_.X _39534_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39534_.X _39542_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39535_.Y _39541_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39536_.X _39541_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39537_.Y _39539_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39537_.Y _43254_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39538_.X _39539_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39539_.X _39541_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39540_.X _39541_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39541_.X _39542_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39542_.X _39543_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39543_.X _39544_.B (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT _39543_.X _39549_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39544_.Y _39545_.B (0.029:0.029:0.029) (0.027:0.027:0.027))
+ (INTERCONNECT _39544_.Y _39547_.A (0.029:0.029:0.029) (0.027:0.027:0.027))
+ (INTERCONNECT _39544_.Y _39559_.A (0.029:0.029:0.029) (0.027:0.027:0.027))
+ (INTERCONNECT _39544_.Y _39581_.B2 (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT _39544_.Y _39590_.B2 (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _39544_.Y _39599_.B2 (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _39544_.Y _39608_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39544_.Y _39621_.B2 (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _39544_.Y _39626_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39544_.Y _39655_.B2 (0.021:0.021:0.021) (0.020:0.020:0.020))
+ (INTERCONNECT _39544_.Y _39660_.B2 (0.027:0.027:0.027) (0.025:0.025:0.025))
+ (INTERCONNECT _39544_.Y _39669_.B2 (0.019:0.019:0.019) (0.017:0.017:0.017))
+ (INTERCONNECT _39544_.Y _39677_.B1 (0.026:0.026:0.026) (0.024:0.024:0.024))
+ (INTERCONNECT _39544_.Y _39681_.B1 (0.028:0.028:0.028) (0.026:0.026:0.026))
+ (INTERCONNECT _39544_.Y _39686_.B2 (0.024:0.024:0.024) (0.022:0.022:0.022))
+ (INTERCONNECT _39544_.Y _39691_.B2 (0.025:0.025:0.025) (0.023:0.023:0.023))
+ (INTERCONNECT _39544_.Y ANTENNA__39691__B2.DIODE (0.025:0.025:0.025) (0.023:0.023:0.023))
+ (INTERCONNECT _39544_.Y ANTENNA__39686__B2.DIODE (0.023:0.023:0.023) (0.021:0.021:0.021))
+ (INTERCONNECT _39544_.Y ANTENNA__39681__B1.DIODE (0.029:0.029:0.029) (0.026:0.026:0.027))
+ (INTERCONNECT _39544_.Y ANTENNA__39677__B1.DIODE (0.026:0.026:0.026) (0.024:0.024:0.024))
+ (INTERCONNECT _39544_.Y ANTENNA__39669__B2.DIODE (0.019:0.019:0.019) (0.017:0.017:0.017))
+ (INTERCONNECT _39544_.Y ANTENNA__39660__B2.DIODE (0.026:0.027:0.027) (0.024:0.025:0.025))
+ (INTERCONNECT _39544_.Y ANTENNA__39655__B2.DIODE (0.021:0.021:0.021) (0.020:0.020:0.020))
+ (INTERCONNECT _39544_.Y ANTENNA__39626__B2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39544_.Y ANTENNA__39621__B2.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _39544_.Y ANTENNA__39608__B2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39544_.Y ANTENNA__39599__B2.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _39544_.Y ANTENNA__39590__B2.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _39544_.Y ANTENNA__39581__B2.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39544_.Y ANTENNA__39559__A.DIODE (0.029:0.029:0.029) (0.027:0.027:0.027))
+ (INTERCONNECT _39544_.Y ANTENNA__39547__A.DIODE (0.029:0.029:0.029) (0.027:0.027:0.027))
+ (INTERCONNECT _39544_.Y ANTENNA__39545__B.DIODE (0.029:0.029:0.029) (0.027:0.027:0.027))
+ (INTERCONNECT _39545_.Y _39546_.A (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _39545_.Y _39582_.S (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _39545_.Y _39591_.S (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _39545_.Y _39600_.S (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _39545_.Y _39609_.S (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _39545_.Y _39622_.S (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _39545_.Y _39627_.S (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _39545_.Y _39656_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39545_.Y _39661_.S (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39545_.Y _39670_.S (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39545_.Y _39675_.A2 (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _39545_.Y _39679_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _39545_.Y _39683_.A2 (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _39545_.Y _39687_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39545_.Y _39692_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39545_.Y _72536_.A_N (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _39545_.Y ANTENNA__72536__A_N.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _39545_.Y ANTENNA__39692__S.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39545_.Y ANTENNA__39687__S.DIODE (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT _39545_.Y ANTENNA__39683__A2.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _39545_.Y ANTENNA__39679__A2.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _39545_.Y ANTENNA__39675__A2.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _39545_.Y ANTENNA__39670__S.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39545_.Y ANTENNA__39661__S.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39545_.Y ANTENNA__39656__S.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39545_.Y ANTENNA__39627__S.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _39545_.Y ANTENNA__39622__S.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _39545_.Y ANTENNA__39609__S.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _39545_.Y ANTENNA__39600__S.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _39545_.Y ANTENNA__39591__S.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _39545_.Y ANTENNA__39582__S.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _39545_.Y ANTENNA__39546__A.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _39546_.X _39556_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39546_.X _39562_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39546_.X _39566_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39546_.X _39570_.A2 (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT _39546_.X _39574_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39546_.X _39578_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39546_.X _39587_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39546_.X _39596_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39546_.X _39605_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39546_.X _39614_.A2 (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _39546_.X _39618_.A2 (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _39546_.X _39632_.A2 (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _39546_.X _39636_.A2 (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _39546_.X _39640_.A2 (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _39546_.X _39644_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39546_.X _39648_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39546_.X _39652_.A2 (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _39546_.X _39666_.A2 (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _39546_.X ANTENNA__39666__A2.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _39546_.X ANTENNA__39652__A2.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _39546_.X ANTENNA__39648__A2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39546_.X ANTENNA__39644__A2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39546_.X ANTENNA__39640__A2.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _39546_.X ANTENNA__39636__A2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39546_.X ANTENNA__39632__A2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39546_.X ANTENNA__39618__A2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39546_.X ANTENNA__39614__A2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39546_.X ANTENNA__39605__A2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39546_.X ANTENNA__39596__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39546_.X ANTENNA__39587__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39546_.X ANTENNA__39578__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39546_.X ANTENNA__39574__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39546_.X ANTENNA__39570__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39546_.X ANTENNA__39566__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39546_.X ANTENNA__39562__A2.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39546_.X ANTENNA__39556__A2.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39547_.X _39554_.B1 (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _39547_.X _39555_.A1 (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _39547_.X _39560_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39547_.X _39564_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39547_.X _39568_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39547_.X _39572_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39547_.X _39576_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39547_.X _39585_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39547_.X _39594_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39547_.X _39603_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39547_.X _39612_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39547_.X _39616_.B1 (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _39547_.X _39630_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39547_.X _39634_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39547_.X _39638_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39547_.X _39642_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39547_.X _39646_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39547_.X _39650_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39547_.X _39664_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39547_.X _39673_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39547_.X ANTENNA__39673__B1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39547_.X ANTENNA__39664__B1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39547_.X ANTENNA__39650__B1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39547_.X ANTENNA__39646__B1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39547_.X ANTENNA__39642__B1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39547_.X ANTENNA__39638__B1.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _39547_.X ANTENNA__39634__B1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39547_.X ANTENNA__39630__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39547_.X ANTENNA__39616__B1.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _39547_.X ANTENNA__39612__B1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39547_.X ANTENNA__39603__B1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39547_.X ANTENNA__39594__B1.DIODE (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT _39547_.X ANTENNA__39585__B1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39547_.X ANTENNA__39576__B1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39547_.X ANTENNA__39572__B1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39547_.X ANTENNA__39568__B1.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _39547_.X ANTENNA__39564__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39547_.X ANTENNA__39560__B1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39547_.X ANTENNA__39555__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39547_.X ANTENNA__39554__B1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39548_.X _39555_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39549_.X _39550_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39550_.X _39551_.A (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _39550_.X _39581_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39550_.X _39590_.A2 (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _39550_.X _39599_.A2 (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _39550_.X _39608_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39550_.X _39621_.A2 (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _39550_.X _39626_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39550_.X _39655_.A2 (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _39550_.X _39660_.A2 (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _39550_.X _39669_.A2 (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _39550_.X _39682_.A2 (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _39550_.X _39686_.A2 (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _39550_.X _39691_.A2 (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _39550_.X ANTENNA__39691__A2.DIODE (0.014:0.014:0.014) (0.012:0.012:0.012))
+ (INTERCONNECT _39550_.X ANTENNA__39686__A2.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _39550_.X ANTENNA__39682__A2.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+ (INTERCONNECT _39550_.X ANTENNA__39669__A2.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _39550_.X ANTENNA__39660__A2.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _39550_.X ANTENNA__39655__A2.DIODE (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _39550_.X ANTENNA__39626__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39550_.X ANTENNA__39621__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39550_.X ANTENNA__39608__A2.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39550_.X ANTENNA__39599__A2.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _39550_.X ANTENNA__39590__A2.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _39550_.X ANTENNA__39581__A2.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39550_.X ANTENNA__39551__A.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _39551_.X _39555_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39551_.X _39561_.A2 (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _39551_.X _39565_.A2 (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _39551_.X _39569_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39551_.X _39573_.A2 (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _39551_.X _39577_.A2 (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _39551_.X _39586_.A2 (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _39551_.X _39595_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39551_.X _39604_.A2 (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _39551_.X _39613_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39551_.X _39617_.A2 (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _39551_.X _39631_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _39551_.X _39635_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _39551_.X _39639_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39551_.X _39643_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39551_.X _39647_.A2 (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _39551_.X _39651_.A2 (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _39551_.X _39665_.A2 (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _39551_.X _39674_.A2 (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _39551_.X _39678_.A2 (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _39551_.X ANTENNA__39678__A2.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _39551_.X ANTENNA__39674__A2.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _39551_.X ANTENNA__39665__A2.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _39551_.X ANTENNA__39651__A2.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _39551_.X ANTENNA__39647__A2.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _39551_.X ANTENNA__39643__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39551_.X ANTENNA__39639__A2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39551_.X ANTENNA__39635__A2.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _39551_.X ANTENNA__39631__A2.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _39551_.X ANTENNA__39617__A2.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _39551_.X ANTENNA__39613__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39551_.X ANTENNA__39604__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39551_.X ANTENNA__39595__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39551_.X ANTENNA__39586__A2.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _39551_.X ANTENNA__39577__A2.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _39551_.X ANTENNA__39573__A2.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _39551_.X ANTENNA__39569__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39551_.X ANTENNA__39565__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39551_.X ANTENNA__39561__A2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39551_.X ANTENNA__39555__B1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39552_.X _39554_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39552_.X _39560_.A2 (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _39552_.X _39564_.A2 (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _39552_.X _39568_.A2 (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _39552_.X _39572_.A2 (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _39552_.X _39576_.A2 (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _39552_.X _39585_.A2 (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _39552_.X _39594_.A2 (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _39552_.X _39603_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39552_.X _39612_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39552_.X _39616_.A2 (0.013:0.013:0.013) (0.011:0.011:0.011))
+ (INTERCONNECT _39552_.X _39630_.A2 (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _39552_.X _39634_.A2 (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _39552_.X _39638_.A2 (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _39552_.X _39642_.A2 (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _39552_.X _39646_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39552_.X _39650_.A2 (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _39552_.X _39664_.A2 (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _39552_.X _39673_.A2 (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _39552_.X _39677_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39552_.X ANTENNA__39677__A2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39552_.X ANTENNA__39673__A2.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _39552_.X ANTENNA__39664__A2.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _39552_.X ANTENNA__39650__A2.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _39552_.X ANTENNA__39646__A2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39552_.X ANTENNA__39642__A2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39552_.X ANTENNA__39638__A2.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _39552_.X ANTENNA__39634__A2.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _39552_.X ANTENNA__39630__A2.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _39552_.X ANTENNA__39616__A2.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _39552_.X ANTENNA__39612__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39552_.X ANTENNA__39603__A2.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _39552_.X ANTENNA__39594__A2.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _39552_.X ANTENNA__39585__A2.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _39552_.X ANTENNA__39576__A2.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _39552_.X ANTENNA__39572__A2.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _39552_.X ANTENNA__39568__A2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39552_.X ANTENNA__39564__A2.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _39552_.X ANTENNA__39560__A2.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _39552_.X ANTENNA__39554__A2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39553_.X _39554_.B2 (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _39553_.X _39560_.B2 (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _39553_.X _39564_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39553_.X _39568_.B2 (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT _39553_.X _39572_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39553_.X _39576_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39553_.X _39585_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39553_.X _39594_.B2 (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _39553_.X _39603_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39553_.X _39612_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39553_.X _39616_.B2 (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _39553_.X _39630_.B2 (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _39553_.X _39634_.B2 (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _39553_.X _39638_.B2 (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _39553_.X _39642_.B2 (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _39553_.X _39646_.B2 (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _39553_.X _39650_.B2 (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _39553_.X _39664_.B2 (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _39553_.X _39673_.B2 (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _39553_.X _39677_.B2 (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _39553_.X ANTENNA__39677__B2.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _39553_.X ANTENNA__39673__B2.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _39553_.X ANTENNA__39664__B2.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _39553_.X ANTENNA__39650__B2.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _39553_.X ANTENNA__39646__B2.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _39553_.X ANTENNA__39642__B2.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _39553_.X ANTENNA__39638__B2.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _39553_.X ANTENNA__39634__B2.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _39553_.X ANTENNA__39630__B2.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _39553_.X ANTENNA__39616__B2.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _39553_.X ANTENNA__39612__B2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39553_.X ANTENNA__39603__B2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39553_.X ANTENNA__39594__B2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39553_.X ANTENNA__39585__B2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39553_.X ANTENNA__39576__B2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39553_.X ANTENNA__39572__B2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39553_.X ANTENNA__39568__B2.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39553_.X ANTENNA__39564__B2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39553_.X ANTENNA__39560__B2.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _39553_.X ANTENNA__39554__B2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39554_.X _39555_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39555_.X _39556_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39555_.X ANTENNA__39556__B1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39556_.X _84784_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39557_.X _39558_.S (0.021:0.021:0.021) (0.019:0.019:0.019))
+ (INTERCONNECT _39557_.X _39563_.S (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _39557_.X _39567_.S (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _39557_.X _39571_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39557_.X _39575_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39557_.X _39584_.S (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT _39557_.X _39593_.S (0.018:0.018:0.018) (0.017:0.017:0.017))
+ (INTERCONNECT _39557_.X _39602_.S (0.013:0.013:0.013) (0.013:0.013:0.013))
+ (INTERCONNECT _39557_.X _39611_.S (0.016:0.016:0.016) (0.015:0.015:0.015))
+ (INTERCONNECT _39557_.X _39615_.S (0.022:0.022:0.022) (0.020:0.020:0.020))
+ (INTERCONNECT _39557_.X _39629_.S (0.021:0.021:0.021) (0.020:0.020:0.020))
+ (INTERCONNECT _39557_.X _39633_.S (0.021:0.021:0.021) (0.020:0.020:0.020))
+ (INTERCONNECT _39557_.X _39637_.S (0.021:0.021:0.021) (0.020:0.020:0.020))
+ (INTERCONNECT _39557_.X _39641_.S (0.019:0.019:0.019) (0.018:0.018:0.018))
+ (INTERCONNECT _39557_.X _39645_.S (0.025:0.025:0.025) (0.023:0.023:0.023))
+ (INTERCONNECT _39557_.X _39649_.S (0.023:0.023:0.023) (0.021:0.021:0.021))
+ (INTERCONNECT _39557_.X _39663_.S (0.024:0.024:0.024) (0.023:0.023:0.023))
+ (INTERCONNECT _39557_.X _39672_.S (0.024:0.024:0.024) (0.023:0.023:0.023))
+ (INTERCONNECT _39557_.X _39676_.S (0.025:0.025:0.025) (0.023:0.023:0.023))
+ (INTERCONNECT _39557_.X _39680_.S (0.019:0.019:0.019) (0.018:0.018:0.018))
+ (INTERCONNECT _39557_.X ANTENNA__39680__S.DIODE (0.019:0.019:0.019) (0.018:0.018:0.018))
+ (INTERCONNECT _39557_.X ANTENNA__39676__S.DIODE (0.025:0.025:0.025) (0.023:0.023:0.023))
+ (INTERCONNECT _39557_.X ANTENNA__39672__S.DIODE (0.024:0.024:0.024) (0.023:0.023:0.023))
+ (INTERCONNECT _39557_.X ANTENNA__39663__S.DIODE (0.024:0.024:0.024) (0.022:0.022:0.022))
+ (INTERCONNECT _39557_.X ANTENNA__39649__S.DIODE (0.023:0.023:0.023) (0.022:0.022:0.022))
+ (INTERCONNECT _39557_.X ANTENNA__39645__S.DIODE (0.025:0.025:0.025) (0.023:0.023:0.023))
+ (INTERCONNECT _39557_.X ANTENNA__39641__S.DIODE (0.019:0.019:0.019) (0.018:0.018:0.018))
+ (INTERCONNECT _39557_.X ANTENNA__39637__S.DIODE (0.021:0.021:0.021) (0.020:0.020:0.020))
+ (INTERCONNECT _39557_.X ANTENNA__39633__S.DIODE (0.021:0.021:0.021) (0.020:0.020:0.020))
+ (INTERCONNECT _39557_.X ANTENNA__39629__S.DIODE (0.021:0.021:0.021) (0.020:0.020:0.020))
+ (INTERCONNECT _39557_.X ANTENNA__39615__S.DIODE (0.022:0.022:0.022) (0.021:0.021:0.021))
+ (INTERCONNECT _39557_.X ANTENNA__39611__S.DIODE (0.016:0.016:0.016) (0.015:0.015:0.015))
+ (INTERCONNECT _39557_.X ANTENNA__39602__S.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013))
+ (INTERCONNECT _39557_.X ANTENNA__39593__S.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017))
+ (INTERCONNECT _39557_.X ANTENNA__39584__S.DIODE (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT _39557_.X ANTENNA__39575__S.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39557_.X ANTENNA__39571__S.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39557_.X ANTENNA__39567__S.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _39557_.X ANTENNA__39563__S.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39557_.X ANTENNA__39558__S.DIODE (0.021:0.021:0.021) (0.020:0.020:0.020))
+ (INTERCONNECT _39558_.X _39561_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39559_.X _39561_.B2 (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _39559_.X _39565_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39559_.X _39569_.B2 (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _39559_.X _39573_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39559_.X _39577_.B2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39559_.X _39586_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39559_.X _39595_.B2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39559_.X _39604_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39559_.X _39613_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39559_.X _39617_.B2 (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _39559_.X _39631_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39559_.X _39635_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39559_.X _39639_.B2 (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39559_.X _39643_.B2 (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _39559_.X _39647_.B2 (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _39559_.X _39651_.B2 (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _39559_.X _39665_.B2 (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _39559_.X _39674_.B2 (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _39559_.X _39678_.B2 (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _39559_.X _39682_.B2 (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _39559_.X ANTENNA__39682__B2.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _39559_.X ANTENNA__39678__B2.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _39559_.X ANTENNA__39674__B2.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _39559_.X ANTENNA__39665__B2.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _39559_.X ANTENNA__39651__B2.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _39559_.X ANTENNA__39647__B2.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _39559_.X ANTENNA__39643__B2.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _39559_.X ANTENNA__39639__B2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39559_.X ANTENNA__39635__B2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39559_.X ANTENNA__39631__B2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39559_.X ANTENNA__39617__B2.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _39559_.X ANTENNA__39613__B2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39559_.X ANTENNA__39604__B2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39559_.X ANTENNA__39595__B2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39559_.X ANTENNA__39586__B2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39559_.X ANTENNA__39577__B2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39559_.X ANTENNA__39573__B2.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _39559_.X ANTENNA__39569__B2.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _39559_.X ANTENNA__39565__B2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39559_.X ANTENNA__39561__B2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39560_.X _39561_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39561_.X _39562_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39561_.X ANTENNA__39562__B1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39562_.X _84785_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39563_.X _39565_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39564_.X _39565_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39565_.X _39566_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39565_.X ANTENNA__39566__B1.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39566_.X _84786_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39567_.X _39569_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39568_.X _39569_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39569_.X _39570_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39569_.X ANTENNA__39570__B1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39570_.X _84787_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39571_.X _39573_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39572_.X _39573_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39573_.X _39574_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _39573_.X ANTENNA__39574__B1.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39574_.X _84788_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39575_.X _39577_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39576_.X _39577_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39577_.X _39578_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39577_.X ANTENNA__39578__B1.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39578_.X _84789_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39579_.X _39581_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39580_.X _39581_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39581_.X _39582_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39582_.X _39583_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39583_.X _84790_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39584_.X _39586_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39585_.X _39586_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39586_.X _39587_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39586_.X ANTENNA__39587__B1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39587_.X _84791_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39588_.X _39590_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39589_.X _39590_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39590_.X _39591_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39591_.X _39592_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39592_.X _84792_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39593_.X _39595_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39594_.X _39595_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39595_.X _39596_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39595_.X ANTENNA__39596__B1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39596_.X _84793_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39597_.X _39599_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39598_.X _39599_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39599_.X _39600_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39600_.X _39601_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39601_.X _84794_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39602_.X _39604_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39603_.X _39604_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39604_.X _39605_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39605_.X _84795_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39606_.X _39608_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39607_.X _39608_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39608_.X _39609_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39609_.X _39610_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39610_.X _84796_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39611_.X _39613_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39612_.X _39613_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39613_.X _39614_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39614_.X _84797_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39615_.X _39617_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39616_.X _39617_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39617_.X _39618_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39617_.X ANTENNA__39618__B1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39618_.X _84798_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39619_.X _39621_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39620_.X _39621_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39621_.X _39622_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39622_.X _39623_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39623_.X _84799_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39624_.X _39626_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39625_.X _39626_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39626_.X _39627_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39627_.X _39628_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39628_.X _84800_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39629_.X _39631_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39630_.X _39631_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39631_.X _39632_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39631_.X ANTENNA__39632__B1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39632_.X _84801_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39633_.X _39635_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39634_.X _39635_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39635_.X _39636_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39636_.X _84802_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39637_.X _39639_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39638_.X _39639_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39639_.X _39640_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39640_.X _84803_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39641_.X _39643_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39642_.X _39643_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39643_.X _39644_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39644_.X _84804_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39645_.X _39647_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39646_.X _39647_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39647_.X _39648_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39648_.X _84805_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39649_.X _39651_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39650_.X _39651_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39651_.X _39652_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39652_.X _84806_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39653_.X _39655_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39654_.X _39655_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39655_.X _39656_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39656_.X _39657_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39657_.X _84807_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39658_.X _39660_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39659_.X _39660_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39660_.X _39661_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39661_.X _39662_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39662_.X _84808_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39663_.X _39665_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39664_.X _39665_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39665_.X _39666_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39666_.X _84809_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39667_.X _39669_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39668_.X _39669_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39669_.X _39670_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39670_.X _39671_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39671_.X _84810_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39672_.X _39674_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39673_.X _39674_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39674_.X _39675_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39674_.X ANTENNA__39675__B1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39675_.X _84811_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39676_.X _39678_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39677_.X _39678_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39678_.X _39679_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39678_.X ANTENNA__39679__B1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39679_.X _84812_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39680_.X _39682_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39681_.X _39682_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39682_.X _39683_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39683_.X _84813_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39684_.X _39686_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39685_.X _39686_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39686_.X _39687_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39687_.X _39688_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39688_.X _84814_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39689_.X _39691_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39690_.X _39691_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39691_.X _39692_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39692_.X _39693_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39693_.X _84815_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39694_.X _39695_.A (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _39694_.X _39697_.A (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _39694_.X _39699_.A (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _39694_.X _39701_.A (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _39694_.X _39703_.A (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _39694_.X _39705_.A (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _39694_.X _39707_.A (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _39694_.X _39709_.A (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _39694_.X _39711_.A (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _39694_.X _39713_.A (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _39694_.X _39715_.A (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _39694_.X _39717_.A (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _39694_.X _39719_.A (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _39694_.X _39721_.A (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _39694_.X _39723_.A (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _39694_.X _39725_.A (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _39694_.X _39727_.A (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _39694_.X _39729_.A (0.011:0.011:0.011) (0.011:0.011:0.011))
+ (INTERCONNECT _39694_.X _39731_.A (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _39694_.X _75437_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39694_.X ANTENNA__75437__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39694_.X ANTENNA__39731__A.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _39694_.X ANTENNA__39729__A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _39694_.X ANTENNA__39727__A.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _39694_.X ANTENNA__39725__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _39694_.X ANTENNA__39723__A.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _39694_.X ANTENNA__39721__A.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+ (INTERCONNECT _39694_.X ANTENNA__39719__A.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _39694_.X ANTENNA__39717__A.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _39694_.X ANTENNA__39715__A.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _39694_.X ANTENNA__39713__A.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _39694_.X ANTENNA__39711__A.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _39694_.X ANTENNA__39709__A.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _39694_.X ANTENNA__39707__A.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _39694_.X ANTENNA__39705__A.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _39694_.X ANTENNA__39703__A.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _39694_.X ANTENNA__39701__A.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _39694_.X ANTENNA__39699__A.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _39694_.X ANTENNA__39697__A.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _39694_.X ANTENNA__39695__A.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _39695_.X _39696_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39696_.X output68.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39697_.X _39698_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39698_.X output69.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39699_.X _39700_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39700_.X output70.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39701_.X _39702_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39702_.X output71.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39703_.X _39704_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39704_.X output72.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39705_.X _39706_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39706_.X output73.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39707_.X _39708_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39708_.X output75.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39709_.X _39710_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39710_.X output76.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39711_.X _39712_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39712_.X output77.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39713_.X _39714_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39714_.X output78.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39715_.X _39716_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39716_.X output79.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39717_.X _39718_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39718_.X output80.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39719_.X _39720_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39720_.X output81.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39721_.X _39722_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39722_.X output82.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39723_.X _39724_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39724_.X output83.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39725_.X _39726_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39726_.X output84.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39727_.X _39728_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39728_.X output86.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39729_.X _39730_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39730_.X output87.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39731_.X _39732_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39732_.X output88.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39733_.X _39734_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39734_.X output89.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39735_.X _39736_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39736_.X output90.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39737_.X _39738_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39738_.X output91.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39739_.X _39740_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39740_.X output92.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39741_.X _39742_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39742_.X output93.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39743_.X _39744_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39744_.X output94.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39745_.X _39746_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39746_.X output95.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39747_.X _39748_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39748_.X output97.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39749_.X _39750_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39750_.X output98.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39751_.X _39752_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39752_.X output99.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39753_.X _39754_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39754_.X output100.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39755_.X _39756_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39756_.X output101.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39757_.X _39758_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39758_.X output102.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39759_.Y _39760_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39759_.Y _40649_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39759_.Y _42422_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39759_.Y _42425_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39760_.Y _39817_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39760_.Y _42311_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39760_.Y _42317_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39760_.Y _66480_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39760_.Y _77122_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39761_.X _39762_.A (0.023:0.023:0.023) (0.021:0.021:0.021))
+ (INTERCONNECT _39761_.X _39769_.S (0.019:0.019:0.019) (0.018:0.018:0.018))
+ (INTERCONNECT _39761_.X _39770_.S (0.011:0.011:0.011) (0.011:0.011:0.011))
+ (INTERCONNECT _39761_.X _39771_.S (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _39761_.X _39772_.S (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _39761_.X _39774_.S (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _39761_.X _39775_.S (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39761_.X _39779_.S (0.019:0.019:0.019) (0.018:0.018:0.018))
+ (INTERCONNECT _39761_.X _39780_.S (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _39761_.X _39784_.S (0.021:0.021:0.021) (0.020:0.020:0.020))
+ (INTERCONNECT _39761_.X _39785_.S (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _39761_.X _39791_.S (0.023:0.023:0.023) (0.022:0.022:0.022))
+ (INTERCONNECT _39761_.X _39792_.S (0.026:0.026:0.026) (0.024:0.024:0.024))
+ (INTERCONNECT _39761_.X _39800_.S (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39761_.X _39801_.S (0.024:0.024:0.024) (0.022:0.022:0.022))
+ (INTERCONNECT _39761_.X _39802_.S (0.025:0.025:0.025) (0.023:0.023:0.023))
+ (INTERCONNECT _39761_.X _39803_.S (0.025:0.025:0.025) (0.023:0.023:0.023))
+ (INTERCONNECT _39761_.X _39805_.S (0.026:0.026:0.026) (0.024:0.024:0.024))
+ (INTERCONNECT _39761_.X _39806_.S (0.026:0.026:0.026) (0.024:0.024:0.024))
+ (INTERCONNECT _39761_.X _39807_.S (0.025:0.025:0.025) (0.023:0.023:0.023))
+ (INTERCONNECT _39761_.X ANTENNA__39807__S.DIODE (0.025:0.025:0.025) (0.023:0.023:0.023))
+ (INTERCONNECT _39761_.X ANTENNA__39806__S.DIODE (0.026:0.026:0.026) (0.024:0.024:0.024))
+ (INTERCONNECT _39761_.X ANTENNA__39805__S.DIODE (0.026:0.026:0.026) (0.024:0.024:0.024))
+ (INTERCONNECT _39761_.X ANTENNA__39803__S.DIODE (0.025:0.025:0.025) (0.023:0.023:0.023))
+ (INTERCONNECT _39761_.X ANTENNA__39802__S.DIODE (0.025:0.025:0.025) (0.023:0.023:0.023))
+ (INTERCONNECT _39761_.X ANTENNA__39801__S.DIODE (0.024:0.024:0.024) (0.022:0.022:0.022))
+ (INTERCONNECT _39761_.X ANTENNA__39800__S.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _39761_.X ANTENNA__39792__S.DIODE (0.026:0.026:0.026) (0.024:0.024:0.024))
+ (INTERCONNECT _39761_.X ANTENNA__39791__S.DIODE (0.023:0.023:0.023) (0.022:0.022:0.022))
+ (INTERCONNECT _39761_.X ANTENNA__39785__S.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _39761_.X ANTENNA__39784__S.DIODE (0.020:0.020:0.020) (0.019:0.019:0.019))
+ (INTERCONNECT _39761_.X ANTENNA__39780__S.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39761_.X ANTENNA__39779__S.DIODE (0.019:0.019:0.019) (0.018:0.018:0.018))
+ (INTERCONNECT _39761_.X ANTENNA__39775__S.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39761_.X ANTENNA__39774__S.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _39761_.X ANTENNA__39772__S.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _39761_.X ANTENNA__39771__S.DIODE (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _39761_.X ANTENNA__39770__S.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _39761_.X ANTENNA__39769__S.DIODE (0.019:0.019:0.019) (0.018:0.018:0.018))
+ (INTERCONNECT _39761_.X ANTENNA__39762__A.DIODE (0.023:0.023:0.023) (0.021:0.021:0.021))
+ (INTERCONNECT _39762_.X _39763_.D_N (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _39762_.X _39764_.A (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _39762_.X _39767_.S (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _39762_.X _39768_.S (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _39762_.X _39790_.S (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _39762_.X _39794_.S (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _39762_.X _39797_.S (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _39762_.X _39798_.S (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _39762_.X _39808_.S (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _39762_.X _40201_.S (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _39762_.X _40218_.A (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _39762_.X _40304_.A (0.024:0.024:0.024) (0.022:0.022:0.022))
+ (INTERCONNECT _39762_.X _40390_.A (0.028:0.028:0.028) (0.025:0.025:0.025))
+ (INTERCONNECT _39762_.X _40473_.S (0.028:0.028:0.028) (0.025:0.025:0.025))
+ (INTERCONNECT _39762_.X _40477_.S (0.028:0.028:0.028) (0.025:0.025:0.025))
+ (INTERCONNECT _39762_.X _40481_.S (0.028:0.028:0.028) (0.025:0.025:0.025))
+ (INTERCONNECT _39762_.X _40485_.S (0.027:0.027:0.027) (0.025:0.025:0.025))
+ (INTERCONNECT _39762_.X _40489_.S (0.026:0.026:0.026) (0.024:0.024:0.024))
+ (INTERCONNECT _39762_.X _40493_.S (0.025:0.025:0.025) (0.023:0.023:0.023))
+ (INTERCONNECT _39762_.X _40497_.S (0.023:0.023:0.023) (0.021:0.021:0.021))
+ (INTERCONNECT _39762_.X ANTENNA__40497__S.DIODE (0.023:0.023:0.023) (0.021:0.021:0.021))
+ (INTERCONNECT _39762_.X ANTENNA__40493__S.DIODE (0.025:0.025:0.025) (0.023:0.023:0.023))
+ (INTERCONNECT _39762_.X ANTENNA__40489__S.DIODE (0.026:0.026:0.026) (0.024:0.024:0.024))
+ (INTERCONNECT _39762_.X ANTENNA__40485__S.DIODE (0.027:0.027:0.027) (0.025:0.025:0.025))
+ (INTERCONNECT _39762_.X ANTENNA__40481__S.DIODE (0.028:0.028:0.028) (0.025:0.025:0.025))
+ (INTERCONNECT _39762_.X ANTENNA__40477__S.DIODE (0.028:0.028:0.028) (0.025:0.025:0.025))
+ (INTERCONNECT _39762_.X ANTENNA__40473__S.DIODE (0.028:0.028:0.028) (0.025:0.025:0.025))
+ (INTERCONNECT _39762_.X ANTENNA__40390__A.DIODE (0.028:0.028:0.028) (0.025:0.025:0.025))
+ (INTERCONNECT _39762_.X ANTENNA__40304__A.DIODE (0.024:0.024:0.024) (0.022:0.022:0.022))
+ (INTERCONNECT _39762_.X ANTENNA__40218__A.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _39762_.X ANTENNA__40201__S.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _39762_.X ANTENNA__39808__S.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39762_.X ANTENNA__39798__S.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _39762_.X ANTENNA__39797__S.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _39762_.X ANTENNA__39794__S.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _39762_.X ANTENNA__39790__S.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _39762_.X ANTENNA__39768__S.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _39762_.X ANTENNA__39767__S.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _39762_.X ANTENNA__39764__A.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _39762_.X ANTENNA__39763__D_N.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _39763_.X _39765_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39764_.X _39765_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39765_.Y _39766_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39765_.Y _40206_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39765_.Y _40214_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39765_.Y _41456_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39766_.Y _39813_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39767_.X _39796_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39767_.X _39811_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39767_.X _40576_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39767_.X _42439_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39767_.X _66446_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39767_.X _77420_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39768_.X _39796_.B (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _39768_.X _39811_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39768_.X _40572_.A0 (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39768_.X _42442_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39768_.X _66444_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39768_.X _77418_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39768_.X ANTENNA__77418__A1.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _39768_.X ANTENNA__66444__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39768_.X ANTENNA__42442__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39768_.X ANTENNA__40572__A0.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39768_.X ANTENNA__39811__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39768_.X ANTENNA__39796__B.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _39769_.X _39773_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39769_.X _40556_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39769_.X _42400_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39769_.X _66431_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39769_.X _77410_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39769_.X ANTENNA__77410__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39769_.X ANTENNA__66431__A0.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39769_.X ANTENNA__42400__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39769_.X ANTENNA__40556__A0.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39769_.X ANTENNA__39773__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39770_.X _39773_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39770_.X _40531_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39770_.X _42426_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39770_.X _66413_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39770_.X ANTENNA__66413__A0.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39770_.X ANTENNA__42426__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39770_.X ANTENNA__40531__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39770_.X ANTENNA__39773__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39771_.X _39773_.C (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39771_.X _40518_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39771_.X _42428_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39771_.X _66404_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39771_.X ANTENNA__66404__A0.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39771_.X ANTENNA__42428__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39771_.X ANTENNA__40518__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39771_.X ANTENNA__39773__C.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39772_.X _39773_.D (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39772_.X _40535_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39772_.X _42426_.D (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39772_.X _66416_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39772_.X ANTENNA__66416__A0.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39772_.X ANTENNA__42426__D.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39772_.X ANTENNA__40535__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39772_.X ANTENNA__39773__D.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39773_.X _39789_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39774_.X _39778_.A (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _39774_.X _40552_.A0 (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39774_.X _42400_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39774_.X _66428_.A0 (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39774_.X _77408_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39774_.X ANTENNA__77408__A1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39774_.X ANTENNA__66428__A0.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39774_.X ANTENNA__42400__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39774_.X ANTENNA__40552__A0.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39774_.X ANTENNA__39778__A.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _39775_.X _39778_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39775_.X _40526_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39775_.X _42428_.D (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39775_.X _66410_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39775_.X ANTENNA__66410__A0.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39775_.X ANTENNA__42428__D.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39775_.X ANTENNA__40526__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39775_.X ANTENNA__39778__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39776_.X _39778_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39776_.X _40508_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39776_.X _42432_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39776_.X _66398_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39776_.X _77396_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39776_.X ANTENNA__77396__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39776_.X ANTENNA__66398__A0.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39776_.X ANTENNA__42432__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39776_.X ANTENNA__40508__A0.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39776_.X ANTENNA__39778__C.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39777_.X _39778_.D (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39777_.X _40503_.A0 (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT _39777_.X _44096_.A1 (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _39777_.X _66395_.A0 (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _39777_.X _77394_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39777_.X ANTENNA__77394__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39777_.X ANTENNA__66395__A0.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _39777_.X ANTENNA__44096__A1.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _39777_.X ANTENNA__40503__A0.DIODE (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT _39777_.X ANTENNA__39778__D.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39778_.X _39789_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39779_.X _39783_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39779_.X _40564_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39779_.X _42418_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39779_.X _66437_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39779_.X _77414_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39779_.X ANTENNA__77414__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39779_.X ANTENNA__66437__A0.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39779_.X ANTENNA__42418__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39779_.X ANTENNA__40564__A0.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39779_.X ANTENNA__39783__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39780_.X _39783_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39780_.X _40539_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39780_.X _42426_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39780_.X _66419_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39780_.X ANTENNA__66419__A0.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39780_.X ANTENNA__42426__C.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39780_.X ANTENNA__40539__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39780_.X ANTENNA__39783__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39781_.X _39783_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39781_.X _40548_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39781_.X _42401_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39781_.X _66425_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39781_.X ANTENNA__66425__A0.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39781_.X ANTENNA__42401__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39781_.X ANTENNA__40548__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39781_.X ANTENNA__39783__C.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39782_.X _39783_.D (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39782_.X _40543_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39782_.X _42431_.A2 (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT _39782_.X _42432_.A3 (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT _39782_.X _66422_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39782_.X ANTENNA__66422__A0.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39782_.X ANTENNA__42432__A3.DIODE (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT _39782_.X ANTENNA__42431__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39782_.X ANTENNA__40543__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39782_.X ANTENNA__39783__D.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39783_.X _39789_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39784_.X _39788_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39784_.X _40512_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39784_.X _42428_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39784_.X _66401_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39784_.X _77398_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39784_.X ANTENNA__77398__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39784_.X ANTENNA__66401__A0.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39784_.X ANTENNA__42428__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39784_.X ANTENNA__40512__A0.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39784_.X ANTENNA__39788__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39785_.X _39788_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39785_.X _40522_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39785_.X _42428_.C (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39785_.X _66407_.A0 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39785_.X ANTENNA__66407__A0.DIODE (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT _39785_.X ANTENNA__42428__C.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39785_.X ANTENNA__40522__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39785_.X ANTENNA__39788__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39786_.X _39788_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39786_.X _40560_.A0 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39786_.X _42400_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39786_.X _66434_.A0 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39786_.X _77412_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39786_.X ANTENNA__77412__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39786_.X ANTENNA__66434__A0.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39786_.X ANTENNA__42400__C.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39786_.X ANTENNA__40560__A0.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39786_.X ANTENNA__39788__C.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39787_.X _39788_.D (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39787_.X _40568_.A0 (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39787_.X _42414_.A1 (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _39787_.X _66440_.A0 (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39787_.X _77416_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39787_.X ANTENNA__77416__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39787_.X ANTENNA__66440__A0.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _39787_.X ANTENNA__42414__A1.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _39787_.X ANTENNA__40568__A0.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39787_.X ANTENNA__39788__D.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39788_.X _39789_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39789_.X _39811_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39790_.X _39795_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39790_.X _40608_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39790_.X _42337_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39790_.X _77434_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39790_.X ANTENNA__77434__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39790_.X ANTENNA__42337__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39790_.X ANTENNA__40608__A0.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39790_.X ANTENNA__39795__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39791_.X _39793_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39791_.X _40596_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39791_.X ANTENNA__40596__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39791_.X ANTENNA__39793__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39792_.X _39793_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39792_.X _40592_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39792_.X ANTENNA__40592__A.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39792_.X ANTENNA__39793__B.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39793_.X _39795_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39793_.X _42339_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39794_.X _39795_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39794_.X _40580_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39794_.X _42387_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39794_.X _77422_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39794_.X ANTENNA__77422__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39794_.X ANTENNA__42387__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39794_.X ANTENNA__40580__A0.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39794_.X ANTENNA__39795__C.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39795_.Y _39811_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39795_.Y _66444_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39795_.Y _66446_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39796_.Y _39810_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39797_.X _39799_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39797_.X _40588_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39797_.X _77426_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39798_.X _39799_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39798_.X _40584_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39798_.X _77424_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39799_.Y _39810_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39799_.Y _42392_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39799_.Y ANTENNA__42392__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39799_.Y ANTENNA__39810__B.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39800_.X _39804_.A_N (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39800_.X _40632_.A0 (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _39800_.X _77446_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39800_.X ANTENNA__77446__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39800_.X ANTENNA__40632__A0.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _39800_.X ANTENNA__39804__A_N.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39801_.X _39804_.B_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39801_.X _40628_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39801_.X _77444_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39801_.X ANTENNA__77444__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39801_.X ANTENNA__40628__A0.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39801_.X ANTENNA__39804__B_N.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39802_.X _39804_.C (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _39802_.X _40620_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39802_.X _77440_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39803_.X _39804_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39803_.X _40624_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39803_.X _77442_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39803_.X ANTENNA__77442__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39803_.X ANTENNA__40624__A0.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39803_.X ANTENNA__39804__D.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39804_.X _39810_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39804_.X _42338_.C_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39805_.X _39809_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39805_.X _40604_.A0 (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT _39805_.X _42339_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39805_.X _77432_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39805_.X ANTENNA__77432__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39805_.X ANTENNA__42339__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39805_.X ANTENNA__40604__A0.DIODE (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT _39805_.X ANTENNA__39809__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39806_.X _39809_.B (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT _39806_.X _40600_.A0 (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT _39806_.X _42339_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39806_.X _77430_.A1 (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT _39806_.X ANTENNA__77430__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39806_.X ANTENNA__42339__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39806_.X ANTENNA__40600__A0.DIODE (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT _39806_.X ANTENNA__39809__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39807_.X _39809_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39807_.X _40612_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39807_.X _42337_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39807_.X _77436_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39808_.X _39809_.D (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39808_.X _40616_.A0 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39808_.X _42337_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39808_.X _77438_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39808_.X ANTENNA__77438__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39808_.X ANTENNA__42337__C.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39808_.X ANTENNA__40616__A0.DIODE (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT _39808_.X ANTENNA__39809__D.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39809_.Y _39810_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39810_.X _39811_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39810_.X _66444_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39810_.X _66446_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39811_.Y _39813_.A2 (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _39811_.Y _40205_.B1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39811_.Y _41455_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39811_.Y _66391_.A (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _39811_.Y _66401_.S (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39811_.Y _66404_.S (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39811_.Y _66407_.S (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39811_.Y _66410_.S (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39811_.Y _66413_.S (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _39811_.Y _66416_.S (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _39811_.Y _66419_.S (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39811_.Y _66422_.S (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _39811_.Y _66425_.S (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _39811_.Y _66428_.S (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _39811_.Y _66431_.S (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39811_.Y _66434_.S (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _39811_.Y _66437_.S (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _39811_.Y _66440_.S (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _39811_.Y _66477_.S (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _39811_.Y ANTENNA__66477__S.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _39811_.Y ANTENNA__66440__S.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _39811_.Y ANTENNA__66437__S.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _39811_.Y ANTENNA__66434__S.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _39811_.Y ANTENNA__66431__S.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39811_.Y ANTENNA__66428__S.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _39811_.Y ANTENNA__66425__S.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _39811_.Y ANTENNA__66422__S.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _39811_.Y ANTENNA__66419__S.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39811_.Y ANTENNA__66416__S.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39811_.Y ANTENNA__66413__S.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39811_.Y ANTENNA__66410__S.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39811_.Y ANTENNA__66407__S.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39811_.Y ANTENNA__66404__S.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39811_.Y ANTENNA__66401__S.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _39811_.Y ANTENNA__66391__A.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _39811_.Y ANTENNA__41455__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39811_.Y ANTENNA__40205__B1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39811_.Y ANTENNA__39813__A2.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _39812_.Y _39813_.B1 (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _39812_.Y _42339_.B1 (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _39812_.Y _42387_.S (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39812_.Y _42392_.A (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _39812_.Y _42393_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39812_.Y _42402_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39812_.Y _42414_.S (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _39812_.Y _42418_.S (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _39812_.Y _42427_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39812_.Y _42429_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39812_.Y _42439_.S (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _39812_.Y _42442_.S (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39812_.Y _43996_.S (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39812_.Y _44096_.S (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39812_.Y _66480_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39812_.Y ANTENNA__66480__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39812_.Y ANTENNA__44096__S.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39812_.Y ANTENNA__43996__S.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39812_.Y ANTENNA__42442__S.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39812_.Y ANTENNA__42439__S.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _39812_.Y ANTENNA__42429__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39812_.Y ANTENNA__42427__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39812_.Y ANTENNA__42418__S.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39812_.Y ANTENNA__42414__S.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _39812_.Y ANTENNA__42402__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39812_.Y ANTENNA__42393__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39812_.Y ANTENNA__42392__A.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _39812_.Y ANTENNA__42387__S.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _39812_.Y ANTENNA__42339__B1.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _39812_.Y ANTENNA__39813__B1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39813_.Y _39814_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39813_.Y _42306_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39813_.Y _42310_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39814_.X _39816_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39814_.X _42303_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39814_.X _42314_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39815_.Y _39816_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39815_.Y _42303_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39815_.Y _42311_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39816_.Y _39817_.C (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _39816_.Y _40650_.C (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _39816_.Y _42386_.A (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _39816_.Y _42390_.S (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39816_.Y _42397_.C1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39816_.Y _42409_.A1 (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _39816_.Y _42415_.A (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _39816_.Y _42421_.S (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _39816_.Y _42435_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _39816_.Y _42440_.A (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _39816_.Y _42445_.S (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39816_.Y _43997_.A (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _39816_.Y _43998_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39816_.Y _77122_.A2 (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _39816_.Y _77939_.B1 (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _39816_.Y ANTENNA__77939__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39816_.Y ANTENNA__77122__A2.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _39816_.Y ANTENNA__43998__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39816_.Y ANTENNA__43997__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _39816_.Y ANTENNA__42445__S.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39816_.Y ANTENNA__42440__A.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _39816_.Y ANTENNA__42435__A1.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _39816_.Y ANTENNA__42421__S.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _39816_.Y ANTENNA__42415__A.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _39816_.Y ANTENNA__42409__A1.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _39816_.Y ANTENNA__42397__C1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39816_.Y ANTENNA__42390__S.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39816_.Y ANTENNA__42386__A.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _39816_.Y ANTENNA__40650__C.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _39816_.Y ANTENNA__39817__C.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _39817_.Y _39818_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39817_.Y _39821_.B (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT _39817_.Y _42364_.A2 (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT _39817_.Y _77123_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39818_.Y _39819_.B (0.021:0.021:0.021) (0.018:0.018:0.019))
+ (INTERCONNECT _39818_.Y _39927_.A2 (0.011:0.011:0.011) (0.010:0.010:0.011))
+ (INTERCONNECT _39818_.Y _39982_.A2 (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _39818_.Y _39998_.A2 (0.014:0.014:0.014) (0.012:0.012:0.012))
+ (INTERCONNECT _39818_.Y _40007_.A2 (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _39818_.Y _40016_.A2 (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _39818_.Y _40022_.A2 (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _39818_.Y _40031_.A2 (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _39818_.Y _40106_.A (0.021:0.021:0.021) (0.018:0.019:0.019))
+ (INTERCONNECT _39818_.Y _40204_.D1 (0.018:0.018:0.018) (0.016:0.016:0.016))
+ (INTERCONNECT _39818_.Y _40515_.B1 (0.019:0.019:0.019) (0.017:0.017:0.018))
+ (INTERCONNECT _39818_.Y _40520_.B1 (0.020:0.020:0.020) (0.018:0.018:0.018))
+ (INTERCONNECT _39818_.Y _40537_.B1 (0.018:0.018:0.018) (0.016:0.016:0.016))
+ (INTERCONNECT _39818_.Y _40545_.B1 (0.017:0.017:0.017) (0.015:0.015:0.016))
+ (INTERCONNECT _39818_.Y _40654_.A2 (0.018:0.018:0.018) (0.016:0.016:0.017))
+ (INTERCONNECT _39818_.Y ANTENNA__40654__A2.DIODE (0.018:0.018:0.018) (0.016:0.016:0.017))
+ (INTERCONNECT _39818_.Y ANTENNA__40545__B1.DIODE (0.017:0.017:0.017) (0.015:0.015:0.015))
+ (INTERCONNECT _39818_.Y ANTENNA__40537__B1.DIODE (0.018:0.018:0.018) (0.016:0.016:0.016))
+ (INTERCONNECT _39818_.Y ANTENNA__40520__B1.DIODE (0.020:0.020:0.020) (0.017:0.018:0.018))
+ (INTERCONNECT _39818_.Y ANTENNA__40515__B1.DIODE (0.019:0.019:0.019) (0.017:0.017:0.017))
+ (INTERCONNECT _39818_.Y ANTENNA__40204__D1.DIODE (0.017:0.017:0.017) (0.016:0.016:0.016))
+ (INTERCONNECT _39818_.Y ANTENNA__40106__A.DIODE (0.020:0.020:0.020) (0.018:0.018:0.018))
+ (INTERCONNECT _39818_.Y ANTENNA__40031__A2.DIODE (0.012:0.012:0.012) (0.010:0.011:0.011))
+ (INTERCONNECT _39818_.Y ANTENNA__40022__A2.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _39818_.Y ANTENNA__40016__A2.DIODE (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _39818_.Y ANTENNA__40007__A2.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _39818_.Y ANTENNA__39998__A2.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _39818_.Y ANTENNA__39982__A2.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _39818_.Y ANTENNA__39927__A2.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _39818_.Y ANTENNA__39819__B.DIODE (0.021:0.021:0.021) (0.018:0.018:0.019))
+ (INTERCONNECT _39819_.Y _39820_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39819_.Y _72508_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39819_.Y _77647_.B (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _39819_.Y ANTENNA__77647__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39819_.Y ANTENNA__72508__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39819_.Y ANTENNA__39820__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39820_.Y _40052_.B (0.013:0.013:0.013) (0.013:0.013:0.013))
+ (INTERCONNECT _39820_.Y _40070_.A1 (0.024:0.024:0.024) (0.022:0.022:0.022))
+ (INTERCONNECT _39820_.Y _40112_.A1 (0.024:0.024:0.024) (0.021:0.022:0.022))
+ (INTERCONNECT _39820_.Y _77520_.A (0.027:0.027:0.027) (0.024:0.024:0.024))
+ (INTERCONNECT _39820_.Y _77588_.A (0.028:0.028:0.028) (0.024:0.025:0.025))
+ (INTERCONNECT _39820_.Y _77605_.A1 (0.028:0.028:0.028) (0.024:0.025:0.025))
+ (INTERCONNECT _39820_.Y _77608_.A1 (0.028:0.028:0.028) (0.024:0.025:0.025))
+ (INTERCONNECT _39820_.Y _77611_.A1 (0.028:0.028:0.028) (0.024:0.025:0.025))
+ (INTERCONNECT _39820_.Y _77615_.A1 (0.027:0.027:0.027) (0.024:0.025:0.025))
+ (INTERCONNECT _39820_.Y _77618_.A1 (0.027:0.027:0.027) (0.024:0.024:0.025))
+ (INTERCONNECT _39820_.Y _77621_.A1 (0.027:0.027:0.027) (0.024:0.024:0.025))
+ (INTERCONNECT _39820_.Y ANTENNA__77621__A1.DIODE (0.027:0.027:0.027) (0.024:0.024:0.025))
+ (INTERCONNECT _39820_.Y ANTENNA__77618__A1.DIODE (0.027:0.027:0.027) (0.024:0.024:0.025))
+ (INTERCONNECT _39820_.Y ANTENNA__77615__A1.DIODE (0.028:0.028:0.028) (0.024:0.025:0.025))
+ (INTERCONNECT _39820_.Y ANTENNA__77611__A1.DIODE (0.028:0.028:0.028) (0.024:0.025:0.025))
+ (INTERCONNECT _39820_.Y ANTENNA__77608__A1.DIODE (0.028:0.028:0.028) (0.024:0.025:0.025))
+ (INTERCONNECT _39820_.Y ANTENNA__77605__A1.DIODE (0.028:0.028:0.028) (0.024:0.025:0.025))
+ (INTERCONNECT _39820_.Y ANTENNA__77588__A.DIODE (0.028:0.028:0.028) (0.024:0.025:0.025))
+ (INTERCONNECT _39820_.Y ANTENNA__77520__A.DIODE (0.027:0.027:0.027) (0.024:0.024:0.024))
+ (INTERCONNECT _39820_.Y ANTENNA__40112__A1.DIODE (0.024:0.024:0.024) (0.021:0.022:0.022))
+ (INTERCONNECT _39820_.Y ANTENNA__40070__A1.DIODE (0.024:0.024:0.024) (0.021:0.022:0.022))
+ (INTERCONNECT _39820_.Y ANTENNA__40052__B.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _39821_.X _39822_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39822_.X _39823_.A (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT _39822_.X _39834_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39822_.X _39840_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39822_.X _39842_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39822_.X _39848_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39822_.X _39858_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39822_.X _39862_.B (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _39822_.X _39871_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39822_.X _39874_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39823_.X _39824_.A (0.021:0.021:0.021) (0.019:0.019:0.019))
+ (INTERCONNECT _39823_.X _39835_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39823_.X _39853_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39823_.X _39857_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39823_.X _39865_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39823_.X _39869_.B (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _39823_.X _39878_.D (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39823_.X _39880_.B (0.016:0.016:0.016) (0.015:0.015:0.015))
+ (INTERCONNECT _39823_.X _39881_.B (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _39823_.X _39896_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39823_.X _39899_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39823_.X _39903_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39823_.X _39906_.B (0.017:0.017:0.017) (0.016:0.016:0.016))
+ (INTERCONNECT _39823_.X _39908_.B (0.019:0.019:0.019) (0.018:0.018:0.018))
+ (INTERCONNECT _39823_.X _39910_.B (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _39823_.X _39913_.B (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _39823_.X _39914_.B (0.019:0.019:0.019) (0.018:0.018:0.018))
+ (INTERCONNECT _39823_.X _39915_.B (0.020:0.020:0.020) (0.018:0.018:0.018))
+ (INTERCONNECT _39823_.X _39918_.B (0.021:0.021:0.021) (0.019:0.019:0.019))
+ (INTERCONNECT _39823_.X _39921_.B (0.020:0.020:0.020) (0.019:0.019:0.019))
+ (INTERCONNECT _39823_.X ANTENNA__39921__B.DIODE (0.020:0.020:0.020) (0.019:0.019:0.019))
+ (INTERCONNECT _39823_.X ANTENNA__39918__B.DIODE (0.021:0.021:0.021) (0.019:0.019:0.019))
+ (INTERCONNECT _39823_.X ANTENNA__39915__B.DIODE (0.019:0.019:0.019) (0.018:0.018:0.018))
+ (INTERCONNECT _39823_.X ANTENNA__39914__B.DIODE (0.020:0.020:0.020) (0.018:0.018:0.018))
+ (INTERCONNECT _39823_.X ANTENNA__39913__B.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _39823_.X ANTENNA__39910__B.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _39823_.X ANTENNA__39908__B.DIODE (0.019:0.019:0.019) (0.018:0.018:0.018))
+ (INTERCONNECT _39823_.X ANTENNA__39906__B.DIODE (0.017:0.017:0.017) (0.016:0.016:0.016))
+ (INTERCONNECT _39823_.X ANTENNA__39903__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39823_.X ANTENNA__39899__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39823_.X ANTENNA__39896__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39823_.X ANTENNA__39881__B.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _39823_.X ANTENNA__39880__B.DIODE (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _39823_.X ANTENNA__39878__D.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39823_.X ANTENNA__39869__B.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _39823_.X ANTENNA__39865__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39823_.X ANTENNA__39857__A2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39823_.X ANTENNA__39853__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39823_.X ANTENNA__39835__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39823_.X ANTENNA__39824__A.DIODE (0.021:0.021:0.021) (0.019:0.019:0.019))
+ (INTERCONNECT _39824_.X _39825_.A (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _39824_.X _39845_.A2 (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _39824_.X _39847_.B (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _39824_.X _39866_.A2 (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _39824_.X _39877_.B2 (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _39824_.X _39893_.B (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _39824_.X _39897_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _39824_.X _39929_.B (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _39824_.X _39957_.A2 (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _39824_.X _39960_.A2 (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _39824_.X _39974_.A2 (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _39824_.X _39977_.A2 (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _39824_.X _39979_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39824_.X _39984_.B (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39824_.X _39986_.B (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _39824_.X _39988_.B (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _39824_.X _40037_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _39824_.X _40038_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39824_.X _40043_.A2 (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _39824_.X _40044_.B (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _39824_.X ANTENNA__40044__B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39824_.X ANTENNA__40043__A2.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _39824_.X ANTENNA__40038__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39824_.X ANTENNA__40037__A2.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _39824_.X ANTENNA__39988__B.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _39824_.X ANTENNA__39986__B.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _39824_.X ANTENNA__39984__B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39824_.X ANTENNA__39979__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39824_.X ANTENNA__39977__A2.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _39824_.X ANTENNA__39974__A2.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _39824_.X ANTENNA__39960__A2.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _39824_.X ANTENNA__39957__A2.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _39824_.X ANTENNA__39929__B.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _39824_.X ANTENNA__39897__A2.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _39824_.X ANTENNA__39893__B.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _39824_.X ANTENNA__39877__B2.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _39824_.X ANTENNA__39866__A2.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _39824_.X ANTENNA__39847__B.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _39824_.X ANTENNA__39845__A2.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _39824_.X ANTENNA__39825__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39825_.X _39826_.A (0.041:0.041:0.041) (0.037:0.037:0.037))
+ (INTERCONNECT _39825_.X _39964_.A2 (0.016:0.016:0.016) (0.015:0.015:0.015))
+ (INTERCONNECT _39825_.X _39966_.B (0.016:0.016:0.016) (0.015:0.015:0.015))
+ (INTERCONNECT _39825_.X _39968_.B (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39825_.X _39970_.B (0.021:0.021:0.021) (0.019:0.019:0.019))
+ (INTERCONNECT _39825_.X _39991_.B (0.021:0.021:0.021) (0.020:0.020:0.020))
+ (INTERCONNECT _39825_.X _40003_.B (0.017:0.017:0.017) (0.015:0.015:0.015))
+ (INTERCONNECT _39825_.X _40034_.B (0.017:0.017:0.017) (0.015:0.015:0.015))
+ (INTERCONNECT _39825_.X _40039_.B (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _39825_.X _40040_.B (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _39825_.X _40045_.B (0.016:0.016:0.016) (0.015:0.015:0.015))
+ (INTERCONNECT _39825_.X _40046_.B (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _39825_.X _40063_.C (0.037:0.037:0.037) (0.033:0.033:0.033))
+ (INTERCONNECT _39825_.X _40102_.B (0.056:0.056:0.056) (0.051:0.051:0.051))
+ (INTERCONNECT _39825_.X _40648_.B (0.037:0.037:0.037) (0.033:0.033:0.033))
+ (INTERCONNECT _39825_.X _40651_.A2 (0.037:0.037:0.037) (0.033:0.033:0.033))
+ (INTERCONNECT _39825_.X _42300_.A2 (0.031:0.031:0.031) (0.028:0.028:0.028))
+ (INTERCONNECT _39825_.X _44483_.B (0.056:0.056:0.056) (0.051:0.051:0.051))
+ (INTERCONNECT _39825_.X _44491_.B (0.056:0.056:0.056) (0.051:0.051:0.051))
+ (INTERCONNECT _39825_.X _60401_.A2 (0.033:0.033:0.033) (0.030:0.030:0.030))
+ (INTERCONNECT _39825_.X ANTENNA__60401__A2.DIODE (0.031:0.031:0.031) (0.028:0.028:0.028))
+ (INTERCONNECT _39825_.X ANTENNA__44491__B.DIODE (0.056:0.056:0.056) (0.051:0.051:0.051))
+ (INTERCONNECT _39825_.X ANTENNA__44483__B.DIODE (0.056:0.056:0.056) (0.051:0.051:0.051))
+ (INTERCONNECT _39825_.X ANTENNA__42300__A2.DIODE (0.031:0.031:0.031) (0.028:0.028:0.028))
+ (INTERCONNECT _39825_.X ANTENNA__40651__A2.DIODE (0.037:0.037:0.037) (0.033:0.033:0.033))
+ (INTERCONNECT _39825_.X ANTENNA__40648__B.DIODE (0.037:0.037:0.037) (0.033:0.033:0.033))
+ (INTERCONNECT _39825_.X ANTENNA__40102__B.DIODE (0.056:0.056:0.056) (0.051:0.051:0.051))
+ (INTERCONNECT _39825_.X ANTENNA__40063__C.DIODE (0.037:0.037:0.037) (0.033:0.033:0.033))
+ (INTERCONNECT _39825_.X ANTENNA__40046__B.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _39825_.X ANTENNA__40045__B.DIODE (0.016:0.016:0.016) (0.015:0.015:0.015))
+ (INTERCONNECT _39825_.X ANTENNA__40040__B.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _39825_.X ANTENNA__40039__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39825_.X ANTENNA__40034__B.DIODE (0.016:0.016:0.016) (0.015:0.015:0.015))
+ (INTERCONNECT _39825_.X ANTENNA__40003__B.DIODE (0.017:0.017:0.017) (0.015:0.015:0.015))
+ (INTERCONNECT _39825_.X ANTENNA__39991__B.DIODE (0.021:0.021:0.021) (0.019:0.019:0.019))
+ (INTERCONNECT _39825_.X ANTENNA__39970__B.DIODE (0.020:0.020:0.020) (0.018:0.018:0.018))
+ (INTERCONNECT _39825_.X ANTENNA__39968__B.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _39825_.X ANTENNA__39966__B.DIODE (0.016:0.016:0.016) (0.015:0.015:0.015))
+ (INTERCONNECT _39825_.X ANTENNA__39964__A2.DIODE (0.016:0.016:0.016) (0.015:0.015:0.015))
+ (INTERCONNECT _39825_.X ANTENNA__39826__A.DIODE (0.039:0.039:0.039) (0.035:0.035:0.035))
+ (INTERCONNECT _39826_.X _39827_.A (0.025:0.025:0.025) (0.023:0.023:0.023))
+ (INTERCONNECT _39826_.X _40056_.A2 (0.038:0.038:0.038) (0.034:0.034:0.034))
+ (INTERCONNECT _39826_.X _40067_.B (0.054:0.054:0.054) (0.049:0.049:0.049))
+ (INTERCONNECT _39826_.X _40080_.B1 (0.033:0.033:0.033) (0.030:0.030:0.030))
+ (INTERCONNECT _39826_.X _40088_.A2 (0.038:0.038:0.038) (0.034:0.034:0.034))
+ (INTERCONNECT _39826_.X _40094_.B1 (0.028:0.028:0.028) (0.025:0.025:0.025))
+ (INTERCONNECT _39826_.X _44477_.B1 (0.053:0.053:0.053) (0.048:0.048:0.048))
+ (INTERCONNECT _39826_.X _44481_.B1 (0.053:0.053:0.053) (0.048:0.048:0.048))
+ (INTERCONNECT _39826_.X _44486_.C (0.054:0.054:0.054) (0.049:0.049:0.049))
+ (INTERCONNECT _39826_.X _44495_.C (0.053:0.053:0.053) (0.048:0.048:0.048))
+ (INTERCONNECT _39826_.X _44520_.C (0.054:0.054:0.054) (0.049:0.049:0.049))
+ (INTERCONNECT _39826_.X _44539_.C (0.052:0.052:0.052) (0.047:0.047:0.047))
+ (INTERCONNECT _39826_.X _44637_.C (0.054:0.054:0.054) (0.049:0.049:0.049))
+ (INTERCONNECT _39826_.X _60371_.A2 (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _39826_.X _60377_.A2 (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _39826_.X _60385_.A2 (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _39826_.X _60391_.A2 (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _39826_.X _60397_.A2 (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _39826_.X _60409_.A2 (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _39826_.X _60413_.D1 (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _39826_.X ANTENNA__60413__D1.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _39826_.X ANTENNA__60409__A2.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _39826_.X ANTENNA__60397__A2.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _39826_.X ANTENNA__60391__A2.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _39826_.X ANTENNA__60385__A2.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _39826_.X ANTENNA__60377__A2.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _39826_.X ANTENNA__60371__A2.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _39826_.X ANTENNA__44637__C.DIODE (0.054:0.054:0.054) (0.049:0.049:0.049))
+ (INTERCONNECT _39826_.X ANTENNA__44539__C.DIODE (0.051:0.051:0.051) (0.047:0.047:0.047))
+ (INTERCONNECT _39826_.X ANTENNA__44520__C.DIODE (0.054:0.054:0.054) (0.049:0.049:0.049))
+ (INTERCONNECT _39826_.X ANTENNA__44495__C.DIODE (0.053:0.053:0.053) (0.048:0.048:0.048))
+ (INTERCONNECT _39826_.X ANTENNA__44486__C.DIODE (0.054:0.054:0.054) (0.049:0.049:0.049))
+ (INTERCONNECT _39826_.X ANTENNA__44481__B1.DIODE (0.053:0.053:0.053) (0.048:0.048:0.048))
+ (INTERCONNECT _39826_.X ANTENNA__44477__B1.DIODE (0.052:0.052:0.052) (0.047:0.047:0.047))
+ (INTERCONNECT _39826_.X ANTENNA__40094__B1.DIODE (0.027:0.027:0.027) (0.025:0.025:0.025))
+ (INTERCONNECT _39826_.X ANTENNA__40088__A2.DIODE (0.037:0.037:0.037) (0.033:0.033:0.033))
+ (INTERCONNECT _39826_.X ANTENNA__40080__B1.DIODE (0.033:0.033:0.033) (0.030:0.030:0.030))
+ (INTERCONNECT _39826_.X ANTENNA__40067__B.DIODE (0.054:0.054:0.054) (0.049:0.049:0.049))
+ (INTERCONNECT _39826_.X ANTENNA__40056__A2.DIODE (0.038:0.038:0.038) (0.034:0.034:0.034))
+ (INTERCONNECT _39826_.X ANTENNA__39827__A.DIODE (0.024:0.024:0.024) (0.022:0.022:0.022))
+ (INTERCONNECT _39827_.X _40054_.A2 (0.022:0.022:0.022) (0.020:0.020:0.020))
+ (INTERCONNECT _39827_.X _40055_.A2 (0.022:0.022:0.022) (0.020:0.020:0.020))
+ (INTERCONNECT _39827_.X _40058_.A2 (0.016:0.016:0.016) (0.015:0.015:0.015))
+ (INTERCONNECT _39827_.X _40065_.A (0.019:0.019:0.019) (0.017:0.017:0.017))
+ (INTERCONNECT _39827_.X _40071_.A2 (0.022:0.022:0.022) (0.019:0.019:0.019))
+ (INTERCONNECT _39827_.X _40072_.A2 (0.021:0.021:0.021) (0.019:0.019:0.019))
+ (INTERCONNECT _39827_.X _40109_.B (0.022:0.022:0.022) (0.020:0.020:0.020))
+ (INTERCONNECT _39827_.X _40199_.A (0.023:0.023:0.023) (0.021:0.021:0.021))
+ (INTERCONNECT _39827_.X _40618_.B (0.026:0.026:0.026) (0.023:0.023:0.023))
+ (INTERCONNECT _39827_.X _40622_.B (0.025:0.025:0.025) (0.023:0.023:0.023))
+ (INTERCONNECT _39827_.X _40626_.B (0.026:0.026:0.026) (0.023:0.023:0.023))
+ (INTERCONNECT _39827_.X _40630_.B (0.026:0.026:0.026) (0.023:0.023:0.023))
+ (INTERCONNECT _39827_.X _40640_.B (0.022:0.022:0.022) (0.020:0.020:0.020))
+ (INTERCONNECT _39827_.X _76158_.A2 (0.017:0.017:0.017) (0.015:0.015:0.015))
+ (INTERCONNECT _39827_.X _76166_.A2 (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _39827_.X _76173_.A2 (0.016:0.016:0.016) (0.015:0.015:0.015))
+ (INTERCONNECT _39827_.X _76180_.A2 (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _39827_.X _76187_.A2 (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _39827_.X _76194_.A2 (0.017:0.017:0.017) (0.016:0.016:0.016))
+ (INTERCONNECT _39827_.X _76201_.A2 (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _39827_.X ANTENNA__76201__A2.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _39827_.X ANTENNA__76194__A2.DIODE (0.017:0.017:0.017) (0.016:0.016:0.016))
+ (INTERCONNECT _39827_.X ANTENNA__76187__A2.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _39827_.X ANTENNA__76180__A2.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _39827_.X ANTENNA__76173__A2.DIODE (0.016:0.016:0.016) (0.014:0.014:0.014))
+ (INTERCONNECT _39827_.X ANTENNA__76166__A2.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _39827_.X ANTENNA__76158__A2.DIODE (0.016:0.016:0.016) (0.015:0.015:0.015))
+ (INTERCONNECT _39827_.X ANTENNA__40640__B.DIODE (0.022:0.022:0.022) (0.020:0.020:0.020))
+ (INTERCONNECT _39827_.X ANTENNA__40630__B.DIODE (0.026:0.026:0.026) (0.023:0.023:0.023))
+ (INTERCONNECT _39827_.X ANTENNA__40626__B.DIODE (0.026:0.026:0.026) (0.023:0.023:0.023))
+ (INTERCONNECT _39827_.X ANTENNA__40622__B.DIODE (0.025:0.025:0.025) (0.023:0.023:0.023))
+ (INTERCONNECT _39827_.X ANTENNA__40618__B.DIODE (0.026:0.026:0.026) (0.023:0.023:0.023))
+ (INTERCONNECT _39827_.X ANTENNA__40199__A.DIODE (0.022:0.022:0.022) (0.020:0.020:0.020))
+ (INTERCONNECT _39827_.X ANTENNA__40109__B.DIODE (0.022:0.022:0.022) (0.020:0.020:0.020))
+ (INTERCONNECT _39827_.X ANTENNA__40072__A2.DIODE (0.020:0.020:0.020) (0.019:0.019:0.019))
+ (INTERCONNECT _39827_.X ANTENNA__40071__A2.DIODE (0.021:0.021:0.021) (0.019:0.019:0.019))
+ (INTERCONNECT _39827_.X ANTENNA__40065__A.DIODE (0.019:0.019:0.019) (0.017:0.017:0.017))
+ (INTERCONNECT _39827_.X ANTENNA__40058__A2.DIODE (0.017:0.017:0.017) (0.016:0.016:0.016))
+ (INTERCONNECT _39827_.X ANTENNA__40055__A2.DIODE (0.020:0.020:0.020) (0.018:0.018:0.018))
+ (INTERCONNECT _39827_.X ANTENNA__40054__A2.DIODE (0.022:0.022:0.022) (0.020:0.020:0.020))
+ (INTERCONNECT _39828_.X _39829_.A (0.039:0.039:0.039) (0.036:0.036:0.036))
+ (INTERCONNECT _39828_.X _40069_.B1 (0.045:0.045:0.045) (0.042:0.042:0.042))
+ (INTERCONNECT _39828_.X _40112_.C1 (0.045:0.045:0.045) (0.042:0.042:0.042))
+ (INTERCONNECT _39828_.X _42301_.A1 (0.032:0.032:0.032) (0.029:0.029:0.029))
+ (INTERCONNECT _39828_.X _60372_.A1 (0.040:0.040:0.040) (0.037:0.037:0.037))
+ (INTERCONNECT _39828_.X _60378_.A1 (0.036:0.036:0.036) (0.033:0.033:0.033))
+ (INTERCONNECT _39828_.X _60386_.A1 (0.040:0.040:0.040) (0.037:0.037:0.037))
+ (INTERCONNECT _39828_.X _60392_.A1 (0.037:0.037:0.037) (0.035:0.035:0.035))
+ (INTERCONNECT _39828_.X _60398_.A1 (0.034:0.034:0.034) (0.032:0.032:0.032))
+ (INTERCONNECT _39828_.X _60402_.A1 (0.033:0.033:0.033) (0.030:0.030:0.030))
+ (INTERCONNECT _39828_.X _60410_.A1 (0.040:0.040:0.040) (0.037:0.037:0.037))
+ (INTERCONNECT _39828_.X _72460_.A (0.018:0.018:0.018) (0.017:0.017:0.017))
+ (INTERCONNECT _39828_.X _76134_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39828_.X ANTENNA__76134__C1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39828_.X ANTENNA__72460__A.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017))
+ (INTERCONNECT _39828_.X ANTENNA__60410__A1.DIODE (0.040:0.040:0.040) (0.037:0.037:0.037))
+ (INTERCONNECT _39828_.X ANTENNA__60402__A1.DIODE (0.033:0.033:0.033) (0.030:0.030:0.030))
+ (INTERCONNECT _39828_.X ANTENNA__60398__A1.DIODE (0.034:0.034:0.034) (0.031:0.031:0.031))
+ (INTERCONNECT _39828_.X ANTENNA__60392__A1.DIODE (0.037:0.037:0.037) (0.034:0.034:0.034))
+ (INTERCONNECT _39828_.X ANTENNA__60386__A1.DIODE (0.040:0.040:0.040) (0.037:0.037:0.037))
+ (INTERCONNECT _39828_.X ANTENNA__60378__A1.DIODE (0.035:0.035:0.035) (0.033:0.033:0.033))
+ (INTERCONNECT _39828_.X ANTENNA__60372__A1.DIODE (0.040:0.040:0.040) (0.037:0.037:0.037))
+ (INTERCONNECT _39828_.X ANTENNA__42301__A1.DIODE (0.031:0.031:0.031) (0.029:0.029:0.029))
+ (INTERCONNECT _39828_.X ANTENNA__40112__C1.DIODE (0.045:0.045:0.045) (0.042:0.042:0.042))
+ (INTERCONNECT _39828_.X ANTENNA__40069__B1.DIODE (0.045:0.045:0.045) (0.042:0.042:0.042))
+ (INTERCONNECT _39828_.X ANTENNA__39829__A.DIODE (0.039:0.039:0.039) (0.036:0.036:0.036))
+ (INTERCONNECT _39829_.Y _40052_.A (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _39829_.Y _40640_.A (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _39829_.Y _42300_.C1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39829_.Y _60371_.C1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39829_.Y _60377_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39829_.Y _60385_.C1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39829_.Y _60391_.C1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39829_.Y _60397_.C1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39829_.Y _60401_.C1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39829_.Y _60409_.C1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39829_.Y _77521_.A (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _39829_.Y ANTENNA__77521__A.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _39829_.Y ANTENNA__60409__C1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39829_.Y ANTENNA__60401__C1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39829_.Y ANTENNA__60397__C1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39829_.Y ANTENNA__60391__C1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39829_.Y ANTENNA__60385__C1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39829_.Y ANTENNA__60377__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39829_.Y ANTENNA__60371__C1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39829_.Y ANTENNA__42300__C1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39829_.Y ANTENNA__40640__A.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _39829_.Y ANTENNA__40052__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _39830_.Y _40050_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39830_.Y _72458_.A (0.023:0.023:0.023) (0.022:0.022:0.022))
+ (INTERCONNECT _39830_.Y _72460_.B (0.021:0.021:0.021) (0.020:0.020:0.020))
+ (INTERCONNECT _39830_.Y _72469_.B (0.026:0.026:0.026) (0.024:0.024:0.024))
+ (INTERCONNECT _39830_.Y _72477_.B (0.026:0.026:0.026) (0.024:0.024:0.024))
+ (INTERCONNECT _39830_.Y _72480_.B (0.025:0.025:0.025) (0.023:0.023:0.023))
+ (INTERCONNECT _39830_.Y _72483_.B (0.025:0.025:0.025) (0.023:0.024:0.024))
+ (INTERCONNECT _39830_.Y _72490_.B (0.024:0.024:0.024) (0.022:0.022:0.022))
+ (INTERCONNECT _39830_.Y _72493_.B (0.024:0.024:0.024) (0.023:0.023:0.023))
+ (INTERCONNECT _39830_.Y _72496_.B (0.023:0.023:0.023) (0.021:0.021:0.022))
+ (INTERCONNECT _39830_.Y _72500_.B (0.022:0.022:0.022) (0.021:0.021:0.021))
+ (INTERCONNECT _39830_.Y _72502_.B (0.021:0.021:0.021) (0.020:0.020:0.020))
+ (INTERCONNECT _39830_.Y _76135_.A2 (0.026:0.026:0.026) (0.024:0.024:0.024))
+ (INTERCONNECT _39830_.Y ANTENNA__76135__A2.DIODE (0.026:0.026:0.026) (0.024:0.024:0.024))
+ (INTERCONNECT _39830_.Y ANTENNA__72502__B.DIODE (0.021:0.021:0.021) (0.020:0.020:0.020))
+ (INTERCONNECT _39830_.Y ANTENNA__72500__B.DIODE (0.022:0.022:0.022) (0.021:0.021:0.021))
+ (INTERCONNECT _39830_.Y ANTENNA__72496__B.DIODE (0.022:0.022:0.022) (0.021:0.021:0.021))
+ (INTERCONNECT _39830_.Y ANTENNA__72493__B.DIODE (0.024:0.024:0.024) (0.023:0.023:0.023))
+ (INTERCONNECT _39830_.Y ANTENNA__72490__B.DIODE (0.023:0.023:0.023) (0.022:0.022:0.022))
+ (INTERCONNECT _39830_.Y ANTENNA__72483__B.DIODE (0.025:0.025:0.025) (0.023:0.023:0.023))
+ (INTERCONNECT _39830_.Y ANTENNA__72480__B.DIODE (0.025:0.025:0.025) (0.023:0.023:0.023))
+ (INTERCONNECT _39830_.Y ANTENNA__72477__B.DIODE (0.026:0.026:0.026) (0.024:0.024:0.024))
+ (INTERCONNECT _39830_.Y ANTENNA__72469__B.DIODE (0.026:0.026:0.026) (0.024:0.024:0.024))
+ (INTERCONNECT _39830_.Y ANTENNA__72460__B.DIODE (0.020:0.020:0.020) (0.019:0.019:0.019))
+ (INTERCONNECT _39830_.Y ANTENNA__72458__A.DIODE (0.023:0.023:0.023) (0.022:0.022:0.022))
+ (INTERCONNECT _39830_.Y ANTENNA__40050__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39831_.Y _39993_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39831_.Y _40020_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39832_.X _39833_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39832_.X _39844_.B (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _39832_.X _39846_.B (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _39832_.X _39849_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39832_.X _39850_.A2 (0.011:0.011:0.011) (0.011:0.011:0.011))
+ (INTERCONNECT _39832_.X _39851_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39832_.X _39852_.B (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _39832_.X _39855_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39832_.X _39856_.B (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _39832_.X _39859_.B (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _39832_.X _39860_.A2 (0.011:0.011:0.011) (0.011:0.011:0.011))
+ (INTERCONNECT _39832_.X _39863_.B (0.011:0.011:0.011) (0.011:0.011:0.011))
+ (INTERCONNECT _39832_.X _39864_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39832_.X _39872_.B (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _39832_.X _39875_.B (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _39832_.X _39883_.A2 (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _39832_.X _39884_.B (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _39832_.X _39885_.B (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _39832_.X _39892_.A2 (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _39832_.X _39895_.B (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _39832_.X ANTENNA__39895__B.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _39832_.X ANTENNA__39892__A2.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _39832_.X ANTENNA__39885__B.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _39832_.X ANTENNA__39884__B.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _39832_.X ANTENNA__39883__A2.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _39832_.X ANTENNA__39875__B.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _39832_.X ANTENNA__39872__B.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _39832_.X ANTENNA__39864__B.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _39832_.X ANTENNA__39863__B.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+ (INTERCONNECT _39832_.X ANTENNA__39860__A2.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _39832_.X ANTENNA__39859__B.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _39832_.X ANTENNA__39856__B.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _39832_.X ANTENNA__39855__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39832_.X ANTENNA__39852__B.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _39832_.X ANTENNA__39851__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39832_.X ANTENNA__39850__A2.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+ (INTERCONNECT _39832_.X ANTENNA__39849__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39832_.X ANTENNA__39846__B.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _39832_.X ANTENNA__39844__B.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _39832_.X ANTENNA__39833__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39833_.X _39841_.B (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _39833_.X _39843_.A2 (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT _39833_.X _39870_.A2 (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _39833_.X _39873_.B (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _39833_.X _39877_.A2 (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _39833_.X _39878_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39833_.X _39882_.A2 (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _39833_.X _39890_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39833_.X _39891_.A2 (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _39833_.X _39898_.B (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _39833_.X _39900_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39833_.X _39904_.A2 (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _39833_.X _39905_.A2 (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _39833_.X _39926_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _39833_.X _39938_.A2 (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _39833_.X _39946_.A1 (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _39833_.X _39950_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39833_.X _40195_.B (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _39833_.X _40642_.B (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _39833_.X _77136_.B (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _39833_.X ANTENNA__77136__B.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _39833_.X ANTENNA__40642__B.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+ (INTERCONNECT _39833_.X ANTENNA__40195__B.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _39833_.X ANTENNA__39950__A2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39833_.X ANTENNA__39946__A1.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _39833_.X ANTENNA__39938__A2.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _39833_.X ANTENNA__39926__A1.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _39833_.X ANTENNA__39905__A2.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _39833_.X ANTENNA__39904__A2.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _39833_.X ANTENNA__39900__B.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _39833_.X ANTENNA__39898__B.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _39833_.X ANTENNA__39891__A2.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _39833_.X ANTENNA__39890__A2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39833_.X ANTENNA__39882__A2.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _39833_.X ANTENNA__39878__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39833_.X ANTENNA__39877__A2.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _39833_.X ANTENNA__39873__B.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _39833_.X ANTENNA__39870__A2.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _39833_.X ANTENNA__39843__A2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39833_.X ANTENNA__39841__B.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _39834_.Y _39839_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39834_.Y _39892_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39834_.Y _39938_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39835_.Y _39839_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39835_.Y _39890_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39835_.Y _39950_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39836_.Y _39838_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39836_.Y _39886_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39837_.Y _39838_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39837_.Y _39883_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39838_.X _39839_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39838_.X _39946_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39839_.X _39926_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39840_.Y _39841_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39840_.Y _39850_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39840_.Y _77210_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39841_.X _39868_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39841_.X _39939_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39842_.Y _39843_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39842_.Y _39855_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39843_.Y _39868_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39843_.Y _39940_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39844_.Y _39845_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39844_.Y _39887_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39844_.Y _39944_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39845_.Y _39868_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39845_.Y _39940_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39846_.Y _39847_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39846_.Y _39942_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39847_.X _39867_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39848_.Y _39849_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39848_.Y _39851_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39848_.Y _40022_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39849_.Y _39854_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39849_.Y _39940_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39850_.Y _39854_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39850_.Y _39940_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39851_.X _39854_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39851_.X _39952_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39852_.Y _39853_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39852_.Y _39888_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39852_.Y _39943_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39853_.Y _39854_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39853_.Y _39951_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39854_.X _39867_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39855_.X _39861_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39855_.X _39938_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39856_.Y _39857_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39856_.Y _39866_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39856_.Y _39945_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39857_.Y _39861_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39857_.Y _39939_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39858_.Y _39859_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39858_.Y _39860_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39859_.X _39861_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39859_.X _39948_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39860_.Y _39861_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39860_.Y _39949_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39861_.X _39867_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39862_.Y _39863_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39862_.Y _39904_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39862_.Y _40024_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39863_.X _39866_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39863_.X _39949_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39864_.Y _39865_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39864_.Y _39903_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39865_.Y _39866_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39865_.Y _39951_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39866_.X _39867_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39867_.X _39868_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39868_.X _39926_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39869_.Y _39870_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39869_.Y _39873_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39869_.Y _40002_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39870_.Y _39876_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39870_.Y _39939_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39871_.Y _39872_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39871_.Y _39905_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39871_.Y _40023_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39872_.X _39876_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39872_.X _39946_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39873_.X _39876_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39873_.X _39948_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39874_.Y _39875_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39874_.Y _39891_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39874_.Y _40017_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39875_.X _39876_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39875_.X _39951_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39876_.X _39902_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39877_.Y _39879_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39878_.X _39879_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39879_.Y _39889_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39879_.Y _39938_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39880_.Y _39889_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39880_.Y _39946_.D1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39880_.Y _42072_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39880_.Y _77101_.B_N (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT _39880_.Y _77114_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39880_.Y ANTENNA__77114__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39880_.Y ANTENNA__77101__B_N.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39880_.Y ANTENNA__42072__B.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39880_.Y ANTENNA__39946__D1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39880_.Y ANTENNA__39889__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39881_.Y _39882_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39881_.Y _39898_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39882_.Y _39889_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39882_.Y _39950_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39883_.Y _39888_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39883_.Y _39945_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39884_.X _39886_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39885_.Y _39886_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39885_.Y _39893_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39885_.Y _39944_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39886_.X _39887_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39886_.X _39943_.B1_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39887_.X _39888_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39888_.X _39889_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39889_.X _39902_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39890_.Y _39894_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39890_.Y _39948_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39891_.Y _39894_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39891_.Y _39949_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39892_.Y _39894_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39892_.Y _39951_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39893_.X _39894_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39894_.X _39902_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39895_.Y _39896_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39895_.Y _39897_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39896_.X _39901_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39896_.X _39947_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39897_.Y _39901_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39897_.Y _39947_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39898_.X _39901_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39898_.X _39948_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39899_.Y _39900_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39899_.Y _39942_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39900_.X _39901_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39901_.X _39902_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39902_.X _39926_.C1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _39903_.X _39925_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39903_.X _39939_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39904_.Y _39925_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39904_.Y _39949_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39905_.Y _39925_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39905_.Y _39952_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39906_.Y _39907_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39906_.Y _77103_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39907_.X _39912_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39907_.X _39932_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39908_.Y _39909_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39908_.Y _39920_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39908_.Y _39937_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39908_.Y _77109_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39909_.Y _39912_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39909_.Y _39936_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39910_.Y _39911_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39910_.Y _40023_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39910_.Y _77110_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39911_.X _39912_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39911_.X _39937_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39912_.X _39924_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39913_.Y _39917_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39913_.Y _39922_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39913_.Y _39933_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39913_.Y _39935_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39913_.Y _40018_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39913_.Y _77108_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39914_.Y _39916_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39914_.Y _39919_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39914_.Y _77107_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39915_.Y _39916_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39915_.Y _39920_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39915_.Y _39935_.A2_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39915_.Y _39936_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39915_.Y _77106_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39915_.Y _77179_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39916_.X _39917_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39917_.Y _39924_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39918_.Y _39919_.A2_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39918_.Y _39923_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39918_.Y _39931_.B (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _39918_.Y _77104_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39919_.X _39920_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39920_.X _39924_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39921_.Y _39922_.A2_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39921_.Y _39923_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39921_.Y _39933_.A2_N (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39921_.Y _39934_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39921_.Y _77105_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39921_.Y _77177_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39922_.X _39923_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39923_.X _39924_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39924_.X _39925_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39924_.X ANTENNA__39925__D.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39925_.X _39926_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39926_.Y _39927_.B1 (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT _39926_.Y _39982_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39926_.Y _39998_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39926_.Y _40007_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39926_.Y _40016_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39926_.Y _40031_.B1 (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT _39926_.Y _40115_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39927_.X _39993_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39927_.X _76708_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39928_.Y _39957_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39928_.Y _39961_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39929_.X _39930_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39929_.X _77181_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39930_.Y _39932_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39931_.X _39932_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39932_.X _39954_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39933_.X _39934_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39934_.Y _39954_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39935_.X _39936_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39936_.X _39937_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39937_.X _39954_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39938_.X _39941_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39939_.X _39941_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39940_.X _39941_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39941_.X _39954_.B1 (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT _39941_.X ANTENNA__39954__B1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39942_.X _39947_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39943_.X _39945_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39944_.X _39945_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39945_.X _39946_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39946_.X _39947_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39947_.X _39953_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39948_.X _39953_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39949_.X _39953_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39950_.X _39952_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39951_.X _39952_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39952_.X _39953_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39953_.X _39954_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39953_.X ANTENNA__39954__C1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39954_.Y _39955_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39954_.Y _39976_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39954_.Y _39983_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39954_.Y _39985_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39954_.Y _39987_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39954_.Y _40002_.B_N (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39954_.Y _40017_.B_N (0.004:0.004:0.004) (0.003:0.003:0.004))
+ (INTERCONNECT _39954_.Y _40018_.C_N (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39954_.Y _40020_.C (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39954_.Y _40027_.B (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT _39954_.Y _40028_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39954_.Y ANTENNA__40028__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39954_.Y ANTENNA__40027__B.DIODE (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT _39954_.Y ANTENNA__40020__C.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39954_.Y ANTENNA__40018__C_N.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39954_.Y ANTENNA__40017__B_N.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _39954_.Y ANTENNA__40002__B_N.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39954_.Y ANTENNA__39987__B.DIODE (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT _39954_.Y ANTENNA__39985__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _39954_.Y ANTENNA__39983__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39954_.Y ANTENNA__39976__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39954_.Y ANTENNA__39955__A.DIODE (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT _39955_.X _39956_.B (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39955_.X _39959_.B (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39955_.X _39963_.B (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _39955_.X _39965_.B (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _39955_.X _39967_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39955_.X _39969_.B (0.015:0.015:0.015) (0.013:0.013:0.013))
+ (INTERCONNECT _39955_.X _39973_.B (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _39955_.X _39978_.B (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _39955_.X _39990_.B (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _39955_.X _39994_.B (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _39955_.X _39995_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39955_.X _39997_.B (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39955_.X _40005_.B (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _39955_.X _40009_.B (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _39955_.X _40011_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39955_.X _40024_.B1 (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _39955_.X _40077_.B (0.018:0.018:0.018) (0.016:0.016:0.016))
+ (INTERCONNECT _39955_.X _40194_.B1 (0.017:0.017:0.017) (0.016:0.016:0.016))
+ (INTERCONNECT _39955_.X _42072_.C (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _39955_.X _77101_.A_N (0.015:0.015:0.015) (0.013:0.013:0.014))
+ (INTERCONNECT _39955_.X ANTENNA__77101__A_N.DIODE (0.015:0.015:0.015) (0.013:0.013:0.013))
+ (INTERCONNECT _39955_.X ANTENNA__42072__C.DIODE (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _39955_.X ANTENNA__40194__B1.DIODE (0.017:0.017:0.017) (0.016:0.016:0.016))
+ (INTERCONNECT _39955_.X ANTENNA__40077__B.DIODE (0.017:0.017:0.017) (0.016:0.016:0.016))
+ (INTERCONNECT _39955_.X ANTENNA__40024__B1.DIODE (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _39955_.X ANTENNA__40011__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39955_.X ANTENNA__40009__B.DIODE (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _39955_.X ANTENNA__40005__B.DIODE (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _39955_.X ANTENNA__39997__B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39955_.X ANTENNA__39995__B.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _39955_.X ANTENNA__39994__B.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _39955_.X ANTENNA__39990__B.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+ (INTERCONNECT _39955_.X ANTENNA__39978__B.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _39955_.X ANTENNA__39973__B.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _39955_.X ANTENNA__39969__B.DIODE (0.015:0.015:0.015) (0.013:0.013:0.013))
+ (INTERCONNECT _39955_.X ANTENNA__39967__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _39955_.X ANTENNA__39965__B.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _39955_.X ANTENNA__39963__B.DIODE (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _39955_.X ANTENNA__39959__B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39955_.X ANTENNA__39956__B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _39956_.Y _39957_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39956_.Y _40025_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39957_.Y _39961_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39957_.Y _76677_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39957_.Y _86324_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39958_.Y _39960_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39958_.Y _39961_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39959_.Y _39960_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39959_.Y _40001_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39960_.Y _39961_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39960_.Y _76675_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39960_.Y _86323_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39961_.X _39993_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39962_.Y _39964_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39962_.Y _39971_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39963_.Y _39964_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39963_.Y _40035_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39964_.Y _39971_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39964_.Y _76710_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39965_.Y _39966_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39965_.Y _40013_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39965_.Y _76723_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39966_.Y _39971_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39967_.Y _39968_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39967_.Y _39996_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39967_.Y _76707_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39968_.Y _39971_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39969_.Y _39970_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39969_.Y _40025_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39969_.Y _76691_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _39970_.Y _39971_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39971_.X _39993_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39972_.Y _39974_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39972_.Y _39992_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39973_.Y _39974_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39973_.Y _40030_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39974_.Y _39992_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39974_.Y _76682_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39974_.Y _86326_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39975_.Y _39977_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39975_.Y _39980_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39976_.Y _39977_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39976_.Y _40033_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39977_.Y _39980_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39977_.Y _76673_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39977_.Y _86322_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39978_.Y _39979_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39978_.Y _40012_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39978_.Y _76701_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39979_.Y _39980_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39980_.X _39992_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39981_.Y _39989_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39982_.X _39989_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39982_.X _76712_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39983_.Y _39984_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39983_.Y _40013_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39983_.Y _76699_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39984_.Y _39989_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39985_.Y _39986_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39985_.Y _40030_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39985_.Y _76697_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39986_.Y _39989_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39987_.Y _39988_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39987_.Y _40000_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39987_.Y _76703_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39988_.Y _39989_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39989_.X _39992_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39990_.Y _39991_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39990_.Y _40010_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39990_.Y _76725_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39991_.Y _39992_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39992_.X _39993_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39993_.X _40049_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39994_.Y _40004_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39994_.Y _40037_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39995_.Y _39996_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39995_.Y _40044_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39995_.Y _76729_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39996_.X _40004_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39997_.Y _40001_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39997_.Y _40043_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39998_.X _40000_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39998_.X _76684_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39998_.X _86327_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _39999_.Y _40000_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40000_.X _40001_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40001_.X _40004_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40002_.X _40003_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40002_.X _40019_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40002_.X _76727_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40003_.Y _40004_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40004_.X _40049_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40005_.Y _40014_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40005_.Y _40040_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40005_.Y _76715_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40006_.Y _40008_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40007_.X _40008_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40007_.X _76716_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40008_.X _40014_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40009_.Y _40010_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40009_.Y _40045_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40009_.Y _76695_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40010_.X _40014_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40011_.Y _40012_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40011_.Y _40046_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40011_.Y _76731_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40012_.X _40013_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40013_.X _40014_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40014_.X _40049_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40015_.Y _40026_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40016_.X _40026_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40016_.X _76688_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40016_.X _86329_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40017_.X _40019_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40017_.X _40034_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40017_.X _76721_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40018_.X _40019_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40019_.X _40026_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40020_.Y _40024_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40021_.Y _40022_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40021_.Y _77115_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40022_.X _40023_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40023_.X _40024_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40024_.X _40025_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40025_.X _40026_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40026_.X _40048_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40027_.Y _40029_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40027_.Y _40039_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40027_.Y _76719_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40028_.Y _40029_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40028_.Y _40038_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40028_.Y _76693_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40029_.X _40030_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40030_.X _40035_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40031_.X _40033_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40031_.X _76704_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40032_.Y _40033_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40033_.X _40035_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40034_.Y _40035_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40035_.X _40048_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40036_.Y _40037_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40036_.Y _40041_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40037_.Y _40041_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40037_.Y _76680_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40037_.Y _86325_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40038_.Y _40041_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40039_.Y _40041_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40040_.Y _40041_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40041_.X _40048_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40042_.Y _40043_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40042_.Y _40047_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40043_.Y _40047_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40043_.Y _76686_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40043_.Y _86328_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40044_.Y _40047_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40045_.Y _40047_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40046_.Y _40047_.D1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40047_.X _40048_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40048_.X _40049_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40049_.X _40050_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40049_.X _60368_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40049_.X ANTENNA__60368__A.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _40049_.X ANTENNA__40050__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40050_.Y _40051_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40051_.X _40052_.C (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _40051_.X _60364_.A (0.022:0.022:0.022) (0.021:0.021:0.021))
+ (INTERCONNECT _40051_.X _60417_.A (0.027:0.027:0.027) (0.024:0.024:0.024))
+ (INTERCONNECT _40051_.X _77518_.A (0.021:0.021:0.021) (0.020:0.020:0.020))
+ (INTERCONNECT _40051_.X _77521_.B (0.025:0.025:0.025) (0.023:0.023:0.023))
+ (INTERCONNECT _40051_.X _77579_.A (0.034:0.034:0.034) (0.030:0.030:0.030))
+ (INTERCONNECT _40051_.X _77638_.A (0.034:0.034:0.034) (0.031:0.031:0.031))
+ (INTERCONNECT _40051_.X _77699_.A1 (0.034:0.034:0.034) (0.030:0.030:0.030))
+ (INTERCONNECT _40051_.X _77702_.A1 (0.035:0.035:0.035) (0.031:0.031:0.031))
+ (INTERCONNECT _40051_.X _77705_.A1 (0.035:0.035:0.035) (0.031:0.031:0.031))
+ (INTERCONNECT _40051_.X _77708_.A1 (0.035:0.035:0.035) (0.031:0.031:0.031))
+ (INTERCONNECT _40051_.X _77711_.A1 (0.035:0.035:0.035) (0.031:0.031:0.031))
+ (INTERCONNECT _40051_.X _77714_.A1 (0.035:0.035:0.035) (0.031:0.031:0.031))
+ (INTERCONNECT _40051_.X _77717_.A1 (0.035:0.035:0.035) (0.031:0.031:0.031))
+ (INTERCONNECT _40051_.X _77720_.A1 (0.033:0.033:0.033) (0.030:0.030:0.030))
+ (INTERCONNECT _40051_.X ANTENNA__77720__A1.DIODE (0.032:0.032:0.032) (0.029:0.029:0.029))
+ (INTERCONNECT _40051_.X ANTENNA__77717__A1.DIODE (0.035:0.035:0.035) (0.031:0.031:0.031))
+ (INTERCONNECT _40051_.X ANTENNA__77714__A1.DIODE (0.035:0.035:0.035) (0.031:0.031:0.031))
+ (INTERCONNECT _40051_.X ANTENNA__77711__A1.DIODE (0.035:0.035:0.035) (0.031:0.031:0.031))
+ (INTERCONNECT _40051_.X ANTENNA__77708__A1.DIODE (0.035:0.035:0.035) (0.031:0.031:0.031))
+ (INTERCONNECT _40051_.X ANTENNA__77705__A1.DIODE (0.035:0.035:0.035) (0.031:0.031:0.031))
+ (INTERCONNECT _40051_.X ANTENNA__77702__A1.DIODE (0.035:0.035:0.035) (0.031:0.031:0.031))
+ (INTERCONNECT _40051_.X ANTENNA__77699__A1.DIODE (0.034:0.034:0.034) (0.030:0.030:0.030))
+ (INTERCONNECT _40051_.X ANTENNA__77638__A.DIODE (0.034:0.034:0.034) (0.030:0.030:0.030))
+ (INTERCONNECT _40051_.X ANTENNA__77579__A.DIODE (0.034:0.034:0.034) (0.031:0.031:0.031))
+ (INTERCONNECT _40051_.X ANTENNA__77521__B.DIODE (0.025:0.025:0.025) (0.022:0.022:0.022))
+ (INTERCONNECT _40051_.X ANTENNA__77518__A.DIODE (0.021:0.021:0.021) (0.019:0.019:0.019))
+ (INTERCONNECT _40051_.X ANTENNA__60417__A.DIODE (0.028:0.028:0.028) (0.025:0.025:0.025))
+ (INTERCONNECT _40051_.X ANTENNA__60364__A.DIODE (0.022:0.022:0.022) (0.020:0.020:0.020))
+ (INTERCONNECT _40051_.X ANTENNA__40052__C.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _40052_.X _40053_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40053_.X _40054_.B1 (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _40053_.X _40055_.B1 (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _40053_.X _40056_.B1 (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT _40053_.X _40058_.B1 (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40053_.X _40071_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40053_.X _40072_.B1 (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _40053_.X _40075_.B (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40053_.X _40083_.C (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40053_.X _40088_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40053_.X _40095_.B1 (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40053_.X _76158_.B1 (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40053_.X _76166_.B1 (0.011:0.011:0.011) (0.011:0.011:0.011))
+ (INTERCONNECT _40053_.X _76173_.B1 (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _40053_.X _76180_.B1 (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40053_.X _76187_.B1 (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40053_.X _76194_.B1 (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40053_.X _76201_.B1 (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40053_.X _76531_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40053_.X ANTENNA__76531__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40053_.X ANTENNA__76201__B1.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40053_.X ANTENNA__76194__B1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40053_.X ANTENNA__76187__B1.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40053_.X ANTENNA__76180__B1.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40053_.X ANTENNA__76173__B1.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _40053_.X ANTENNA__76166__B1.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40053_.X ANTENNA__76158__B1.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40053_.X ANTENNA__40095__B1.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40053_.X ANTENNA__40088__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40053_.X ANTENNA__40083__C.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _40053_.X ANTENNA__40075__B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40053_.X ANTENNA__40072__B1.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _40053_.X ANTENNA__40071__B1.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _40053_.X ANTENNA__40058__B1.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40053_.X ANTENNA__40056__B1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40053_.X ANTENNA__40055__B1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40053_.X ANTENNA__40054__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40054_.X _40061_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40054_.X _76155_.A (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40054_.X _76597_.B1 (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _40054_.X _76605_.B1 (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _40054_.X _76613_.B1 (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40054_.X _76621_.B1 (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _40054_.X _76629_.B1 (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40054_.X _76637_.B1 (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40054_.X _76645_.B1 (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40054_.X _76653_.B1 (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40054_.X _76661_.B1 (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40054_.X ANTENNA__76661__B1.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40054_.X ANTENNA__76653__B1.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40054_.X ANTENNA__76645__B1.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40054_.X ANTENNA__76637__B1.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40054_.X ANTENNA__76629__B1.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40054_.X ANTENNA__76621__B1.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40054_.X ANTENNA__76613__B1.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40054_.X ANTENNA__76605__B1.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _40054_.X ANTENNA__76597__B1.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _40054_.X ANTENNA__76155__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40054_.X ANTENNA__40061__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40055_.X _40060_.A (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT _40055_.X _76157_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40055_.X _76189_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40055_.X _76196_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40055_.X _76203_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40055_.X _76531_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40055_.X ANTENNA__76531__B1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40055_.X ANTENNA__76203__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40055_.X ANTENNA__76196__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40055_.X ANTENNA__76189__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40055_.X ANTENNA__76157__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40055_.X ANTENNA__40060__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40056_.Y _40057_.A (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _40056_.Y _76209_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40056_.Y _76298_.S (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _40056_.Y _76306_.S (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _40056_.Y _76314_.S (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40056_.Y _76322_.S (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40056_.Y ANTENNA__76322__S.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40056_.Y ANTENNA__76314__S.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40056_.Y ANTENNA__76306__S.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40056_.Y ANTENNA__76298__S.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40056_.Y ANTENNA__76209__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40056_.Y ANTENNA__40057__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40057_.X _40059_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40057_.X _40074_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40057_.X _40098_.S (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40057_.X _76274_.S (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _40057_.X _76282_.S (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _40057_.X _76290_.S (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _40057_.X _76351_.S (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _40057_.X _76359_.S (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _40057_.X _76366_.S (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _40057_.X _76374_.S (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _40057_.X _76381_.S (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40057_.X _76455_.C1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40057_.X _76603_.C1 (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _40057_.X _76611_.C1 (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40057_.X _76619_.C1 (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40057_.X _76627_.C1 (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40057_.X _76635_.C1 (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40057_.X _76643_.C1 (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _40057_.X _76651_.C1 (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _40057_.X _76659_.C1 (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _40057_.X ANTENNA__76659__C1.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _40057_.X ANTENNA__76651__C1.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _40057_.X ANTENNA__76643__C1.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _40057_.X ANTENNA__76635__C1.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _40057_.X ANTENNA__76627__C1.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40057_.X ANTENNA__76619__C1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40057_.X ANTENNA__76611__C1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40057_.X ANTENNA__76603__C1.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40057_.X ANTENNA__76455__C1.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _40057_.X ANTENNA__76381__S.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40057_.X ANTENNA__76374__S.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _40057_.X ANTENNA__76366__S.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40057_.X ANTENNA__76359__S.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _40057_.X ANTENNA__76351__S.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _40057_.X ANTENNA__76290__S.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _40057_.X ANTENNA__76282__S.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _40057_.X ANTENNA__76274__S.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _40057_.X ANTENNA__40098__S.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40057_.X ANTENNA__40074__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40057_.X ANTENNA__40059__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40058_.X _40059_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40058_.X _76210_.A0 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40058_.X ANTENNA__76210__A0.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40058_.X ANTENNA__40059__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40059_.Y _40060_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40059_.Y _76268_.A0 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40060_.X _40061_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40060_.X _40100_.A2_N (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40061_.X _40062_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40062_.Y _40070_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40062_.Y _60367_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40063_.Y _40064_.B (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40063_.Y _40640_.C (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40063_.Y _42297_.A (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT _40063_.Y _72508_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40063_.Y ANTENNA__72508__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40063_.Y ANTENNA__42297__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40063_.Y ANTENNA__40640__C.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40063_.Y ANTENNA__40064__B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40064_.Y _40069_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40064_.Y _40111_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40065_.X _40066_.C (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40065_.X _40501_.B (0.018:0.018:0.018) (0.017:0.017:0.017))
+ (INTERCONNECT _40065_.X _40505_.B (0.022:0.022:0.022) (0.021:0.021:0.021))
+ (INTERCONNECT _40065_.X _40510_.B (0.020:0.020:0.020) (0.019:0.019:0.019))
+ (INTERCONNECT _40065_.X _40516_.A (0.031:0.031:0.031) (0.028:0.028:0.028))
+ (INTERCONNECT _40065_.X _40550_.B (0.028:0.028:0.028) (0.026:0.026:0.026))
+ (INTERCONNECT _40065_.X _40554_.B (0.029:0.029:0.029) (0.026:0.026:0.026))
+ (INTERCONNECT _40065_.X _40558_.B (0.031:0.031:0.031) (0.029:0.029:0.029))
+ (INTERCONNECT _40065_.X _40562_.B (0.031:0.031:0.031) (0.029:0.029:0.029))
+ (INTERCONNECT _40065_.X _40566_.B (0.032:0.032:0.032) (0.029:0.029:0.029))
+ (INTERCONNECT _40065_.X _40570_.B (0.032:0.032:0.032) (0.029:0.029:0.029))
+ (INTERCONNECT _40065_.X _40574_.B (0.029:0.029:0.029) (0.026:0.026:0.026))
+ (INTERCONNECT _40065_.X _40578_.B (0.031:0.031:0.031) (0.028:0.028:0.028))
+ (INTERCONNECT _40065_.X _40582_.B (0.024:0.024:0.024) (0.023:0.023:0.023))
+ (INTERCONNECT _40065_.X _40586_.B (0.024:0.024:0.024) (0.022:0.022:0.022))
+ (INTERCONNECT _40065_.X _40598_.B (0.030:0.030:0.030) (0.028:0.028:0.028))
+ (INTERCONNECT _40065_.X _40602_.B (0.030:0.030:0.030) (0.027:0.027:0.027))
+ (INTERCONNECT _40065_.X _40606_.B (0.030:0.030:0.030) (0.027:0.027:0.027))
+ (INTERCONNECT _40065_.X _40610_.B (0.026:0.026:0.026) (0.024:0.024:0.024))
+ (INTERCONNECT _40065_.X _40614_.B (0.026:0.026:0.026) (0.024:0.024:0.024))
+ (INTERCONNECT _40065_.X ANTENNA__40614__B.DIODE (0.026:0.026:0.026) (0.024:0.024:0.024))
+ (INTERCONNECT _40065_.X ANTENNA__40610__B.DIODE (0.025:0.025:0.025) (0.024:0.024:0.024))
+ (INTERCONNECT _40065_.X ANTENNA__40606__B.DIODE (0.030:0.030:0.030) (0.027:0.027:0.027))
+ (INTERCONNECT _40065_.X ANTENNA__40602__B.DIODE (0.030:0.030:0.030) (0.028:0.028:0.028))
+ (INTERCONNECT _40065_.X ANTENNA__40598__B.DIODE (0.030:0.030:0.030) (0.028:0.028:0.028))
+ (INTERCONNECT _40065_.X ANTENNA__40586__B.DIODE (0.024:0.024:0.024) (0.022:0.022:0.022))
+ (INTERCONNECT _40065_.X ANTENNA__40582__B.DIODE (0.024:0.024:0.024) (0.022:0.022:0.022))
+ (INTERCONNECT _40065_.X ANTENNA__40578__B.DIODE (0.031:0.031:0.031) (0.028:0.028:0.028))
+ (INTERCONNECT _40065_.X ANTENNA__40574__B.DIODE (0.029:0.029:0.029) (0.026:0.026:0.026))
+ (INTERCONNECT _40065_.X ANTENNA__40570__B.DIODE (0.031:0.031:0.031) (0.029:0.029:0.029))
+ (INTERCONNECT _40065_.X ANTENNA__40566__B.DIODE (0.031:0.031:0.031) (0.029:0.029:0.029))
+ (INTERCONNECT _40065_.X ANTENNA__40562__B.DIODE (0.031:0.031:0.031) (0.029:0.029:0.029))
+ (INTERCONNECT _40065_.X ANTENNA__40558__B.DIODE (0.031:0.031:0.031) (0.028:0.028:0.028))
+ (INTERCONNECT _40065_.X ANTENNA__40554__B.DIODE (0.029:0.029:0.029) (0.026:0.026:0.026))
+ (INTERCONNECT _40065_.X ANTENNA__40550__B.DIODE (0.029:0.029:0.029) (0.027:0.027:0.027))
+ (INTERCONNECT _40065_.X ANTENNA__40516__A.DIODE (0.031:0.031:0.031) (0.028:0.028:0.028))
+ (INTERCONNECT _40065_.X ANTENNA__40510__B.DIODE (0.020:0.020:0.020) (0.019:0.019:0.019))
+ (INTERCONNECT _40065_.X ANTENNA__40505__B.DIODE (0.022:0.022:0.022) (0.021:0.021:0.021))
+ (INTERCONNECT _40065_.X ANTENNA__40501__B.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017))
+ (INTERCONNECT _40065_.X ANTENNA__40066__C.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40066_.X _40069_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40066_.X _40111_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40066_.X ANTENNA__40111__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40066_.X ANTENNA__40069__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40067_.Y _40068_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40067_.Y _44489_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40067_.Y _44522_.C1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40067_.Y _44639_.C1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40067_.Y _44799_.S (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40067_.Y _44949_.C1 (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _40067_.Y _44966_.C1 (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40067_.Y _44984_.C1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40067_.Y _45001_.C1 (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40067_.Y _45018_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40067_.Y _45037_.C1 (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _40067_.Y _45070_.C1 (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40067_.Y ANTENNA__45070__C1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40067_.Y ANTENNA__45037__C1.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _40067_.Y ANTENNA__45018__C1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40067_.Y ANTENNA__45001__C1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40067_.Y ANTENNA__44984__C1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40067_.Y ANTENNA__44966__C1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40067_.Y ANTENNA__44949__C1.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _40067_.Y ANTENNA__44799__S.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40067_.Y ANTENNA__44639__C1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40067_.Y ANTENNA__44522__C1.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _40067_.Y ANTENNA__44489__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40067_.Y ANTENNA__40068__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40068_.X _40069_.A3 (0.018:0.018:0.018) (0.017:0.017:0.017))
+ (INTERCONNECT _40068_.X _40111_.A2 (0.018:0.018:0.018) (0.017:0.017:0.017))
+ (INTERCONNECT _40068_.X _44497_.C1 (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40068_.X _44541_.C1 (0.016:0.016:0.016) (0.015:0.015:0.015))
+ (INTERCONNECT _40068_.X _44665_.B2 (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40068_.X _44685_.B2 (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _40068_.X _44704_.B2 (0.016:0.016:0.016) (0.015:0.015:0.015))
+ (INTERCONNECT _40068_.X _44723_.B2 (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _40068_.X _44742_.B2 (0.016:0.016:0.016) (0.015:0.015:0.015))
+ (INTERCONNECT _40068_.X _44761_.B2 (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40068_.X _44780_.B2 (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40068_.X _44811_.B2 (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40068_.X _44831_.B2 (0.016:0.016:0.016) (0.015:0.015:0.015))
+ (INTERCONNECT _40068_.X _44849_.B2 (0.016:0.016:0.016) (0.015:0.015:0.015))
+ (INTERCONNECT _40068_.X _44866_.B2 (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _40068_.X _44884_.B2 (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40068_.X _44901_.B2 (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40068_.X _44917_.B2 (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40068_.X _44933_.B2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40068_.X _45054_.B2 (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40068_.X ANTENNA__45054__B2.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40068_.X ANTENNA__44933__B2.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40068_.X ANTENNA__44917__B2.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40068_.X ANTENNA__44901__B2.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _40068_.X ANTENNA__44884__B2.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40068_.X ANTENNA__44866__B2.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40068_.X ANTENNA__44849__B2.DIODE (0.016:0.016:0.016) (0.015:0.015:0.015))
+ (INTERCONNECT _40068_.X ANTENNA__44831__B2.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _40068_.X ANTENNA__44811__B2.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40068_.X ANTENNA__44780__B2.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40068_.X ANTENNA__44761__B2.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _40068_.X ANTENNA__44742__B2.DIODE (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _40068_.X ANTENNA__44723__B2.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40068_.X ANTENNA__44704__B2.DIODE (0.016:0.016:0.016) (0.015:0.015:0.015))
+ (INTERCONNECT _40068_.X ANTENNA__44685__B2.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40068_.X ANTENNA__44665__B2.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _40068_.X ANTENNA__44541__C1.DIODE (0.016:0.016:0.016) (0.015:0.015:0.015))
+ (INTERCONNECT _40068_.X ANTENNA__44497__C1.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40068_.X ANTENNA__40111__A2.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017))
+ (INTERCONNECT _40068_.X ANTENNA__40069__A3.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017))
+ (INTERCONNECT _40069_.X _40070_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40070_.X _60419_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40070_.X ANTENNA__60419__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40071_.Y _40099_.B1 (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _40071_.Y _40100_.A1_N (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40071_.Y _76329_.A (0.017:0.017:0.017) (0.016:0.016:0.016))
+ (INTERCONNECT _40071_.Y _76357_.A (0.017:0.017:0.017) (0.016:0.016:0.016))
+ (INTERCONNECT _40071_.Y _76393_.B1 (0.013:0.013:0.013) (0.013:0.013:0.013))
+ (INTERCONNECT _40071_.Y _76394_.A1_N (0.013:0.013:0.013) (0.013:0.013:0.013))
+ (INTERCONNECT _40071_.Y _76402_.B1 (0.017:0.017:0.017) (0.016:0.016:0.016))
+ (INTERCONNECT _40071_.Y _76403_.A1_N (0.016:0.016:0.016) (0.015:0.015:0.016))
+ (INTERCONNECT _40071_.Y _76411_.B1 (0.015:0.015:0.015) (0.015:0.015:0.015))
+ (INTERCONNECT _40071_.Y _76412_.A1_N (0.015:0.015:0.015) (0.015:0.015:0.015))
+ (INTERCONNECT _40071_.Y _76422_.B1 (0.017:0.017:0.017) (0.016:0.016:0.016))
+ (INTERCONNECT _40071_.Y _76423_.A1_N (0.017:0.017:0.017) (0.016:0.016:0.016))
+ (INTERCONNECT _40071_.Y _76431_.B1 (0.017:0.017:0.017) (0.016:0.016:0.016))
+ (INTERCONNECT _40071_.Y _76432_.A1_N (0.017:0.017:0.017) (0.016:0.016:0.016))
+ (INTERCONNECT _40071_.Y _76440_.B1 (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _40071_.Y _76441_.A1_N (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _40071_.Y _76449_.B1 (0.014:0.014:0.014) (0.014:0.014:0.014))
+ (INTERCONNECT _40071_.Y _76450_.A1_N (0.014:0.014:0.014) (0.014:0.014:0.014))
+ (INTERCONNECT _40071_.Y ANTENNA__76450__A1_N.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014))
+ (INTERCONNECT _40071_.Y ANTENNA__76449__B1.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014))
+ (INTERCONNECT _40071_.Y ANTENNA__76441__A1_N.DIODE (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _40071_.Y ANTENNA__76440__B1.DIODE (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _40071_.Y ANTENNA__76432__A1_N.DIODE (0.017:0.017:0.017) (0.016:0.016:0.016))
+ (INTERCONNECT _40071_.Y ANTENNA__76431__B1.DIODE (0.017:0.017:0.017) (0.016:0.016:0.016))
+ (INTERCONNECT _40071_.Y ANTENNA__76423__A1_N.DIODE (0.017:0.017:0.017) (0.016:0.016:0.016))
+ (INTERCONNECT _40071_.Y ANTENNA__76422__B1.DIODE (0.017:0.017:0.017) (0.016:0.016:0.016))
+ (INTERCONNECT _40071_.Y ANTENNA__76412__A1_N.DIODE (0.015:0.015:0.015) (0.015:0.015:0.015))
+ (INTERCONNECT _40071_.Y ANTENNA__76411__B1.DIODE (0.015:0.015:0.015) (0.015:0.015:0.015))
+ (INTERCONNECT _40071_.Y ANTENNA__76403__A1_N.DIODE (0.016:0.016:0.016) (0.015:0.015:0.015))
+ (INTERCONNECT _40071_.Y ANTENNA__76402__B1.DIODE (0.017:0.017:0.017) (0.016:0.016:0.016))
+ (INTERCONNECT _40071_.Y ANTENNA__76394__A1_N.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013))
+ (INTERCONNECT _40071_.Y ANTENNA__76393__B1.DIODE (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _40071_.Y ANTENNA__76357__A.DIODE (0.017:0.017:0.017) (0.016:0.016:0.016))
+ (INTERCONNECT _40071_.Y ANTENNA__76329__A.DIODE (0.017:0.017:0.017) (0.016:0.016:0.016))
+ (INTERCONNECT _40071_.Y ANTENNA__40100__A1_N.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40071_.Y ANTENNA__40099__B1.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _40072_.Y _40073_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40072_.Y _40093_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40073_.X _40092_.A (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT _40073_.X _76392_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40073_.X _76393_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40073_.X _76401_.A (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40073_.X _76402_.A1 (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40073_.X _76410_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40073_.X _76411_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40073_.X _76421_.A (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40073_.X _76422_.A1 (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40073_.X _76430_.A (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40073_.X _76431_.A1 (0.011:0.011:0.011) (0.011:0.011:0.011))
+ (INTERCONNECT _40073_.X _76439_.A (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40073_.X _76440_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40073_.X _76448_.A (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40073_.X _76549_.A (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40073_.X _76558_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40073_.X _76567_.A (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40073_.X _76576_.A (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40073_.X _76585_.A (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40073_.X _76594_.A (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _40073_.X ANTENNA__76594__A.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _40073_.X ANTENNA__76585__A.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _40073_.X ANTENNA__76576__A.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40073_.X ANTENNA__76567__A.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+ (INTERCONNECT _40073_.X ANTENNA__76558__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40073_.X ANTENNA__76549__A.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40073_.X ANTENNA__76448__A.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _40073_.X ANTENNA__76440__A1.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _40073_.X ANTENNA__76439__A.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40073_.X ANTENNA__76431__A1.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _40073_.X ANTENNA__76430__A.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40073_.X ANTENNA__76422__A1.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+ (INTERCONNECT _40073_.X ANTENNA__76421__A.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40073_.X ANTENNA__76411__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40073_.X ANTENNA__76410__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40073_.X ANTENNA__76402__A1.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40073_.X ANTENNA__76401__A.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40073_.X ANTENNA__76393__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40073_.X ANTENNA__76392__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40073_.X ANTENNA__40092__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40074_.X _40091_.A1 (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40074_.X _76159_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40074_.X _76167_.A (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _40074_.X _76174_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40074_.X _76181_.A (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40074_.X _76188_.A (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _40074_.X _76195_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40074_.X _76202_.A (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40074_.X _76466_.A1 (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _40074_.X _76475_.A1 (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40074_.X _76484_.A1 (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _40074_.X _76493_.A1 (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _40074_.X _76529_.C1 (0.016:0.016:0.016) (0.015:0.015:0.015))
+ (INTERCONNECT _40074_.X _76541_.C1 (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _40074_.X _76551_.C1 (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40074_.X _76560_.C1 (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _40074_.X _76569_.C1 (0.016:0.016:0.016) (0.015:0.015:0.015))
+ (INTERCONNECT _40074_.X _76578_.C1 (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _40074_.X _76587_.C1 (0.016:0.016:0.016) (0.015:0.015:0.015))
+ (INTERCONNECT _40074_.X _76596_.C1 (0.016:0.016:0.016) (0.014:0.014:0.014))
+ (INTERCONNECT _40074_.X ANTENNA__76596__C1.DIODE (0.016:0.016:0.016) (0.015:0.015:0.015))
+ (INTERCONNECT _40074_.X ANTENNA__76587__C1.DIODE (0.016:0.016:0.016) (0.015:0.015:0.015))
+ (INTERCONNECT _40074_.X ANTENNA__76578__C1.DIODE (0.016:0.016:0.016) (0.015:0.015:0.015))
+ (INTERCONNECT _40074_.X ANTENNA__76569__C1.DIODE (0.016:0.016:0.016) (0.015:0.015:0.015))
+ (INTERCONNECT _40074_.X ANTENNA__76560__C1.DIODE (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _40074_.X ANTENNA__76551__C1.DIODE (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _40074_.X ANTENNA__76541__C1.DIODE (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _40074_.X ANTENNA__76529__C1.DIODE (0.016:0.016:0.016) (0.015:0.015:0.015))
+ (INTERCONNECT _40074_.X ANTENNA__76493__A1.DIODE (0.016:0.016:0.016) (0.015:0.015:0.015))
+ (INTERCONNECT _40074_.X ANTENNA__76484__A1.DIODE (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _40074_.X ANTENNA__76475__A1.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40074_.X ANTENNA__76466__A1.DIODE (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _40074_.X ANTENNA__76202__A.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40074_.X ANTENNA__76195__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40074_.X ANTENNA__76188__A.DIODE (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _40074_.X ANTENNA__76181__A.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40074_.X ANTENNA__76174__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40074_.X ANTENNA__76167__A.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _40074_.X ANTENNA__76159__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40074_.X ANTENNA__40091__A1.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40075_.X _40076_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40076_.X _40082_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40076_.X _40097_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40076_.X _76273_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40076_.X _76281_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40076_.X _76289_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40076_.X _76297_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40076_.X _76305_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40076_.X _76313_.A2 (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _40076_.X _76321_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40076_.X _76336_.A2 (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _40076_.X _76343_.A2 (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _40076_.X _76350_.A2 (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _40076_.X _76358_.A2 (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _40076_.X _76365_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40076_.X _76373_.A2 (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _40076_.X _76380_.A2 (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _40076_.X ANTENNA__76380__A2.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _40076_.X ANTENNA__76373__A2.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _40076_.X ANTENNA__76365__A2.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _40076_.X ANTENNA__76358__A2.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _40076_.X ANTENNA__76350__A2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40076_.X ANTENNA__76343__A2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40076_.X ANTENNA__76336__A2.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _40076_.X ANTENNA__76321__A2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40076_.X ANTENNA__76313__A2.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _40076_.X ANTENNA__76305__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40076_.X ANTENNA__76297__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40076_.X ANTENNA__76289__A2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40076_.X ANTENNA__76281__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40076_.X ANTENNA__76273__A2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40076_.X ANTENNA__40097__A2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40076_.X ANTENNA__40082__A2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40077_.Y _40078_.B (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40077_.Y _60365_.B (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40077_.Y _75302_.A (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40077_.Y _75342_.A (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40077_.Y _75384_.A (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40077_.Y _75426_.C (0.011:0.011:0.011) (0.011:0.011:0.011))
+ (INTERCONNECT _40077_.Y _75428_.C (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40077_.Y _75430_.C (0.011:0.011:0.011) (0.011:0.011:0.011))
+ (INTERCONNECT _40077_.Y _75432_.C (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40077_.Y _75434_.C (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40077_.Y ANTENNA__75434__C.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40077_.Y ANTENNA__75432__C.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40077_.Y ANTENNA__75430__C.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+ (INTERCONNECT _40077_.Y ANTENNA__75428__C.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40077_.Y ANTENNA__75426__C.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+ (INTERCONNECT _40077_.Y ANTENNA__75384__A.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40077_.Y ANTENNA__75342__A.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40077_.Y ANTENNA__75302__A.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40077_.Y ANTENNA__60365__B.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40077_.Y ANTENNA__40078__B.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40078_.X _40079_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40079_.X _40080_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40079_.X _40086_.A2 (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _40079_.X _40094_.A3 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40079_.X _76333_.A2 (0.020:0.020:0.020) (0.019:0.019:0.019))
+ (INTERCONNECT _40079_.X _76340_.A2 (0.020:0.020:0.020) (0.019:0.019:0.019))
+ (INTERCONNECT _40079_.X _76347_.A2 (0.020:0.020:0.020) (0.018:0.018:0.018))
+ (INTERCONNECT _40079_.X _76354_.A2 (0.020:0.020:0.020) (0.018:0.018:0.018))
+ (INTERCONNECT _40079_.X _76362_.A2 (0.020:0.020:0.020) (0.018:0.018:0.018))
+ (INTERCONNECT _40079_.X _76369_.A2 (0.019:0.019:0.019) (0.018:0.018:0.018))
+ (INTERCONNECT _40079_.X _76377_.A2 (0.018:0.018:0.018) (0.017:0.017:0.017))
+ (INTERCONNECT _40079_.X _76384_.A2 (0.018:0.018:0.018) (0.016:0.016:0.016))
+ (INTERCONNECT _40079_.X ANTENNA__76384__A2.DIODE (0.018:0.018:0.018) (0.016:0.016:0.016))
+ (INTERCONNECT _40079_.X ANTENNA__76377__A2.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017))
+ (INTERCONNECT _40079_.X ANTENNA__76369__A2.DIODE (0.019:0.019:0.019) (0.018:0.018:0.018))
+ (INTERCONNECT _40079_.X ANTENNA__76362__A2.DIODE (0.019:0.019:0.019) (0.018:0.018:0.018))
+ (INTERCONNECT _40079_.X ANTENNA__76354__A2.DIODE (0.020:0.020:0.020) (0.018:0.018:0.018))
+ (INTERCONNECT _40079_.X ANTENNA__76347__A2.DIODE (0.020:0.020:0.020) (0.018:0.018:0.018))
+ (INTERCONNECT _40079_.X ANTENNA__76340__A2.DIODE (0.020:0.020:0.020) (0.019:0.019:0.019))
+ (INTERCONNECT _40079_.X ANTENNA__76333__A2.DIODE (0.020:0.020:0.020) (0.019:0.019:0.019))
+ (INTERCONNECT _40079_.X ANTENNA__40094__A3.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40079_.X ANTENNA__40086__A2.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _40079_.X ANTENNA__40080__A2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40080_.X _40081_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40081_.X _40082_.B1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40081_.X _40086_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40081_.X _40097_.B1 (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _40081_.X _76273_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40081_.X _76281_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40081_.X _76289_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40081_.X _76297_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40081_.X _76305_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40081_.X _76313_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40081_.X _76321_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40081_.X _76336_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40081_.X _76343_.B1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40081_.X _76350_.B1 (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40081_.X _76358_.B1 (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40081_.X _76365_.B1 (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _40081_.X _76373_.B1 (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40081_.X _76380_.B1 (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40081_.X ANTENNA__76380__B1.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40081_.X ANTENNA__76373__B1.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40081_.X ANTENNA__76365__B1.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _40081_.X ANTENNA__76358__B1.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40081_.X ANTENNA__76350__B1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40081_.X ANTENNA__76343__B1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40081_.X ANTENNA__76336__B1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40081_.X ANTENNA__76321__B1.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _40081_.X ANTENNA__76313__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40081_.X ANTENNA__76305__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40081_.X ANTENNA__76297__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40081_.X ANTENNA__76289__B1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40081_.X ANTENNA__76281__B1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40081_.X ANTENNA__76273__B1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40081_.X ANTENNA__40097__B1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40081_.X ANTENNA__40086__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40081_.X ANTENNA__40082__B1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40082_.X _40091_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _40082_.X _76330_.A0 (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _40083_.X _40084_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40084_.X _40085_.A (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _40084_.X _76416_.A (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _40084_.X _76455_.A2 (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _40084_.X _76456_.A2 (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _40084_.X _76612_.A2 (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _40084_.X _76620_.A2 (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _40084_.X _76628_.A2 (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _40084_.X _76636_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40084_.X _76644_.A2 (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _40084_.X _76652_.A2 (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40084_.X _76660_.A2 (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _40084_.X ANTENNA__76660__A2.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _40084_.X ANTENNA__76652__A2.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _40084_.X ANTENNA__76644__A2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40084_.X ANTENNA__76636__A2.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40084_.X ANTENNA__76628__A2.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _40084_.X ANTENNA__76620__A2.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _40084_.X ANTENNA__76612__A2.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _40084_.X ANTENNA__76456__A2.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _40084_.X ANTENNA__76455__A2.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _40084_.X ANTENNA__76416__A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _40084_.X ANTENNA__40085__A.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _40085_.X _40090_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40085_.X _76389_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40085_.X _76398_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40085_.X _76407_.A2 (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40085_.X _76529_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40085_.X _76532_.A2 (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _40085_.X _76540_.A2 (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _40085_.X _76541_.A2 (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40085_.X _76550_.A2 (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _40085_.X _76551_.A2 (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40085_.X _76559_.A2 (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _40085_.X _76560_.A2 (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40085_.X _76568_.A2 (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _40085_.X _76569_.A2 (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _40085_.X _76577_.A2 (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _40085_.X _76578_.A2 (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _40085_.X _76586_.A2 (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _40085_.X _76587_.A2 (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _40085_.X _76595_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40085_.X _76596_.A2 (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _40085_.X ANTENNA__76596__A2.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _40085_.X ANTENNA__76595__A2.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _40085_.X ANTENNA__76587__A2.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _40085_.X ANTENNA__76586__A2.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _40085_.X ANTENNA__76578__A2.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _40085_.X ANTENNA__76577__A2.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _40085_.X ANTENNA__76569__A2.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _40085_.X ANTENNA__76568__A2.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _40085_.X ANTENNA__76560__A2.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _40085_.X ANTENNA__76559__A2.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _40085_.X ANTENNA__76551__A2.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40085_.X ANTENNA__76550__A2.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _40085_.X ANTENNA__76541__A2.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _40085_.X ANTENNA__76540__A2.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _40085_.X ANTENNA__76532__A2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40085_.X ANTENNA__76529__A2.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _40085_.X ANTENNA__76407__A2.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40085_.X ANTENNA__76398__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40085_.X ANTENNA__76389__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40085_.X ANTENNA__40090__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40086_.X _40087_.A (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _40086_.X _76417_.A (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _40086_.X _76455_.B1 (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _40086_.X _76456_.B1 (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _40086_.X _76612_.B1 (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _40086_.X _76620_.B1 (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _40086_.X _76628_.B1 (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _40086_.X _76636_.B1 (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40086_.X _76644_.B1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40086_.X _76652_.B1 (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40086_.X _76660_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40086_.X ANTENNA__76660__B1.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _40086_.X ANTENNA__76652__B1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40086_.X ANTENNA__76644__B1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40086_.X ANTENNA__76636__B1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40086_.X ANTENNA__76628__B1.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _40086_.X ANTENNA__76620__B1.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _40086_.X ANTENNA__76612__B1.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _40086_.X ANTENNA__76456__B1.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _40086_.X ANTENNA__76455__B1.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _40086_.X ANTENNA__76417__A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _40086_.X ANTENNA__40087__A.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _40087_.X _40090_.B1 (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT _40087_.X _76389_.B1 (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT _40087_.X _76398_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40087_.X _76407_.B1 (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _40087_.X _76529_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40087_.X _76532_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40087_.X _76540_.B1 (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40087_.X _76541_.B1 (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _40087_.X _76550_.B1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40087_.X _76551_.B1 (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _40087_.X _76559_.B1 (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _40087_.X _76560_.B1 (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _40087_.X _76568_.B1 (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40087_.X _76569_.B1 (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _40087_.X _76577_.B1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40087_.X _76578_.B1 (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _40087_.X _76586_.B1 (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40087_.X _76587_.B1 (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _40087_.X _76595_.B1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40087_.X _76596_.B1 (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40087_.X ANTENNA__76596__B1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40087_.X ANTENNA__76595__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40087_.X ANTENNA__76587__B1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40087_.X ANTENNA__76586__B1.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40087_.X ANTENNA__76578__B1.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _40087_.X ANTENNA__76577__B1.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40087_.X ANTENNA__76569__B1.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _40087_.X ANTENNA__76568__B1.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40087_.X ANTENNA__76560__B1.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _40087_.X ANTENNA__76559__B1.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40087_.X ANTENNA__76551__B1.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _40087_.X ANTENNA__76550__B1.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _40087_.X ANTENNA__76541__B1.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _40087_.X ANTENNA__76540__B1.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40087_.X ANTENNA__76532__B1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40087_.X ANTENNA__76529__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40087_.X ANTENNA__76407__B1.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _40087_.X ANTENNA__76398__B1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40087_.X ANTENNA__76389__B1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40087_.X ANTENNA__40090__B1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40088_.X _40089_.A (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40088_.X _76456_.C1 (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40088_.X _76492_.C1 (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40088_.X _76501_.C1 (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40088_.X _76510_.C1 (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40088_.X _76519_.C1 (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40088_.X _76604_.C1 (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _40088_.X _76612_.C1 (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _40088_.X _76620_.C1 (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40088_.X _76628_.C1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40088_.X _76636_.C1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40088_.X _76644_.C1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40088_.X _76652_.C1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40088_.X _76660_.C1 (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT _40088_.X ANTENNA__76660__C1.DIODE (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT _40088_.X ANTENNA__76652__C1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40088_.X ANTENNA__76644__C1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40088_.X ANTENNA__76636__C1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40088_.X ANTENNA__76628__C1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40088_.X ANTENNA__76620__C1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40088_.X ANTENNA__76612__C1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40088_.X ANTENNA__76604__C1.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _40088_.X ANTENNA__76519__C1.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40088_.X ANTENNA__76510__C1.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40088_.X ANTENNA__76501__C1.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40088_.X ANTENNA__76492__C1.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40088_.X ANTENNA__76456__C1.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _40088_.X ANTENNA__40089__A.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40089_.X _40090_.C1 (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _40089_.X _76330_.S (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _40089_.X _76391_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40089_.X _76400_.A1 (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40089_.X _76409_.A1 (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40089_.X _76420_.A1 (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40089_.X _76429_.A1 (0.011:0.011:0.011) (0.011:0.011:0.011))
+ (INTERCONNECT _40089_.X _76438_.A1 (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _40089_.X _76447_.A1 (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _40089_.X _76465_.C1 (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40089_.X _76474_.C1 (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40089_.X _76483_.C1 (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40089_.X _76532_.C1 (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _40089_.X _76540_.C1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40089_.X _76550_.C1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40089_.X _76559_.C1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40089_.X _76568_.C1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40089_.X _76577_.C1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40089_.X _76586_.C1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40089_.X _76595_.C1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40089_.X ANTENNA__76595__C1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40089_.X ANTENNA__76586__C1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40089_.X ANTENNA__76577__C1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40089_.X ANTENNA__76568__C1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40089_.X ANTENNA__76559__C1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40089_.X ANTENNA__76550__C1.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _40089_.X ANTENNA__76540__C1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40089_.X ANTENNA__76532__C1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40089_.X ANTENNA__76483__C1.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40089_.X ANTENNA__76474__C1.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40089_.X ANTENNA__76465__C1.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40089_.X ANTENNA__76447__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40089_.X ANTENNA__76438__A1.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _40089_.X ANTENNA__76429__A1.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40089_.X ANTENNA__76420__A1.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40089_.X ANTENNA__76409__A1.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40089_.X ANTENNA__76400__A1.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40089_.X ANTENNA__76391__A1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40089_.X ANTENNA__76330__S.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40089_.X ANTENNA__40090__C1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40090_.X _40091_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40091_.Y _40092_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40091_.Y _76528_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40092_.Y _40100_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40093_.X _40099_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40093_.X _76208_.A (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40093_.X _76268_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40093_.X _76276_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40093_.X _76284_.S (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _40093_.X _76292_.S (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40093_.X _76300_.S (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _40093_.X _76308_.S (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _40093_.X _76316_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40093_.X _76324_.S (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40093_.X _76331_.S (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40093_.X _76338_.S (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40093_.X _76345_.S (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _40093_.X _76352_.S (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _40093_.X _76360_.S (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40093_.X _76367_.S (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40093_.X _76375_.S (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _40093_.X _76382_.S (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _40093_.X _76449_.A1 (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT _40093_.X _76605_.A1 (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT _40093_.X ANTENNA__76605__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40093_.X ANTENNA__76449__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40093_.X ANTENNA__76382__S.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40093_.X ANTENNA__76375__S.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _40093_.X ANTENNA__76367__S.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40093_.X ANTENNA__76360__S.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40093_.X ANTENNA__76352__S.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _40093_.X ANTENNA__76345__S.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _40093_.X ANTENNA__76338__S.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40093_.X ANTENNA__76331__S.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40093_.X ANTENNA__76324__S.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40093_.X ANTENNA__76316__S.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40093_.X ANTENNA__76308__S.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40093_.X ANTENNA__76300__S.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _40093_.X ANTENNA__76292__S.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40093_.X ANTENNA__76284__S.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40093_.X ANTENNA__76276__S.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40093_.X ANTENNA__76268__S.DIODE (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT _40093_.X ANTENNA__76208__A.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40093_.X ANTENNA__40099__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40094_.X _40096_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40094_.X _76217_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40094_.X _76225_.A2 (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _40094_.X _76232_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40094_.X _76239_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40094_.X _76246_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40094_.X _76253_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40094_.X _76260_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40095_.X _40096_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40095_.X _76217_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40095_.X _76225_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40095_.X _76232_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40095_.X _76239_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40095_.X _76246_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40095_.X _76253_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40095_.X _76260_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40096_.X _40098_.A0 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40096_.X _76210_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40096_.X ANTENNA__76210__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40096_.X ANTENNA__40098__A0.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40097_.X _40098_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40097_.X _76330_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40097_.X ANTENNA__76330__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40097_.X ANTENNA__40098__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40098_.X _40099_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40098_.X _76267_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40099_.X _40100_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40100_.X _40112_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40100_.X _60421_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40101_.X _40110_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40101_.X ANTENNA__40110__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40102_.X _40103_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40103_.X _40104_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40103_.X _44521_.C1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40103_.X _44635_.S (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40103_.X _44638_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40103_.X _44830_.S (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40103_.X _44848_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40103_.X _44865_.S (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _40103_.X _44883_.S (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40103_.X _44900_.S (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _40103_.X _44916_.S (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _40103_.X _45070_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40103_.X ANTENNA__45070__A1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40103_.X ANTENNA__44916__S.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _40103_.X ANTENNA__44900__S.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40103_.X ANTENNA__44883__S.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40103_.X ANTENNA__44865__S.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40103_.X ANTENNA__44848__S.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40103_.X ANTENNA__44830__S.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40103_.X ANTENNA__44638__C1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40103_.X ANTENNA__44635__S.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40103_.X ANTENNA__44521__C1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40103_.X ANTENNA__40104__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40104_.X _40110_.A2 (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _40104_.X _44496_.C1 (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40104_.X _44540_.C1 (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _40104_.X _44557_.S (0.013:0.013:0.013) (0.013:0.013:0.013))
+ (INTERCONNECT _40104_.X _44576_.S (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40104_.X _44595_.S (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _40104_.X _44660_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40104_.X _44680_.A (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _40104_.X _44699_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40104_.X _44718_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40104_.X _44737_.A (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _40104_.X _44756_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40104_.X _44775_.A (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40104_.X _44810_.S (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _40104_.X _44949_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40104_.X _44966_.A1 (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _40104_.X _44984_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40104_.X _45001_.A1 (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _40104_.X _45018_.A1 (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _40104_.X _45037_.A1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40104_.X ANTENNA__45037__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40104_.X ANTENNA__45018__A1.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _40104_.X ANTENNA__45001__A1.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40104_.X ANTENNA__44984__A1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40104_.X ANTENNA__44966__A1.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _40104_.X ANTENNA__44949__A1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40104_.X ANTENNA__44810__S.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _40104_.X ANTENNA__44775__A.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40104_.X ANTENNA__44756__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40104_.X ANTENNA__44737__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40104_.X ANTENNA__44718__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40104_.X ANTENNA__44699__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40104_.X ANTENNA__44680__A.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _40104_.X ANTENNA__44660__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40104_.X ANTENNA__44595__S.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013))
+ (INTERCONNECT _40104_.X ANTENNA__44576__S.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40104_.X ANTENNA__44557__S.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013))
+ (INTERCONNECT _40104_.X ANTENNA__44540__C1.DIODE (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _40104_.X ANTENNA__44496__C1.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40104_.X ANTENNA__40110__A2.DIODE (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _40105_.Y _40107_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _40105_.Y _44561_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _40105_.Y _44580_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40105_.Y _44599_.A1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _40105_.Y _44618_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40106_.X _40107_.B (0.035:0.035:0.035) (0.031:0.031:0.031))
+ (INTERCONNECT _40106_.X _40113_.A (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _40106_.X _40178_.A (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _40106_.X _40524_.B1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40106_.X _40528_.B1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40106_.X _40533_.B1 (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40106_.X _40541_.B1 (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40106_.X _40607_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40106_.X _40611_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40106_.X _40615_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40106_.X _40619_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40106_.X _40623_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40106_.X _40627_.A2 (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40106_.X _40631_.A2 (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40106_.X _44472_.B (0.037:0.037:0.037) (0.032:0.032:0.032))
+ (INTERCONNECT _40106_.X _44474_.A2 (0.036:0.036:0.036) (0.032:0.032:0.032))
+ (INTERCONNECT _40106_.X _44476_.C1 (0.037:0.037:0.037) (0.032:0.032:0.032))
+ (INTERCONNECT _40106_.X _44478_.B (0.037:0.037:0.037) (0.032:0.032:0.032))
+ (INTERCONNECT _40106_.X _44499_.A_N (0.037:0.037:0.037) (0.032:0.032:0.032))
+ (INTERCONNECT _40106_.X _72451_.B1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40106_.X ANTENNA__72451__B1.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _40106_.X ANTENNA__44499__A_N.DIODE (0.037:0.037:0.037) (0.032:0.032:0.032))
+ (INTERCONNECT _40106_.X ANTENNA__44478__B.DIODE (0.037:0.037:0.037) (0.032:0.032:0.032))
+ (INTERCONNECT _40106_.X ANTENNA__44476__C1.DIODE (0.037:0.037:0.037) (0.032:0.032:0.032))
+ (INTERCONNECT _40106_.X ANTENNA__44474__A2.DIODE (0.037:0.037:0.037) (0.032:0.032:0.032))
+ (INTERCONNECT _40106_.X ANTENNA__44472__B.DIODE (0.037:0.037:0.037) (0.032:0.032:0.032))
+ (INTERCONNECT _40106_.X ANTENNA__40631__A2.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40106_.X ANTENNA__40627__A2.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40106_.X ANTENNA__40623__A2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40106_.X ANTENNA__40619__A2.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _40106_.X ANTENNA__40615__A2.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40106_.X ANTENNA__40611__A2.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40106_.X ANTENNA__40607__A2.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40106_.X ANTENNA__40541__B1.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40106_.X ANTENNA__40533__B1.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40106_.X ANTENNA__40528__B1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40106_.X ANTENNA__40524__B1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40106_.X ANTENNA__40178__A.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _40106_.X ANTENNA__40113__A.DIODE (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _40106_.X ANTENNA__40107__B.DIODE (0.035:0.035:0.035) (0.031:0.031:0.031))
+ (INTERCONNECT _40107_.Y _40110_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40107_.Y _44640_.A1 (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _40107_.Y _44661_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40107_.Y _44681_.A2 (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _40107_.Y _44700_.A2 (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _40107_.Y _44719_.A2 (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _40107_.Y _44738_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40107_.Y _44757_.A2 (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _40107_.Y _44776_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40107_.Y ANTENNA__44776__A2.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40107_.Y ANTENNA__44757__A2.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _40107_.Y ANTENNA__44738__A2.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _40107_.Y ANTENNA__44719__A2.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _40107_.Y ANTENNA__44700__A2.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _40107_.Y ANTENNA__44681__A2.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _40107_.Y ANTENNA__44661__A2.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40107_.Y ANTENNA__44640__A1.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _40107_.Y ANTENNA__40110__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40108_.X _40109_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40109_.X _40110_.C1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40110_.X _40111_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40111_.X _40112_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40112_.X _60422_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40112_.X ANTENNA__60422__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40113_.X _40114_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40113_.X _40119_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40113_.X _40139_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40113_.X _40158_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40113_.X _40502_.A2 (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _40113_.X _40506_.A2 (0.018:0.018:0.018) (0.017:0.017:0.017))
+ (INTERCONNECT _40113_.X _40511_.A2 (0.019:0.019:0.019) (0.018:0.018:0.018))
+ (INTERCONNECT _40113_.X _40551_.A2 (0.027:0.027:0.027) (0.025:0.025:0.025))
+ (INTERCONNECT _40113_.X _40555_.A2 (0.026:0.026:0.026) (0.025:0.025:0.025))
+ (INTERCONNECT _40113_.X _40559_.A2 (0.029:0.029:0.029) (0.027:0.027:0.027))
+ (INTERCONNECT _40113_.X _40563_.A2 (0.029:0.029:0.029) (0.027:0.027:0.027))
+ (INTERCONNECT _40113_.X _40567_.A2 (0.029:0.029:0.029) (0.027:0.027:0.027))
+ (INTERCONNECT _40113_.X _40571_.A2 (0.029:0.029:0.029) (0.027:0.027:0.027))
+ (INTERCONNECT _40113_.X _40575_.A2 (0.026:0.026:0.026) (0.024:0.024:0.024))
+ (INTERCONNECT _40113_.X _40579_.A2 (0.029:0.029:0.029) (0.027:0.027:0.027))
+ (INTERCONNECT _40113_.X _40583_.A2 (0.024:0.024:0.024) (0.022:0.022:0.022))
+ (INTERCONNECT _40113_.X _40587_.A2 (0.021:0.021:0.021) (0.020:0.020:0.020))
+ (INTERCONNECT _40113_.X _40594_.B1 (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _40113_.X _40599_.A2 (0.028:0.028:0.028) (0.026:0.026:0.026))
+ (INTERCONNECT _40113_.X _40603_.A2 (0.028:0.028:0.028) (0.026:0.026:0.026))
+ (INTERCONNECT _40113_.X ANTENNA__40603__A2.DIODE (0.028:0.028:0.028) (0.026:0.026:0.026))
+ (INTERCONNECT _40113_.X ANTENNA__40599__A2.DIODE (0.028:0.028:0.028) (0.026:0.026:0.026))
+ (INTERCONNECT _40113_.X ANTENNA__40594__B1.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014))
+ (INTERCONNECT _40113_.X ANTENNA__40587__A2.DIODE (0.022:0.022:0.022) (0.020:0.020:0.020))
+ (INTERCONNECT _40113_.X ANTENNA__40583__A2.DIODE (0.023:0.023:0.023) (0.022:0.022:0.022))
+ (INTERCONNECT _40113_.X ANTENNA__40579__A2.DIODE (0.028:0.028:0.028) (0.026:0.026:0.026))
+ (INTERCONNECT _40113_.X ANTENNA__40575__A2.DIODE (0.026:0.026:0.026) (0.024:0.024:0.024))
+ (INTERCONNECT _40113_.X ANTENNA__40571__A2.DIODE (0.029:0.029:0.029) (0.027:0.027:0.027))
+ (INTERCONNECT _40113_.X ANTENNA__40567__A2.DIODE (0.029:0.029:0.029) (0.027:0.027:0.027))
+ (INTERCONNECT _40113_.X ANTENNA__40563__A2.DIODE (0.029:0.029:0.029) (0.027:0.027:0.027))
+ (INTERCONNECT _40113_.X ANTENNA__40559__A2.DIODE (0.029:0.029:0.029) (0.027:0.027:0.027))
+ (INTERCONNECT _40113_.X ANTENNA__40555__A2.DIODE (0.026:0.026:0.026) (0.025:0.025:0.025))
+ (INTERCONNECT _40113_.X ANTENNA__40551__A2.DIODE (0.027:0.027:0.027) (0.025:0.025:0.025))
+ (INTERCONNECT _40113_.X ANTENNA__40511__A2.DIODE (0.019:0.019:0.019) (0.018:0.018:0.018))
+ (INTERCONNECT _40113_.X ANTENNA__40506__A2.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017))
+ (INTERCONNECT _40113_.X ANTENNA__40502__A2.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40113_.X ANTENNA__40158__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40113_.X ANTENNA__40139__A.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _40113_.X ANTENNA__40119__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40113_.X ANTENNA__40114__A.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40114_.X _40117_.A2 (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _40114_.X _40118_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40114_.X _42076_.A2 (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _40114_.X _76134_.A2 (0.025:0.025:0.025) (0.022:0.022:0.022))
+ (INTERCONNECT _40114_.X _76690_.B (0.031:0.031:0.031) (0.028:0.028:0.028))
+ (INTERCONNECT _40114_.X _76692_.B (0.030:0.030:0.030) (0.027:0.027:0.027))
+ (INTERCONNECT _40114_.X _76694_.B (0.024:0.024:0.024) (0.022:0.022:0.022))
+ (INTERCONNECT _40114_.X _76696_.B (0.031:0.031:0.031) (0.028:0.028:0.028))
+ (INTERCONNECT _40114_.X _76698_.B (0.026:0.026:0.026) (0.024:0.024:0.024))
+ (INTERCONNECT _40114_.X _76700_.B (0.029:0.029:0.029) (0.026:0.026:0.026))
+ (INTERCONNECT _40114_.X _76702_.B (0.022:0.022:0.022) (0.020:0.020:0.020))
+ (INTERCONNECT _40114_.X _76706_.B (0.031:0.031:0.031) (0.028:0.028:0.028))
+ (INTERCONNECT _40114_.X _76714_.B (0.031:0.031:0.031) (0.028:0.028:0.028))
+ (INTERCONNECT _40114_.X _76718_.B (0.030:0.030:0.030) (0.027:0.027:0.027))
+ (INTERCONNECT _40114_.X _76720_.B (0.024:0.024:0.024) (0.022:0.022:0.022))
+ (INTERCONNECT _40114_.X _76722_.B (0.028:0.028:0.028) (0.025:0.025:0.025))
+ (INTERCONNECT _40114_.X _76724_.B (0.026:0.026:0.026) (0.023:0.023:0.023))
+ (INTERCONNECT _40114_.X _76726_.B (0.027:0.027:0.027) (0.024:0.024:0.024))
+ (INTERCONNECT _40114_.X _76728_.B (0.031:0.031:0.031) (0.028:0.028:0.028))
+ (INTERCONNECT _40114_.X _76730_.B (0.030:0.030:0.030) (0.027:0.027:0.027))
+ (INTERCONNECT _40114_.X ANTENNA__76730__B.DIODE (0.030:0.030:0.030) (0.027:0.027:0.027))
+ (INTERCONNECT _40114_.X ANTENNA__76728__B.DIODE (0.031:0.031:0.031) (0.028:0.028:0.028))
+ (INTERCONNECT _40114_.X ANTENNA__76726__B.DIODE (0.027:0.027:0.027) (0.024:0.024:0.024))
+ (INTERCONNECT _40114_.X ANTENNA__76724__B.DIODE (0.026:0.026:0.026) (0.023:0.023:0.023))
+ (INTERCONNECT _40114_.X ANTENNA__76722__B.DIODE (0.028:0.028:0.028) (0.025:0.025:0.025))
+ (INTERCONNECT _40114_.X ANTENNA__76720__B.DIODE (0.024:0.024:0.024) (0.022:0.022:0.022))
+ (INTERCONNECT _40114_.X ANTENNA__76718__B.DIODE (0.030:0.030:0.030) (0.027:0.027:0.027))
+ (INTERCONNECT _40114_.X ANTENNA__76714__B.DIODE (0.031:0.031:0.031) (0.028:0.028:0.028))
+ (INTERCONNECT _40114_.X ANTENNA__76706__B.DIODE (0.031:0.031:0.031) (0.028:0.028:0.028))
+ (INTERCONNECT _40114_.X ANTENNA__76702__B.DIODE (0.022:0.022:0.022) (0.020:0.020:0.020))
+ (INTERCONNECT _40114_.X ANTENNA__76700__B.DIODE (0.029:0.029:0.029) (0.026:0.026:0.026))
+ (INTERCONNECT _40114_.X ANTENNA__76698__B.DIODE (0.026:0.026:0.026) (0.024:0.024:0.024))
+ (INTERCONNECT _40114_.X ANTENNA__76696__B.DIODE (0.031:0.031:0.031) (0.028:0.028:0.028))
+ (INTERCONNECT _40114_.X ANTENNA__76694__B.DIODE (0.024:0.024:0.024) (0.022:0.022:0.022))
+ (INTERCONNECT _40114_.X ANTENNA__76692__B.DIODE (0.030:0.030:0.030) (0.027:0.027:0.027))
+ (INTERCONNECT _40114_.X ANTENNA__76690__B.DIODE (0.031:0.031:0.031) (0.028:0.028:0.028))
+ (INTERCONNECT _40114_.X ANTENNA__76134__A2.DIODE (0.025:0.025:0.025) (0.022:0.022:0.022))
+ (INTERCONNECT _40114_.X ANTENNA__42076__A2.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _40114_.X ANTENNA__40118__A2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40114_.X ANTENNA__40117__A2.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+ (INTERCONNECT _40115_.X _40116_.A (0.024:0.024:0.024) (0.022:0.022:0.022))
+ (INTERCONNECT _40115_.X _40137_.A (0.033:0.033:0.033) (0.030:0.030:0.030))
+ (INTERCONNECT _40115_.X _40160_.A (0.040:0.040:0.040) (0.036:0.036:0.036))
+ (INTERCONNECT _40115_.X _40182_.B1 (0.040:0.040:0.040) (0.036:0.036:0.036))
+ (INTERCONNECT _40115_.X _40183_.B1 (0.039:0.039:0.039) (0.036:0.036:0.036))
+ (INTERCONNECT _40115_.X _40184_.B1 (0.038:0.038:0.038) (0.035:0.035:0.035))
+ (INTERCONNECT _40115_.X _40185_.B1 (0.039:0.039:0.039) (0.036:0.036:0.036))
+ (INTERCONNECT _40115_.X _40186_.B1 (0.039:0.039:0.039) (0.035:0.035:0.035))
+ (INTERCONNECT _40115_.X _40187_.B1 (0.038:0.038:0.038) (0.034:0.034:0.034))
+ (INTERCONNECT _40115_.X _40188_.B1 (0.036:0.036:0.036) (0.032:0.032:0.032))
+ (INTERCONNECT _40115_.X _40189_.B1 (0.037:0.037:0.037) (0.033:0.033:0.033))
+ (INTERCONNECT _40115_.X _40190_.B1 (0.038:0.038:0.038) (0.034:0.034:0.034))
+ (INTERCONNECT _40115_.X _40191_.B1 (0.034:0.034:0.034) (0.031:0.031:0.031))
+ (INTERCONNECT _40115_.X _40192_.B1 (0.034:0.034:0.034) (0.031:0.031:0.031))
+ (INTERCONNECT _40115_.X _40193_.B1 (0.035:0.035:0.035) (0.031:0.031:0.031))
+ (INTERCONNECT _40115_.X _77114_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40115_.X ANTENNA__77114__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40115_.X ANTENNA__40193__B1.DIODE (0.035:0.035:0.035) (0.031:0.031:0.031))
+ (INTERCONNECT _40115_.X ANTENNA__40192__B1.DIODE (0.034:0.034:0.034) (0.031:0.031:0.031))
+ (INTERCONNECT _40115_.X ANTENNA__40191__B1.DIODE (0.034:0.034:0.034) (0.031:0.031:0.031))
+ (INTERCONNECT _40115_.X ANTENNA__40190__B1.DIODE (0.037:0.037:0.037) (0.033:0.033:0.033))
+ (INTERCONNECT _40115_.X ANTENNA__40189__B1.DIODE (0.036:0.036:0.036) (0.033:0.033:0.033))
+ (INTERCONNECT _40115_.X ANTENNA__40188__B1.DIODE (0.036:0.036:0.036) (0.032:0.032:0.032))
+ (INTERCONNECT _40115_.X ANTENNA__40187__B1.DIODE (0.038:0.038:0.038) (0.034:0.034:0.034))
+ (INTERCONNECT _40115_.X ANTENNA__40186__B1.DIODE (0.039:0.039:0.039) (0.035:0.035:0.035))
+ (INTERCONNECT _40115_.X ANTENNA__40185__B1.DIODE (0.039:0.039:0.039) (0.035:0.035:0.035))
+ (INTERCONNECT _40115_.X ANTENNA__40184__B1.DIODE (0.038:0.038:0.038) (0.035:0.035:0.035))
+ (INTERCONNECT _40115_.X ANTENNA__40183__B1.DIODE (0.039:0.039:0.039) (0.036:0.036:0.036))
+ (INTERCONNECT _40115_.X ANTENNA__40182__B1.DIODE (0.040:0.040:0.040) (0.036:0.036:0.036))
+ (INTERCONNECT _40115_.X ANTENNA__40160__A.DIODE (0.039:0.039:0.039) (0.036:0.036:0.036))
+ (INTERCONNECT _40115_.X ANTENNA__40137__A.DIODE (0.032:0.032:0.032) (0.029:0.029:0.029))
+ (INTERCONNECT _40115_.X ANTENNA__40116__A.DIODE (0.023:0.023:0.023) (0.021:0.021:0.021))
+ (INTERCONNECT _40116_.X _40117_.B1 (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40116_.X _40118_.B1 (0.011:0.011:0.011) (0.011:0.011:0.011))
+ (INTERCONNECT _40116_.X _40120_.B1 (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40116_.X _40121_.B1 (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40116_.X _40122_.B1 (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40116_.X _40123_.B1 (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40116_.X _40124_.B1 (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _40116_.X _40125_.B1 (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40116_.X _40126_.B1 (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _40116_.X _40127_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40116_.X _40128_.B1 (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40116_.X _40129_.B1 (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _40116_.X _40130_.B1 (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40116_.X _40131_.B1 (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40116_.X _40132_.B1 (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40116_.X _40133_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40116_.X _40134_.B1 (0.011:0.011:0.011) (0.011:0.011:0.011))
+ (INTERCONNECT _40116_.X _40135_.B1 (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _40116_.X _40136_.B1 (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _40116_.X _42076_.B1 (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40116_.X ANTENNA__42076__B1.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40116_.X ANTENNA__40136__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40116_.X ANTENNA__40135__B1.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _40116_.X ANTENNA__40134__B1.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+ (INTERCONNECT _40116_.X ANTENNA__40133__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40116_.X ANTENNA__40132__B1.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _40116_.X ANTENNA__40131__B1.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40116_.X ANTENNA__40130__B1.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40116_.X ANTENNA__40129__B1.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _40116_.X ANTENNA__40128__B1.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _40116_.X ANTENNA__40127__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40116_.X ANTENNA__40126__B1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40116_.X ANTENNA__40125__B1.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _40116_.X ANTENNA__40124__B1.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _40116_.X ANTENNA__40123__B1.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40116_.X ANTENNA__40122__B1.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40116_.X ANTENNA__40121__B1.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40116_.X ANTENNA__40120__B1.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40116_.X ANTENNA__40118__B1.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+ (INTERCONNECT _40116_.X ANTENNA__40117__B1.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40117_.X _76740_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40117_.X _86250_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40118_.X _76734_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40118_.X _86252_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40119_.X _40120_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40119_.X _40121_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40119_.X _40122_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40119_.X _40123_.A2 (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40119_.X _40124_.A2 (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _40119_.X _40125_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40119_.X _40126_.A2 (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40119_.X _40127_.A2 (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40119_.X _40128_.A2 (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _40119_.X _40129_.A2 (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _40119_.X _40130_.A2 (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40119_.X _40131_.A2 (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _40119_.X _40132_.A2 (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _40119_.X _40133_.A2 (0.012:0.012:0.012) (0.012:0.012:0.012))
+ (INTERCONNECT _40119_.X _40134_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40119_.X _40135_.A2 (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40119_.X _40136_.A2 (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40119_.X _40138_.A2 (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40119_.X ANTENNA__40138__A2.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40119_.X ANTENNA__40136__A2.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40119_.X ANTENNA__40135__A2.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40119_.X ANTENNA__40134__A2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40119_.X ANTENNA__40133__A2.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40119_.X ANTENNA__40132__A2.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _40119_.X ANTENNA__40131__A2.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _40119_.X ANTENNA__40130__A2.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40119_.X ANTENNA__40129__A2.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _40119_.X ANTENNA__40128__A2.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _40119_.X ANTENNA__40127__A2.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40119_.X ANTENNA__40126__A2.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40119_.X ANTENNA__40125__A2.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40119_.X ANTENNA__40124__A2.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _40119_.X ANTENNA__40123__A2.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40119_.X ANTENNA__40122__A2.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40119_.X ANTENNA__40121__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40119_.X ANTENNA__40120__A2.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40120_.X _76736_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40120_.X _86253_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40121_.X _76738_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40121_.X _86254_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40122_.X _76760_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40122_.X _86255_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40123_.X _76762_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40123_.X _86256_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40124_.X _76764_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40124_.X _86257_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40125_.X _76766_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40125_.X _86258_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40126_.X _76769_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40126_.X _86259_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40127_.X _76771_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40127_.X _86260_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40128_.X _76773_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40128_.X _86261_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40129_.X _76775_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40129_.X _86262_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40130_.X _76777_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40130_.X _86263_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40131_.X _76779_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40131_.X _86264_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40132_.X _76781_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40132_.X _86265_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40133_.X _76783_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40133_.X _86266_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40134_.X _76785_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40134_.X _86267_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40135_.X _76787_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40135_.X _86268_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40136_.X _76789_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40136_.X _86269_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40137_.X _40138_.B1 (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT _40137_.X _40140_.B1 (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40137_.X _40141_.B1 (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40137_.X _40142_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40137_.X _40143_.B1 (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _40137_.X _40144_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40137_.X _40145_.B1 (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _40137_.X _40146_.B1 (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _40137_.X _40147_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40137_.X _40148_.B1 (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40137_.X _40149_.B1 (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40137_.X _40150_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40137_.X _40151_.B1 (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _40137_.X _40152_.B1 (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40137_.X _40153_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40137_.X _40154_.B1 (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _40137_.X _40155_.B1 (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _40137_.X _40156_.B1 (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40137_.X _40157_.B1 (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _40137_.X _40159_.B1 (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _40137_.X ANTENNA__40159__B1.DIODE (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _40137_.X ANTENNA__40157__B1.DIODE (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _40137_.X ANTENNA__40156__B1.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40137_.X ANTENNA__40155__B1.DIODE (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _40137_.X ANTENNA__40154__B1.DIODE (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _40137_.X ANTENNA__40153__B1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40137_.X ANTENNA__40152__B1.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40137_.X ANTENNA__40151__B1.DIODE (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _40137_.X ANTENNA__40150__B1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40137_.X ANTENNA__40149__B1.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40137_.X ANTENNA__40148__B1.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40137_.X ANTENNA__40147__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40137_.X ANTENNA__40146__B1.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _40137_.X ANTENNA__40145__B1.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _40137_.X ANTENNA__40144__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40137_.X ANTENNA__40143__B1.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _40137_.X ANTENNA__40142__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40137_.X ANTENNA__40141__B1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40137_.X ANTENNA__40140__B1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40137_.X ANTENNA__40138__B1.DIODE (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT _40138_.X _76791_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40138_.X _86270_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40139_.X _40140_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40139_.X _40141_.A2 (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _40139_.X _40142_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40139_.X _40143_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40139_.X _40144_.A2 (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40139_.X _40145_.A2 (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40139_.X _40146_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40139_.X _40147_.A2 (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40139_.X _40148_.A2 (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _40139_.X _40149_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40139_.X _40150_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40139_.X _40151_.A2 (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40139_.X _40152_.A2 (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _40139_.X _40153_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40139_.X _40154_.A2 (0.011:0.011:0.011) (0.011:0.011:0.011))
+ (INTERCONNECT _40139_.X _40155_.A2 (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40139_.X _40156_.A2 (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _40139_.X _40157_.A2 (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40139_.X ANTENNA__40157__A2.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40139_.X ANTENNA__40156__A2.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _40139_.X ANTENNA__40155__A2.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40139_.X ANTENNA__40154__A2.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+ (INTERCONNECT _40139_.X ANTENNA__40153__A2.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40139_.X ANTENNA__40152__A2.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _40139_.X ANTENNA__40151__A2.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40139_.X ANTENNA__40150__A2.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40139_.X ANTENNA__40149__A2.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _40139_.X ANTENNA__40148__A2.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40139_.X ANTENNA__40147__A2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40139_.X ANTENNA__40146__A2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40139_.X ANTENNA__40145__A2.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40139_.X ANTENNA__40144__A2.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40139_.X ANTENNA__40143__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40139_.X ANTENNA__40142__A2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40139_.X ANTENNA__40141__A2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40139_.X ANTENNA__40140__A2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40140_.X _76793_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40140_.X _86271_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40141_.X _76795_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40141_.X _86272_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40142_.X _76797_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40142_.X _86273_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40143_.X _76799_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40143_.X _86274_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40144_.X _76801_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40144_.X _86275_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40145_.X _76803_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40145_.X _86276_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40146_.X _76805_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40146_.X _86277_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40147_.X _76807_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40147_.X _86278_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40148_.X _76810_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40148_.X _86279_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40149_.X _76812_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40149_.X _86280_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40150_.X _76814_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40150_.X _86281_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40151_.X _76816_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40151_.X _86282_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40152_.X _76818_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40152_.X _86283_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40153_.X _76820_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40153_.X _86284_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40154_.X _76822_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40154_.X _86285_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40155_.X _76824_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40155_.X _86286_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40156_.X _76826_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40156_.X _86287_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40157_.X _76828_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40157_.X _86288_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40158_.X _40159_.A2 (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _40158_.X _40161_.A2 (0.035:0.035:0.035) (0.031:0.031:0.031))
+ (INTERCONNECT _40158_.X _40162_.A2 (0.035:0.035:0.035) (0.031:0.031:0.031))
+ (INTERCONNECT _40158_.X _40163_.A2 (0.036:0.036:0.036) (0.032:0.032:0.032))
+ (INTERCONNECT _40158_.X _40164_.A2 (0.036:0.036:0.036) (0.032:0.032:0.032))
+ (INTERCONNECT _40158_.X _40165_.A2 (0.029:0.029:0.029) (0.026:0.026:0.026))
+ (INTERCONNECT _40158_.X _40166_.A2 (0.026:0.026:0.026) (0.023:0.023:0.023))
+ (INTERCONNECT _40158_.X _40167_.A2 (0.035:0.035:0.035) (0.031:0.031:0.031))
+ (INTERCONNECT _40158_.X _40168_.A2 (0.034:0.034:0.034) (0.031:0.031:0.031))
+ (INTERCONNECT _40158_.X _40169_.A2 (0.035:0.035:0.035) (0.032:0.032:0.032))
+ (INTERCONNECT _40158_.X _40170_.A2 (0.035:0.035:0.035) (0.031:0.031:0.031))
+ (INTERCONNECT _40158_.X _40171_.A2 (0.033:0.033:0.033) (0.029:0.029:0.029))
+ (INTERCONNECT _40158_.X _40172_.A2 (0.033:0.033:0.033) (0.029:0.029:0.029))
+ (INTERCONNECT _40158_.X _40173_.A2 (0.029:0.029:0.029) (0.026:0.026:0.026))
+ (INTERCONNECT _40158_.X _40174_.A2 (0.030:0.030:0.030) (0.027:0.027:0.027))
+ (INTERCONNECT _40158_.X _40175_.A2 (0.029:0.029:0.029) (0.026:0.026:0.026))
+ (INTERCONNECT _40158_.X _40176_.A2 (0.028:0.028:0.028) (0.025:0.025:0.025))
+ (INTERCONNECT _40158_.X _40177_.A2 (0.031:0.031:0.031) (0.028:0.028:0.028))
+ (INTERCONNECT _40158_.X ANTENNA__40177__A2.DIODE (0.031:0.031:0.031) (0.027:0.027:0.027))
+ (INTERCONNECT _40158_.X ANTENNA__40176__A2.DIODE (0.027:0.027:0.027) (0.025:0.025:0.025))
+ (INTERCONNECT _40158_.X ANTENNA__40175__A2.DIODE (0.029:0.029:0.029) (0.026:0.026:0.026))
+ (INTERCONNECT _40158_.X ANTENNA__40174__A2.DIODE (0.030:0.030:0.030) (0.027:0.027:0.027))
+ (INTERCONNECT _40158_.X ANTENNA__40173__A2.DIODE (0.029:0.029:0.029) (0.026:0.026:0.026))
+ (INTERCONNECT _40158_.X ANTENNA__40172__A2.DIODE (0.032:0.032:0.032) (0.029:0.029:0.029))
+ (INTERCONNECT _40158_.X ANTENNA__40171__A2.DIODE (0.033:0.033:0.033) (0.029:0.029:0.029))
+ (INTERCONNECT _40158_.X ANTENNA__40170__A2.DIODE (0.035:0.035:0.035) (0.031:0.031:0.031))
+ (INTERCONNECT _40158_.X ANTENNA__40169__A2.DIODE (0.035:0.035:0.035) (0.031:0.031:0.031))
+ (INTERCONNECT _40158_.X ANTENNA__40168__A2.DIODE (0.035:0.035:0.035) (0.031:0.031:0.031))
+ (INTERCONNECT _40158_.X ANTENNA__40167__A2.DIODE (0.035:0.035:0.035) (0.031:0.031:0.031))
+ (INTERCONNECT _40158_.X ANTENNA__40166__A2.DIODE (0.025:0.025:0.025) (0.022:0.022:0.022))
+ (INTERCONNECT _40158_.X ANTENNA__40165__A2.DIODE (0.029:0.029:0.029) (0.026:0.026:0.026))
+ (INTERCONNECT _40158_.X ANTENNA__40164__A2.DIODE (0.036:0.036:0.036) (0.032:0.032:0.032))
+ (INTERCONNECT _40158_.X ANTENNA__40163__A2.DIODE (0.036:0.036:0.036) (0.032:0.032:0.032))
+ (INTERCONNECT _40158_.X ANTENNA__40162__A2.DIODE (0.035:0.035:0.035) (0.031:0.031:0.031))
+ (INTERCONNECT _40158_.X ANTENNA__40161__A2.DIODE (0.035:0.035:0.035) (0.031:0.031:0.031))
+ (INTERCONNECT _40158_.X ANTENNA__40159__A2.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40159_.X _76830_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40159_.X _86289_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40160_.X _40161_.B1 (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40160_.X _40162_.B1 (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40160_.X _40163_.B1 (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40160_.X _40164_.B1 (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40160_.X _40165_.B1 (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40160_.X _40166_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40160_.X _40167_.B1 (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40160_.X _40168_.B1 (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40160_.X _40169_.B1 (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40160_.X _40170_.B1 (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40160_.X _40171_.B1 (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40160_.X _40172_.B1 (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40160_.X _40173_.B1 (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40160_.X _40174_.B1 (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40160_.X _40175_.B1 (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _40160_.X _40176_.B1 (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40160_.X _40177_.B1 (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _40160_.X _40179_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40160_.X _40180_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40160_.X _40181_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40160_.X ANTENNA__40181__B1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40160_.X ANTENNA__40180__B1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40160_.X ANTENNA__40179__B1.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _40160_.X ANTENNA__40177__B1.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _40160_.X ANTENNA__40176__B1.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40160_.X ANTENNA__40175__B1.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _40160_.X ANTENNA__40174__B1.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40160_.X ANTENNA__40173__B1.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40160_.X ANTENNA__40172__B1.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _40160_.X ANTENNA__40171__B1.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40160_.X ANTENNA__40170__B1.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+ (INTERCONNECT _40160_.X ANTENNA__40169__B1.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+ (INTERCONNECT _40160_.X ANTENNA__40168__B1.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40160_.X ANTENNA__40167__B1.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40160_.X ANTENNA__40166__B1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40160_.X ANTENNA__40165__B1.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40160_.X ANTENNA__40164__B1.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40160_.X ANTENNA__40163__B1.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40160_.X ANTENNA__40162__B1.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40160_.X ANTENNA__40161__B1.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40161_.X _76832_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40161_.X _86290_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40162_.X _76834_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40162_.X _86291_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40163_.X _76836_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40163_.X _86292_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40164_.X _76838_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40164_.X _86293_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40165_.X _76840_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40165_.X _86294_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40166_.X _76842_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40166_.X _86295_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40167_.X _76844_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40167_.X _86296_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40168_.X _76846_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40168_.X _86297_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40169_.X _76848_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40169_.X _86298_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40170_.X _76851_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40170_.X _86299_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40171_.X _76853_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40171_.X _86300_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40172_.X _76855_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40172_.X _86301_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40173_.X _76857_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40173_.X _86302_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40174_.X _76859_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40174_.X _86303_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40175_.X _76861_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40175_.X _86304_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40176_.X _76863_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40176_.X _86305_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40177_.X _76865_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40177_.X _86306_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40178_.X _40179_.A2 (0.030:0.030:0.030) (0.027:0.027:0.027))
+ (INTERCONNECT _40178_.X _40180_.A2 (0.030:0.030:0.030) (0.027:0.027:0.027))
+ (INTERCONNECT _40178_.X _40181_.A2 (0.030:0.030:0.030) (0.027:0.027:0.027))
+ (INTERCONNECT _40178_.X _40182_.A2 (0.030:0.030:0.030) (0.027:0.027:0.027))
+ (INTERCONNECT _40178_.X _40183_.A2 (0.030:0.030:0.030) (0.027:0.027:0.027))
+ (INTERCONNECT _40178_.X _40184_.A2 (0.029:0.029:0.029) (0.026:0.026:0.026))
+ (INTERCONNECT _40178_.X _40185_.A2 (0.029:0.029:0.029) (0.026:0.026:0.026))
+ (INTERCONNECT _40178_.X _40186_.A2 (0.029:0.029:0.029) (0.026:0.026:0.026))
+ (INTERCONNECT _40178_.X _40187_.A2 (0.028:0.028:0.028) (0.025:0.025:0.025))
+ (INTERCONNECT _40178_.X _40188_.A2 (0.024:0.024:0.024) (0.021:0.021:0.021))
+ (INTERCONNECT _40178_.X _40189_.A2 (0.025:0.025:0.025) (0.023:0.023:0.023))
+ (INTERCONNECT _40178_.X _40190_.A2 (0.027:0.027:0.027) (0.024:0.024:0.024))
+ (INTERCONNECT _40178_.X _40191_.A2 (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _40178_.X _40192_.A2 (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _40178_.X _40193_.A2 (0.018:0.018:0.018) (0.017:0.017:0.017))
+ (INTERCONNECT _40178_.X _40194_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40178_.X _40590_.B1 (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _40178_.X _42077_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40178_.X ANTENNA__42077__A2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40178_.X ANTENNA__40590__B1.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _40178_.X ANTENNA__40194__A2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40178_.X ANTENNA__40193__A2.DIODE (0.018:0.018:0.018) (0.016:0.016:0.016))
+ (INTERCONNECT _40178_.X ANTENNA__40192__A2.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40178_.X ANTENNA__40191__A2.DIODE (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _40178_.X ANTENNA__40190__A2.DIODE (0.026:0.026:0.026) (0.024:0.024:0.024))
+ (INTERCONNECT _40178_.X ANTENNA__40189__A2.DIODE (0.025:0.025:0.025) (0.023:0.023:0.023))
+ (INTERCONNECT _40178_.X ANTENNA__40188__A2.DIODE (0.023:0.023:0.023) (0.021:0.021:0.021))
+ (INTERCONNECT _40178_.X ANTENNA__40187__A2.DIODE (0.027:0.027:0.027) (0.024:0.024:0.024))
+ (INTERCONNECT _40178_.X ANTENNA__40186__A2.DIODE (0.028:0.028:0.028) (0.026:0.026:0.026))
+ (INTERCONNECT _40178_.X ANTENNA__40185__A2.DIODE (0.029:0.029:0.029) (0.026:0.026:0.026))
+ (INTERCONNECT _40178_.X ANTENNA__40184__A2.DIODE (0.029:0.029:0.029) (0.026:0.026:0.026))
+ (INTERCONNECT _40178_.X ANTENNA__40183__A2.DIODE (0.030:0.030:0.030) (0.027:0.027:0.027))
+ (INTERCONNECT _40178_.X ANTENNA__40182__A2.DIODE (0.030:0.030:0.030) (0.027:0.027:0.027))
+ (INTERCONNECT _40178_.X ANTENNA__40181__A2.DIODE (0.030:0.030:0.030) (0.027:0.027:0.027))
+ (INTERCONNECT _40178_.X ANTENNA__40180__A2.DIODE (0.030:0.030:0.030) (0.027:0.027:0.027))
+ (INTERCONNECT _40178_.X ANTENNA__40179__A2.DIODE (0.030:0.030:0.030) (0.027:0.027:0.027))
+ (INTERCONNECT _40179_.X _76867_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40179_.X _86307_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40180_.X _76869_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40180_.X _86308_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40181_.X _76871_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40181_.X _86309_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40182_.X _76873_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40182_.X _86310_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40183_.X _76875_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40183_.X _86311_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40184_.X _76877_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40184_.X _86312_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40185_.X _76879_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40185_.X _86313_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40186_.X _76881_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40186_.X _86314_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40187_.X _76883_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40187_.X _86315_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40188_.X _76885_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40188_.X _86316_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40189_.X _76887_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40189_.X _86317_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40190_.X _76889_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40190_.X _86318_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40191_.X _76667_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40191_.X _86319_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40192_.X _76669_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40192_.X _86320_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40193_.X _76671_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40193_.X _86321_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40193_.X ANTENNA__86321__D.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40193_.X ANTENNA__76671__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40194_.X _76732_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40194_.X _86330_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40195_.X _40196_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40196_.X _40197_.A (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _40196_.X _40515_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40196_.X _40520_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40196_.X _40528_.A2 (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _40196_.X _40537_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40196_.X _40545_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40196_.X _42299_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40196_.X _60400_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40196_.X ANTENNA__60400__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40196_.X ANTENNA__42299__A.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _40196_.X ANTENNA__40545__A2.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40196_.X ANTENNA__40537__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40196_.X ANTENNA__40528__A2.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _40196_.X ANTENNA__40520__A2.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _40196_.X ANTENNA__40515__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40196_.X ANTENNA__40197__A.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _40197_.X _40198_.A (0.018:0.018:0.018) (0.017:0.017:0.017))
+ (INTERCONNECT _40197_.X _40524_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40197_.X _40533_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40197_.X _40541_.A2 (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40197_.X _40582_.C (0.018:0.018:0.018) (0.017:0.017:0.017))
+ (INTERCONNECT _40197_.X _40586_.C (0.018:0.018:0.018) (0.017:0.017:0.017))
+ (INTERCONNECT _40197_.X _40598_.C (0.017:0.017:0.017) (0.016:0.016:0.016))
+ (INTERCONNECT _40197_.X _40602_.C (0.017:0.017:0.017) (0.016:0.016:0.016))
+ (INTERCONNECT _40197_.X _40606_.C (0.017:0.017:0.017) (0.016:0.016:0.016))
+ (INTERCONNECT _40197_.X _40610_.C (0.018:0.018:0.018) (0.017:0.017:0.017))
+ (INTERCONNECT _40197_.X _40614_.C (0.017:0.017:0.017) (0.015:0.015:0.015))
+ (INTERCONNECT _40197_.X _40618_.C (0.016:0.016:0.016) (0.015:0.015:0.015))
+ (INTERCONNECT _40197_.X _40622_.C (0.014:0.014:0.014) (0.014:0.014:0.014))
+ (INTERCONNECT _40197_.X _40626_.C (0.017:0.017:0.017) (0.016:0.016:0.016))
+ (INTERCONNECT _40197_.X _40630_.C (0.016:0.016:0.016) (0.015:0.015:0.015))
+ (INTERCONNECT _40197_.X _60370_.A (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40197_.X _60384_.A (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _40197_.X _60390_.A (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _40197_.X _60396_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40197_.X _60408_.A (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40197_.X ANTENNA__60408__A.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40197_.X ANTENNA__60396__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40197_.X ANTENNA__60390__A.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _40197_.X ANTENNA__60384__A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _40197_.X ANTENNA__60370__A.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40197_.X ANTENNA__40630__C.DIODE (0.016:0.016:0.016) (0.015:0.015:0.015))
+ (INTERCONNECT _40197_.X ANTENNA__40626__C.DIODE (0.017:0.017:0.017) (0.016:0.016:0.016))
+ (INTERCONNECT _40197_.X ANTENNA__40622__C.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014))
+ (INTERCONNECT _40197_.X ANTENNA__40618__C.DIODE (0.016:0.016:0.016) (0.015:0.015:0.015))
+ (INTERCONNECT _40197_.X ANTENNA__40614__C.DIODE (0.016:0.016:0.016) (0.015:0.015:0.015))
+ (INTERCONNECT _40197_.X ANTENNA__40610__C.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017))
+ (INTERCONNECT _40197_.X ANTENNA__40606__C.DIODE (0.017:0.017:0.017) (0.016:0.016:0.016))
+ (INTERCONNECT _40197_.X ANTENNA__40602__C.DIODE (0.017:0.017:0.017) (0.016:0.016:0.016))
+ (INTERCONNECT _40197_.X ANTENNA__40598__C.DIODE (0.017:0.017:0.017) (0.016:0.016:0.016))
+ (INTERCONNECT _40197_.X ANTENNA__40586__C.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017))
+ (INTERCONNECT _40197_.X ANTENNA__40582__C.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017))
+ (INTERCONNECT _40197_.X ANTENNA__40541__A2.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40197_.X ANTENNA__40533__A2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40197_.X ANTENNA__40524__A2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40197_.X ANTENNA__40198__A.DIODE (0.017:0.017:0.017) (0.016:0.016:0.016))
+ (INTERCONNECT _40198_.X _40199_.B (0.021:0.021:0.021) (0.019:0.019:0.019))
+ (INTERCONNECT _40198_.X _40200_.A2 (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _40198_.X _40212_.A (0.016:0.016:0.016) (0.015:0.015:0.015))
+ (INTERCONNECT _40198_.X _40286_.A (0.022:0.022:0.022) (0.020:0.020:0.020))
+ (INTERCONNECT _40198_.X _40359_.A (0.023:0.023:0.023) (0.020:0.020:0.020))
+ (INTERCONNECT _40198_.X _40432_.A (0.023:0.023:0.023) (0.020:0.020:0.020))
+ (INTERCONNECT _40198_.X _40501_.C (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _40198_.X _40505_.C (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40198_.X _40510_.C (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40198_.X _40550_.C (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40198_.X _40554_.C (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _40198_.X _40558_.C (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40198_.X _40562_.C (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40198_.X _40566_.C (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40198_.X _40570_.C (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40198_.X _40574_.C (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40198_.X _40578_.C (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _40198_.X _40590_.A2 (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40198_.X _40594_.A2 (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40198_.X _40636_.A3 (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40198_.X ANTENNA__40636__A3.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40198_.X ANTENNA__40594__A2.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40198_.X ANTENNA__40590__A2.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40198_.X ANTENNA__40578__C.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _40198_.X ANTENNA__40574__C.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _40198_.X ANTENNA__40570__C.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _40198_.X ANTENNA__40566__C.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40198_.X ANTENNA__40562__C.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40198_.X ANTENNA__40558__C.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40198_.X ANTENNA__40554__C.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _40198_.X ANTENNA__40550__C.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40198_.X ANTENNA__40510__C.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40198_.X ANTENNA__40505__C.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40198_.X ANTENNA__40501__C.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _40198_.X ANTENNA__40432__A.DIODE (0.023:0.023:0.023) (0.020:0.020:0.020))
+ (INTERCONNECT _40198_.X ANTENNA__40359__A.DIODE (0.023:0.023:0.023) (0.020:0.020:0.020))
+ (INTERCONNECT _40198_.X ANTENNA__40286__A.DIODE (0.022:0.022:0.022) (0.020:0.020:0.020))
+ (INTERCONNECT _40198_.X ANTENNA__40212__A.DIODE (0.016:0.016:0.016) (0.015:0.015:0.015))
+ (INTERCONNECT _40198_.X ANTENNA__40200__A2.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40198_.X ANTENNA__40199__B.DIODE (0.019:0.019:0.019) (0.017:0.017:0.017))
+ (INTERCONNECT _40199_.Y _40200_.B1 (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _40199_.Y _40213_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40199_.Y _40303_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40199_.Y _40389_.A (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _40199_.Y _40475_.A3 (0.010:0.010:0.010) (0.009:0.009:0.010))
+ (INTERCONNECT _40199_.Y _40479_.A3 (0.010:0.010:0.010) (0.009:0.010:0.010))
+ (INTERCONNECT _40199_.Y _40483_.A3 (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _40199_.Y _40487_.A3 (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _40199_.Y _40491_.A3 (0.010:0.010:0.010) (0.009:0.009:0.010))
+ (INTERCONNECT _40199_.Y _40495_.A3 (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _40199_.Y _40499_.A3 (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _40199_.Y _40635_.A3 (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _40199_.Y ANTENNA__40635__A3.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _40199_.Y ANTENNA__40499__A3.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _40199_.Y ANTENNA__40495__A3.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _40199_.Y ANTENNA__40491__A3.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _40199_.Y ANTENNA__40487__A3.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _40199_.Y ANTENNA__40483__A3.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _40199_.Y ANTENNA__40479__A3.DIODE (0.010:0.010:0.010) (0.009:0.009:0.010))
+ (INTERCONNECT _40199_.Y ANTENNA__40475__A3.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _40199_.Y ANTENNA__40389__A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _40199_.Y ANTENNA__40303__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40199_.Y ANTENNA__40213__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40199_.Y ANTENNA__40200__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40200_.X _40208_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40201_.X _40204_.A2 (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _40201_.X _40634_.A (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _40201_.X _41939_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40201_.X _42067_.A1 (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40201_.X _43996_.A1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40201_.X _66392_.A0 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40201_.X ANTENNA__66392__A0.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40201_.X ANTENNA__43996__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40201_.X ANTENNA__42067__A1.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _40201_.X ANTENNA__41939__A1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40201_.X ANTENNA__40634__A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _40201_.X ANTENNA__40204__A2.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40202_.X _40204_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40203_.X _40204_.C1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40204_.Y _40205_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40204_.Y ANTENNA__40205__A2.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40205_.X _40206_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40205_.X _40214_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40205_.X _41456_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40206_.Y _40207_.A (0.013:0.013:0.013) (0.013:0.013:0.013))
+ (INTERCONNECT _40206_.Y _40210_.A (0.017:0.017:0.017) (0.016:0.016:0.016))
+ (INTERCONNECT _40206_.Y _40259_.A (0.017:0.017:0.017) (0.016:0.016:0.016))
+ (INTERCONNECT _40206_.Y _40346_.A (0.017:0.017:0.017) (0.016:0.017:0.017))
+ (INTERCONNECT _40206_.Y _40507_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40206_.Y _40632_.S (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _40206_.Y ANTENNA__40632__S.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _40206_.Y ANTENNA__40507__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40206_.Y ANTENNA__40346__A.DIODE (0.017:0.017:0.017) (0.016:0.016:0.016))
+ (INTERCONNECT _40206_.Y ANTENNA__40259__A.DIODE (0.016:0.016:0.016) (0.015:0.015:0.015))
+ (INTERCONNECT _40206_.Y ANTENNA__40210__A.DIODE (0.017:0.017:0.017) (0.016:0.016:0.016))
+ (INTERCONNECT _40206_.Y ANTENNA__40207__A.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40207_.X _40208_.S (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40207_.X _40435_.A2 (0.035:0.035:0.035) (0.032:0.032:0.032))
+ (INTERCONNECT _40207_.X _40439_.A2 (0.035:0.035:0.035) (0.032:0.032:0.032))
+ (INTERCONNECT _40207_.X _40443_.A2 (0.035:0.035:0.035) (0.031:0.031:0.031))
+ (INTERCONNECT _40207_.X _40447_.A2 (0.035:0.035:0.035) (0.031:0.031:0.031))
+ (INTERCONNECT _40207_.X _40451_.A2 (0.035:0.035:0.035) (0.031:0.031:0.031))
+ (INTERCONNECT _40207_.X _40455_.A2 (0.035:0.035:0.035) (0.032:0.032:0.032))
+ (INTERCONNECT _40207_.X _40459_.A2 (0.035:0.035:0.035) (0.032:0.032:0.032))
+ (INTERCONNECT _40207_.X _40463_.A2 (0.035:0.035:0.035) (0.031:0.031:0.031))
+ (INTERCONNECT _40207_.X _40467_.A2 (0.034:0.034:0.034) (0.031:0.031:0.031))
+ (INTERCONNECT _40207_.X _40471_.A2 (0.035:0.035:0.035) (0.031:0.031:0.031))
+ (INTERCONNECT _40207_.X _40475_.A2 (0.034:0.034:0.034) (0.031:0.031:0.031))
+ (INTERCONNECT _40207_.X _40479_.A2 (0.035:0.035:0.035) (0.031:0.031:0.031))
+ (INTERCONNECT _40207_.X _40483_.A2 (0.031:0.031:0.031) (0.029:0.029:0.029))
+ (INTERCONNECT _40207_.X _40487_.A2 (0.030:0.030:0.030) (0.028:0.028:0.028))
+ (INTERCONNECT _40207_.X _40491_.A2 (0.029:0.029:0.029) (0.026:0.026:0.026))
+ (INTERCONNECT _40207_.X _40495_.A2 (0.026:0.026:0.026) (0.024:0.024:0.024))
+ (INTERCONNECT _40207_.X _40499_.A2 (0.025:0.025:0.025) (0.023:0.023:0.023))
+ (INTERCONNECT _40207_.X _40503_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40207_.X _40635_.A2 (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _40207_.X ANTENNA__40635__A2.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _40207_.X ANTENNA__40503__S.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40207_.X ANTENNA__40499__A2.DIODE (0.025:0.025:0.025) (0.023:0.023:0.023))
+ (INTERCONNECT _40207_.X ANTENNA__40495__A2.DIODE (0.025:0.025:0.025) (0.023:0.023:0.023))
+ (INTERCONNECT _40207_.X ANTENNA__40491__A2.DIODE (0.028:0.028:0.028) (0.025:0.026:0.026))
+ (INTERCONNECT _40207_.X ANTENNA__40487__A2.DIODE (0.030:0.030:0.030) (0.028:0.028:0.028))
+ (INTERCONNECT _40207_.X ANTENNA__40483__A2.DIODE (0.030:0.030:0.030) (0.027:0.027:0.027))
+ (INTERCONNECT _40207_.X ANTENNA__40479__A2.DIODE (0.035:0.035:0.035) (0.031:0.031:0.031))
+ (INTERCONNECT _40207_.X ANTENNA__40475__A2.DIODE (0.034:0.034:0.034) (0.031:0.031:0.031))
+ (INTERCONNECT _40207_.X ANTENNA__40471__A2.DIODE (0.035:0.035:0.035) (0.031:0.031:0.031))
+ (INTERCONNECT _40207_.X ANTENNA__40467__A2.DIODE (0.034:0.034:0.034) (0.031:0.031:0.031))
+ (INTERCONNECT _40207_.X ANTENNA__40463__A2.DIODE (0.034:0.034:0.034) (0.031:0.031:0.031))
+ (INTERCONNECT _40207_.X ANTENNA__40459__A2.DIODE (0.035:0.035:0.035) (0.032:0.032:0.032))
+ (INTERCONNECT _40207_.X ANTENNA__40455__A2.DIODE (0.035:0.035:0.035) (0.032:0.032:0.032))
+ (INTERCONNECT _40207_.X ANTENNA__40451__A2.DIODE (0.035:0.035:0.035) (0.031:0.031:0.031))
+ (INTERCONNECT _40207_.X ANTENNA__40447__A2.DIODE (0.035:0.035:0.035) (0.031:0.031:0.031))
+ (INTERCONNECT _40207_.X ANTENNA__40443__A2.DIODE (0.035:0.035:0.035) (0.031:0.031:0.031))
+ (INTERCONNECT _40207_.X ANTENNA__40439__A2.DIODE (0.035:0.035:0.035) (0.032:0.032:0.032))
+ (INTERCONNECT _40207_.X ANTENNA__40435__A2.DIODE (0.035:0.035:0.035) (0.032:0.032:0.032))
+ (INTERCONNECT _40207_.X ANTENNA__40208__S.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40208_.X _40209_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40209_.X _77026_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40209_.X _86508_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40210_.X _40211_.A (0.025:0.025:0.025) (0.023:0.023:0.023))
+ (INTERCONNECT _40210_.X _40221_.A2 (0.023:0.023:0.023) (0.021:0.021:0.021))
+ (INTERCONNECT _40210_.X _40225_.A2 (0.025:0.025:0.025) (0.023:0.023:0.023))
+ (INTERCONNECT _40210_.X _40229_.A2 (0.024:0.024:0.024) (0.022:0.022:0.022))
+ (INTERCONNECT _40210_.X _40233_.A2 (0.021:0.021:0.021) (0.020:0.020:0.020))
+ (INTERCONNECT _40210_.X _40237_.A2 (0.021:0.021:0.021) (0.019:0.019:0.019))
+ (INTERCONNECT _40210_.X _40241_.A2 (0.025:0.025:0.025) (0.023:0.023:0.023))
+ (INTERCONNECT _40210_.X _40245_.A2 (0.022:0.022:0.022) (0.020:0.020:0.020))
+ (INTERCONNECT _40210_.X _40249_.A2 (0.026:0.026:0.026) (0.023:0.023:0.023))
+ (INTERCONNECT _40210_.X _40253_.A2 (0.020:0.020:0.020) (0.019:0.019:0.019))
+ (INTERCONNECT _40210_.X _40257_.A2 (0.021:0.021:0.021) (0.019:0.019:0.019))
+ (INTERCONNECT _40210_.X _40264_.A (0.012:0.012:0.012) (0.012:0.012:0.012))
+ (INTERCONNECT _40210_.X _40341_.A (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _40210_.X _40419_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40210_.X _40496_.A2 (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _40210_.X _40500_.A2 (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _40210_.X _40514_.A (0.021:0.021:0.021) (0.020:0.020:0.020))
+ (INTERCONNECT _40210_.X _40636_.A2 (0.023:0.023:0.023) (0.021:0.021:0.021))
+ (INTERCONNECT _40210_.X ANTENNA__40636__A2.DIODE (0.023:0.023:0.023) (0.021:0.021:0.021))
+ (INTERCONNECT _40210_.X ANTENNA__40514__A.DIODE (0.021:0.021:0.021) (0.019:0.019:0.019))
+ (INTERCONNECT _40210_.X ANTENNA__40500__A2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40210_.X ANTENNA__40496__A2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40210_.X ANTENNA__40419__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40210_.X ANTENNA__40341__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40210_.X ANTENNA__40264__A.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _40210_.X ANTENNA__40257__A2.DIODE (0.021:0.021:0.021) (0.019:0.019:0.019))
+ (INTERCONNECT _40210_.X ANTENNA__40253__A2.DIODE (0.020:0.020:0.020) (0.018:0.018:0.019))
+ (INTERCONNECT _40210_.X ANTENNA__40249__A2.DIODE (0.025:0.025:0.025) (0.023:0.023:0.023))
+ (INTERCONNECT _40210_.X ANTENNA__40245__A2.DIODE (0.022:0.022:0.022) (0.020:0.020:0.020))
+ (INTERCONNECT _40210_.X ANTENNA__40241__A2.DIODE (0.025:0.025:0.025) (0.023:0.023:0.023))
+ (INTERCONNECT _40210_.X ANTENNA__40237__A2.DIODE (0.021:0.021:0.021) (0.019:0.019:0.019))
+ (INTERCONNECT _40210_.X ANTENNA__40233__A2.DIODE (0.021:0.021:0.021) (0.020:0.020:0.020))
+ (INTERCONNECT _40210_.X ANTENNA__40229__A2.DIODE (0.024:0.024:0.024) (0.022:0.022:0.022))
+ (INTERCONNECT _40210_.X ANTENNA__40225__A2.DIODE (0.025:0.025:0.025) (0.023:0.023:0.023))
+ (INTERCONNECT _40210_.X ANTENNA__40221__A2.DIODE (0.023:0.023:0.023) (0.021:0.021:0.021))
+ (INTERCONNECT _40210_.X ANTENNA__40211__A.DIODE (0.025:0.025:0.025) (0.023:0.023:0.023))
+ (INTERCONNECT _40211_.X _40222_.A2 (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _40211_.X _40226_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40211_.X _40230_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40211_.X _40234_.A2 (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _40211_.X _40238_.A2 (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40211_.X _40242_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40211_.X _40246_.A2 (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _40211_.X _40250_.A2 (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _40211_.X _40254_.A2 (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _40211_.X _40258_.A2 (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _40211_.X _40263_.A2 (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _40211_.X _40527_.A1 (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40211_.X _40532_.A1 (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40211_.X _40536_.A1 (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40211_.X _40540_.A1 (0.011:0.011:0.011) (0.011:0.011:0.011))
+ (INTERCONNECT _40211_.X _40544_.A1 (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40211_.X _40549_.A1 (0.011:0.011:0.011) (0.011:0.011:0.011))
+ (INTERCONNECT _40211_.X _40593_.A1 (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _40211_.X _40597_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40211_.X ANTENNA__40597__A1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40211_.X ANTENNA__40593__A1.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _40211_.X ANTENNA__40549__A1.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+ (INTERCONNECT _40211_.X ANTENNA__40544__A1.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+ (INTERCONNECT _40211_.X ANTENNA__40540__A1.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+ (INTERCONNECT _40211_.X ANTENNA__40536__A1.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40211_.X ANTENNA__40532__A1.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40211_.X ANTENNA__40527__A1.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40211_.X ANTENNA__40263__A2.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _40211_.X ANTENNA__40258__A2.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40211_.X ANTENNA__40254__A2.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _40211_.X ANTENNA__40250__A2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40211_.X ANTENNA__40246__A2.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _40211_.X ANTENNA__40242__A2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40211_.X ANTENNA__40238__A2.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40211_.X ANTENNA__40234__A2.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _40211_.X ANTENNA__40230__A2.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40211_.X ANTENNA__40226__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40211_.X ANTENNA__40222__A2.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _40212_.X _40222_.A3 (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _40212_.X _40226_.A3 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40212_.X _40230_.A3 (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _40212_.X _40234_.A3 (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _40212_.X _40238_.A3 (0.011:0.011:0.011) (0.011:0.011:0.011))
+ (INTERCONNECT _40212_.X _40242_.A3 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40212_.X _40246_.A3 (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40212_.X _40250_.A3 (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40212_.X _40254_.A3 (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _40212_.X _40258_.A3 (0.011:0.011:0.011) (0.011:0.011:0.011))
+ (INTERCONNECT _40212_.X _40263_.A3 (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40212_.X _40268_.A3 (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _40212_.X _40272_.A3 (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40212_.X _40277_.A3 (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40212_.X _40281_.A3 (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _40212_.X _40285_.A3 (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _40212_.X _42077_.C1 (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40212_.X ANTENNA__42077__C1.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40212_.X ANTENNA__40285__A3.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _40212_.X ANTENNA__40281__A3.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _40212_.X ANTENNA__40277__A3.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40212_.X ANTENNA__40272__A3.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40212_.X ANTENNA__40268__A3.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+ (INTERCONNECT _40212_.X ANTENNA__40263__A3.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _40212_.X ANTENNA__40258__A3.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40212_.X ANTENNA__40254__A3.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _40212_.X ANTENNA__40250__A3.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40212_.X ANTENNA__40246__A3.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40212_.X ANTENNA__40242__A3.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40212_.X ANTENNA__40238__A3.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40212_.X ANTENNA__40234__A3.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _40212_.X ANTENNA__40230__A3.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _40212_.X ANTENNA__40226__A3.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40212_.X ANTENNA__40222__A3.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _40213_.X _40221_.A3 (0.025:0.025:0.025) (0.023:0.023:0.023))
+ (INTERCONNECT _40213_.X _40225_.A3 (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40213_.X _40229_.A3 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40213_.X _40233_.A3 (0.025:0.025:0.025) (0.023:0.023:0.023))
+ (INTERCONNECT _40213_.X _40237_.A3 (0.025:0.025:0.025) (0.022:0.022:0.022))
+ (INTERCONNECT _40213_.X _40241_.A3 (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40213_.X _40245_.A3 (0.025:0.025:0.025) (0.023:0.023:0.023))
+ (INTERCONNECT _40213_.X _40249_.A3 (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _40213_.X _40253_.A3 (0.025:0.025:0.025) (0.023:0.023:0.023))
+ (INTERCONNECT _40213_.X _40257_.A3 (0.023:0.023:0.023) (0.021:0.021:0.021))
+ (INTERCONNECT _40213_.X _40262_.A3 (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _40213_.X _40267_.A3 (0.022:0.022:0.022) (0.020:0.020:0.020))
+ (INTERCONNECT _40213_.X _40271_.A3 (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40213_.X _40276_.A3 (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _40213_.X _40280_.A3 (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40213_.X _40284_.A3 (0.025:0.025:0.025) (0.023:0.023:0.023))
+ (INTERCONNECT _40213_.X _40289_.A3 (0.018:0.018:0.018) (0.017:0.017:0.017))
+ (INTERCONNECT _40213_.X _40293_.A3 (0.021:0.021:0.021) (0.019:0.019:0.019))
+ (INTERCONNECT _40213_.X _40297_.A3 (0.017:0.017:0.017) (0.016:0.016:0.016))
+ (INTERCONNECT _40213_.X _40301_.A3 (0.016:0.016:0.016) (0.015:0.015:0.015))
+ (INTERCONNECT _40213_.X ANTENNA__40301__A3.DIODE (0.016:0.016:0.016) (0.015:0.015:0.015))
+ (INTERCONNECT _40213_.X ANTENNA__40297__A3.DIODE (0.019:0.019:0.019) (0.017:0.017:0.017))
+ (INTERCONNECT _40213_.X ANTENNA__40293__A3.DIODE (0.022:0.022:0.022) (0.020:0.020:0.020))
+ (INTERCONNECT _40213_.X ANTENNA__40289__A3.DIODE (0.019:0.019:0.019) (0.018:0.018:0.018))
+ (INTERCONNECT _40213_.X ANTENNA__40284__A3.DIODE (0.025:0.025:0.025) (0.023:0.023:0.023))
+ (INTERCONNECT _40213_.X ANTENNA__40280__A3.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40213_.X ANTENNA__40276__A3.DIODE (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _40213_.X ANTENNA__40271__A3.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40213_.X ANTENNA__40267__A3.DIODE (0.023:0.023:0.023) (0.021:0.021:0.021))
+ (INTERCONNECT _40213_.X ANTENNA__40262__A3.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _40213_.X ANTENNA__40257__A3.DIODE (0.024:0.024:0.024) (0.022:0.022:0.022))
+ (INTERCONNECT _40213_.X ANTENNA__40253__A3.DIODE (0.025:0.025:0.025) (0.023:0.023:0.023))
+ (INTERCONNECT _40213_.X ANTENNA__40249__A3.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _40213_.X ANTENNA__40245__A3.DIODE (0.025:0.025:0.025) (0.023:0.023:0.023))
+ (INTERCONNECT _40213_.X ANTENNA__40241__A3.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40213_.X ANTENNA__40237__A3.DIODE (0.025:0.025:0.025) (0.022:0.022:0.022))
+ (INTERCONNECT _40213_.X ANTENNA__40233__A3.DIODE (0.025:0.025:0.025) (0.023:0.023:0.023))
+ (INTERCONNECT _40213_.X ANTENNA__40229__A3.DIODE (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT _40213_.X ANTENNA__40225__A3.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40213_.X ANTENNA__40221__A3.DIODE (0.025:0.025:0.025) (0.023:0.023:0.023))
+ (INTERCONNECT _40214_.X _40215_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40215_.X _40216_.A (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40215_.X _40518_.B (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _40215_.X _40522_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40215_.X _40531_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40215_.X _40539_.B (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40215_.X _40543_.B (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT _40215_.X _40548_.B (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40215_.X _42297_.B (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _40215_.X ANTENNA__42297__B.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _40215_.X ANTENNA__40548__B.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40215_.X ANTENNA__40543__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40215_.X ANTENNA__40539__B.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40215_.X ANTENNA__40531__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40215_.X ANTENNA__40522__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40215_.X ANTENNA__40518__B.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _40215_.X ANTENNA__40216__A.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40216_.X _40217_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40216_.X _40273_.A (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40216_.X _40360_.A (0.019:0.019:0.019) (0.018:0.018:0.018))
+ (INTERCONNECT _40216_.X _40446_.A (0.023:0.023:0.023) (0.022:0.022:0.022))
+ (INTERCONNECT _40216_.X _40450_.A (0.023:0.023:0.023) (0.022:0.022:0.022))
+ (INTERCONNECT _40216_.X _40454_.A (0.023:0.023:0.023) (0.022:0.022:0.022))
+ (INTERCONNECT _40216_.X _40458_.A (0.023:0.023:0.023) (0.022:0.022:0.022))
+ (INTERCONNECT _40216_.X _40462_.A (0.023:0.023:0.023) (0.022:0.022:0.022))
+ (INTERCONNECT _40216_.X _40466_.A (0.023:0.023:0.023) (0.022:0.022:0.022))
+ (INTERCONNECT _40216_.X _40470_.A (0.023:0.023:0.023) (0.022:0.022:0.022))
+ (INTERCONNECT _40216_.X _40474_.A (0.023:0.023:0.023) (0.022:0.022:0.022))
+ (INTERCONNECT _40216_.X _40478_.A (0.022:0.022:0.022) (0.021:0.021:0.021))
+ (INTERCONNECT _40216_.X _40482_.A (0.021:0.021:0.021) (0.020:0.020:0.020))
+ (INTERCONNECT _40216_.X _40486_.A (0.021:0.021:0.021) (0.020:0.020:0.020))
+ (INTERCONNECT _40216_.X _40490_.A (0.021:0.021:0.021) (0.020:0.020:0.020))
+ (INTERCONNECT _40216_.X _40494_.A (0.019:0.019:0.019) (0.018:0.018:0.018))
+ (INTERCONNECT _40216_.X _40498_.A (0.018:0.018:0.018) (0.017:0.017:0.017))
+ (INTERCONNECT _40216_.X _40526_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40216_.X _40535_.B (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT _40216_.X _40634_.B (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40216_.X ANTENNA__40634__B.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40216_.X ANTENNA__40535__B.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40216_.X ANTENNA__40526__B.DIODE (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT _40216_.X ANTENNA__40498__A.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017))
+ (INTERCONNECT _40216_.X ANTENNA__40494__A.DIODE (0.019:0.019:0.019) (0.018:0.018:0.018))
+ (INTERCONNECT _40216_.X ANTENNA__40490__A.DIODE (0.020:0.020:0.020) (0.019:0.019:0.019))
+ (INTERCONNECT _40216_.X ANTENNA__40486__A.DIODE (0.021:0.021:0.021) (0.020:0.020:0.020))
+ (INTERCONNECT _40216_.X ANTENNA__40482__A.DIODE (0.021:0.021:0.021) (0.020:0.020:0.020))
+ (INTERCONNECT _40216_.X ANTENNA__40478__A.DIODE (0.022:0.022:0.022) (0.021:0.021:0.021))
+ (INTERCONNECT _40216_.X ANTENNA__40474__A.DIODE (0.023:0.023:0.023) (0.021:0.021:0.021))
+ (INTERCONNECT _40216_.X ANTENNA__40470__A.DIODE (0.023:0.023:0.023) (0.022:0.022:0.022))
+ (INTERCONNECT _40216_.X ANTENNA__40466__A.DIODE (0.023:0.023:0.023) (0.022:0.022:0.022))
+ (INTERCONNECT _40216_.X ANTENNA__40462__A.DIODE (0.023:0.023:0.023) (0.022:0.022:0.022))
+ (INTERCONNECT _40216_.X ANTENNA__40458__A.DIODE (0.023:0.023:0.023) (0.022:0.022:0.022))
+ (INTERCONNECT _40216_.X ANTENNA__40454__A.DIODE (0.023:0.023:0.023) (0.022:0.022:0.022))
+ (INTERCONNECT _40216_.X ANTENNA__40450__A.DIODE (0.023:0.023:0.023) (0.022:0.022:0.022))
+ (INTERCONNECT _40216_.X ANTENNA__40446__A.DIODE (0.023:0.023:0.023) (0.022:0.022:0.022))
+ (INTERCONNECT _40216_.X ANTENNA__40360__A.DIODE (0.019:0.019:0.019) (0.018:0.018:0.018))
+ (INTERCONNECT _40216_.X ANTENNA__40273__A.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+ (INTERCONNECT _40216_.X ANTENNA__40217__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40217_.X _40220_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40217_.X _40224_.A (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _40217_.X _40228_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40217_.X _40232_.A (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40217_.X _40236_.A (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _40217_.X _40240_.A (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _40217_.X _40244_.A (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _40217_.X _40248_.A (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _40217_.X _40252_.A (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _40217_.X _40256_.A (0.011:0.011:0.011) (0.011:0.011:0.011))
+ (INTERCONNECT _40217_.X _40261_.A (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _40217_.X _40266_.A (0.011:0.011:0.011) (0.011:0.011:0.011))
+ (INTERCONNECT _40217_.X _40270_.A (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _40217_.X _40592_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40217_.X _40596_.B (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _40217_.X _42077_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40217_.X _77111_.A (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _40217_.X _77442_.S (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _40217_.X _77444_.S (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40217_.X _77446_.S (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40217_.X ANTENNA__77446__S.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40217_.X ANTENNA__77444__S.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40217_.X ANTENNA__77442__S.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _40217_.X ANTENNA__77111__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40217_.X ANTENNA__42077__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40217_.X ANTENNA__40596__B.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40217_.X ANTENNA__40592__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40217_.X ANTENNA__40270__A.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _40217_.X ANTENNA__40266__A.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+ (INTERCONNECT _40217_.X ANTENNA__40261__A.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _40217_.X ANTENNA__40256__A.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+ (INTERCONNECT _40217_.X ANTENNA__40252__A.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _40217_.X ANTENNA__40248__A.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _40217_.X ANTENNA__40244__A.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _40217_.X ANTENNA__40240__A.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _40217_.X ANTENNA__40236__A.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _40217_.X ANTENNA__40232__A.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40217_.X ANTENNA__40228__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40217_.X ANTENNA__40224__A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _40217_.X ANTENNA__40220__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40218_.X _40219_.S (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40218_.X _40223_.S (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40218_.X _40227_.S (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40218_.X _40231_.S (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _40218_.X _40235_.S (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _40218_.X _40239_.S (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40218_.X _40243_.S (0.011:0.011:0.011) (0.011:0.011:0.011))
+ (INTERCONNECT _40218_.X _40247_.S (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40218_.X _40251_.S (0.011:0.011:0.011) (0.011:0.011:0.011))
+ (INTERCONNECT _40218_.X _40255_.S (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40218_.X _40260_.S (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40218_.X _40265_.S (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _40218_.X _40269_.S (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40218_.X _40274_.S (0.011:0.011:0.011) (0.011:0.011:0.011))
+ (INTERCONNECT _40218_.X _40278_.S (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _40218_.X _40282_.S (0.012:0.012:0.012) (0.012:0.012:0.012))
+ (INTERCONNECT _40218_.X _40287_.S (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40218_.X _40291_.S (0.011:0.011:0.011) (0.011:0.011:0.011))
+ (INTERCONNECT _40218_.X _40295_.S (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40218_.X _40299_.S (0.012:0.012:0.012) (0.012:0.012:0.012))
+ (INTERCONNECT _40218_.X ANTENNA__40299__S.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+ (INTERCONNECT _40218_.X ANTENNA__40295__S.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40218_.X ANTENNA__40291__S.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40218_.X ANTENNA__40287__S.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40218_.X ANTENNA__40282__S.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+ (INTERCONNECT _40218_.X ANTENNA__40278__S.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _40218_.X ANTENNA__40274__S.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40218_.X ANTENNA__40269__S.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40218_.X ANTENNA__40265__S.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _40218_.X ANTENNA__40260__S.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40218_.X ANTENNA__40255__S.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40218_.X ANTENNA__40251__S.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40218_.X ANTENNA__40247__S.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40218_.X ANTENNA__40243__S.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+ (INTERCONNECT _40218_.X ANTENNA__40239__S.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40218_.X ANTENNA__40235__S.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _40218_.X ANTENNA__40231__S.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _40218_.X ANTENNA__40227__S.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40218_.X ANTENNA__40223__S.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40218_.X ANTENNA__40219__S.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _40219_.X _40220_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40219_.X ANTENNA__40220__B.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40220_.X _40221_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40220_.X _77448_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40221_.X _40222_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40222_.X _77095_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40222_.X _86510_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40223_.X _40224_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40224_.X _40225_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40224_.X _77449_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40225_.X _40226_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40226_.X _77097_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40226_.X _86511_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40227_.X _40228_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40227_.X ANTENNA__40228__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40228_.X _40229_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40228_.X _77450_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40229_.X _40230_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40230_.X _77099_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40230_.X _86512_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40231_.X _40232_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40232_.X _40233_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40232_.X _77451_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40233_.X _40234_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40234_.X _76894_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40234_.X _86513_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40235_.X _40236_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40236_.X _40237_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40236_.X _77452_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40237_.X _40238_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40238_.X _76896_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40238_.X _86514_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40239_.X _40240_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40240_.X _40241_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40240_.X _77453_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40241_.X _40242_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40242_.X _76898_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40242_.X _86515_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40242_.X ANTENNA__86515__D.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40242_.X ANTENNA__76898__B.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40243_.X _40244_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40244_.X _40245_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40244_.X _77454_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40245_.X _40246_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40246_.X _76900_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40246_.X _86516_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40247_.X _40248_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40248_.X _40249_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40248_.X _77456_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40249_.X _40250_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40250_.X _76902_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40250_.X _86517_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40250_.X ANTENNA__86517__D.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40250_.X ANTENNA__76902__B.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40251_.X _40252_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40252_.X _40253_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40252_.X _77457_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40253_.X _40254_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40254_.X _76904_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40254_.X _86518_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40254_.X ANTENNA__86518__D.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40254_.X ANTENNA__76904__B.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40255_.X _40256_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40255_.X ANTENNA__40256__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40256_.X _40257_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40256_.X _77458_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40257_.X _40258_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40258_.X _76906_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40258_.X _86519_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40259_.X _40262_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40259_.X _40267_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40259_.X _40271_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40259_.X _40276_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40259_.X _40280_.A2 (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT _40259_.X _40284_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40259_.X _40289_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40259_.X _40293_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40259_.X _40297_.A2 (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT _40259_.X _40301_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40259_.X _40307_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40259_.X _40311_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40259_.X _40315_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40259_.X _40319_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40259_.X _40323_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40259_.X _40327_.A2 (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _40259_.X _40331_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40259_.X _40335_.A2 (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _40259_.X _40339_.A2 (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _40259_.X _40344_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40259_.X ANTENNA__40344__A2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40259_.X ANTENNA__40339__A2.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _40259_.X ANTENNA__40335__A2.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _40259_.X ANTENNA__40331__A2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40259_.X ANTENNA__40327__A2.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _40259_.X ANTENNA__40323__A2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40259_.X ANTENNA__40319__A2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40259_.X ANTENNA__40315__A2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40259_.X ANTENNA__40311__A2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40259_.X ANTENNA__40307__A2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40259_.X ANTENNA__40301__A2.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40259_.X ANTENNA__40297__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40259_.X ANTENNA__40293__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40259_.X ANTENNA__40289__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40259_.X ANTENNA__40284__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40259_.X ANTENNA__40280__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40259_.X ANTENNA__40276__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40259_.X ANTENNA__40271__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40259_.X ANTENNA__40267__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40259_.X ANTENNA__40262__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40260_.X _40261_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40261_.X _40262_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40261_.X _77459_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40262_.X _40263_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40263_.X _76908_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40263_.X _86520_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40263_.X ANTENNA__86520__D.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40263_.X ANTENNA__76908__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40264_.X _40268_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40264_.X _40272_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40264_.X _40277_.A2 (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _40264_.X _40281_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40264_.X _40285_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40264_.X _40290_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40264_.X _40294_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40264_.X _40298_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40264_.X _40302_.A2 (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT _40264_.X _40308_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40264_.X _40312_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40264_.X _40316_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40264_.X _40320_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40264_.X _40324_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40264_.X _40328_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40264_.X _40332_.A2 (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _40264_.X _40336_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40264_.X _40340_.A2 (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _40264_.X ANTENNA__40340__A2.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _40264_.X ANTENNA__40336__A2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40264_.X ANTENNA__40332__A2.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _40264_.X ANTENNA__40328__A2.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _40264_.X ANTENNA__40324__A2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40264_.X ANTENNA__40320__A2.DIODE (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT _40264_.X ANTENNA__40316__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40264_.X ANTENNA__40312__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40264_.X ANTENNA__40308__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40264_.X ANTENNA__40302__A2.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _40264_.X ANTENNA__40298__A2.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40264_.X ANTENNA__40294__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40264_.X ANTENNA__40290__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40264_.X ANTENNA__40285__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40264_.X ANTENNA__40281__A2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40264_.X ANTENNA__40277__A2.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _40264_.X ANTENNA__40272__A2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40264_.X ANTENNA__40268__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40265_.X _40266_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40265_.X ANTENNA__40266__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40266_.X _40267_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40266_.X _77460_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40267_.X _40268_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40268_.X _76910_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40268_.X _86521_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40269_.X _40270_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40270_.X _40271_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40270_.X _77461_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40271_.X _40272_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40272_.X _76912_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40272_.X _86522_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40273_.X _40275_.A (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT _40273_.X _40279_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40273_.X _40283_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40273_.X _40288_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40273_.X _40292_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40273_.X _40296_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40273_.X _40300_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40273_.X _40306_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40273_.X _40310_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40273_.X _40314_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40273_.X _40318_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40273_.X _40322_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40273_.X _40326_.A (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _40273_.X _40330_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40273_.X _40334_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40273_.X _40338_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40273_.X _40343_.A (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _40273_.X _40348_.A (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _40273_.X _40352_.A (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _40273_.X _40356_.A (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _40273_.X ANTENNA__40356__A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _40273_.X ANTENNA__40352__A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _40273_.X ANTENNA__40348__A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _40273_.X ANTENNA__40343__A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _40273_.X ANTENNA__40338__A.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _40273_.X ANTENNA__40334__A.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _40273_.X ANTENNA__40330__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40273_.X ANTENNA__40326__A.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _40273_.X ANTENNA__40322__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40273_.X ANTENNA__40318__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40273_.X ANTENNA__40314__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40273_.X ANTENNA__40310__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40273_.X ANTENNA__40306__A.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40273_.X ANTENNA__40300__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40273_.X ANTENNA__40296__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40273_.X ANTENNA__40292__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40273_.X ANTENNA__40288__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40273_.X ANTENNA__40283__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40273_.X ANTENNA__40279__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40273_.X ANTENNA__40275__A.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40274_.X _40275_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40275_.X _40276_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40275_.X _77462_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40276_.X _40277_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40277_.X _76914_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40277_.X _86523_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40278_.X _40279_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40279_.X _40280_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40279_.X _77463_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40280_.X _40281_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40281_.X _76916_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40281_.X _86524_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40281_.X ANTENNA__86524__D.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40281_.X ANTENNA__76916__B.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40282_.X _40283_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40283_.X _40284_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _40283_.X _77464_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40284_.X _40285_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40285_.X _76918_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40285_.X _86525_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40286_.X _40290_.A3 (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40286_.X _40294_.A3 (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40286_.X _40298_.A3 (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40286_.X _40302_.A3 (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40286_.X _40308_.A3 (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _40286_.X _40312_.A3 (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40286_.X _40316_.A3 (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _40286_.X _40320_.A3 (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40286_.X _40324_.A3 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40286_.X _40328_.A3 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40286_.X _40332_.A3 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40286_.X _40336_.A3 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40286_.X _40340_.A3 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40286_.X _40345_.A3 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40286_.X _40350_.A3 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40286_.X _40354_.A3 (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT _40286_.X _40358_.A3 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40286_.X ANTENNA__40358__A3.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40286_.X ANTENNA__40354__A3.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40286_.X ANTENNA__40350__A3.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40286_.X ANTENNA__40345__A3.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40286_.X ANTENNA__40340__A3.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40286_.X ANTENNA__40336__A3.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40286_.X ANTENNA__40332__A3.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40286_.X ANTENNA__40328__A3.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40286_.X ANTENNA__40324__A3.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40286_.X ANTENNA__40320__A3.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40286_.X ANTENNA__40316__A3.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _40286_.X ANTENNA__40312__A3.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40286_.X ANTENNA__40308__A3.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _40286_.X ANTENNA__40302__A3.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40286_.X ANTENNA__40298__A3.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40286_.X ANTENNA__40294__A3.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40286_.X ANTENNA__40290__A3.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40287_.X _40288_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40288_.X _40289_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40288_.X _77465_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40289_.X _40290_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40290_.X _76920_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40290_.X _86526_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40291_.X _40292_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40292_.X _40293_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40292_.X _77466_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40293_.X _40294_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40294_.X _76922_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40294_.X _86527_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40295_.X _40296_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40296_.X _40297_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40296_.X _77467_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40297_.X _40298_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40298_.X _76924_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40298_.X _86528_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40299_.X _40300_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40300_.X _40301_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40300_.X _77468_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40301_.X _40302_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40302_.X _76926_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40302_.X _86529_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40303_.X _40307_.A3 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40303_.X _40311_.A3 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40303_.X _40315_.A3 (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40303_.X _40319_.A3 (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40303_.X _40323_.A3 (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40303_.X _40327_.A3 (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _40303_.X _40331_.A3 (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40303_.X _40335_.A3 (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40303_.X _40339_.A3 (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40303_.X _40344_.A3 (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40303_.X _40349_.A3 (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _40303_.X _40353_.A3 (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _40303_.X _40357_.A3 (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _40303_.X _40363_.A3 (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _40303_.X _40367_.A3 (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _40303_.X _40371_.A3 (0.011:0.011:0.011) (0.011:0.011:0.011))
+ (INTERCONNECT _40303_.X _40375_.A3 (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40303_.X _40379_.A3 (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40303_.X _40383_.A3 (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40303_.X _40387_.A3 (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40303_.X ANTENNA__40387__A3.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40303_.X ANTENNA__40383__A3.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40303_.X ANTENNA__40379__A3.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40303_.X ANTENNA__40375__A3.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40303_.X ANTENNA__40371__A3.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40303_.X ANTENNA__40367__A3.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _40303_.X ANTENNA__40363__A3.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _40303_.X ANTENNA__40357__A3.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _40303_.X ANTENNA__40353__A3.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _40303_.X ANTENNA__40349__A3.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _40303_.X ANTENNA__40344__A3.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40303_.X ANTENNA__40339__A3.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40303_.X ANTENNA__40335__A3.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40303_.X ANTENNA__40331__A3.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40303_.X ANTENNA__40327__A3.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40303_.X ANTENNA__40323__A3.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40303_.X ANTENNA__40319__A3.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _40303_.X ANTENNA__40315__A3.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40303_.X ANTENNA__40311__A3.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40303_.X ANTENNA__40307__A3.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40304_.X _40305_.S (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40304_.X _40309_.S (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40304_.X _40313_.S (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40304_.X _40317_.S (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40304_.X _40321_.S (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40304_.X _40325_.S (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40304_.X _40329_.S (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40304_.X _40333_.S (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40304_.X _40337_.S (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40304_.X _40342_.S (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _40304_.X _40347_.S (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT _40304_.X _40351_.S (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _40304_.X _40355_.S (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _40304_.X _40361_.S (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40304_.X _40365_.S (0.012:0.012:0.012) (0.012:0.012:0.012))
+ (INTERCONNECT _40304_.X _40369_.S (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40304_.X _40373_.S (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _40304_.X _40377_.S (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40304_.X _40381_.S (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _40304_.X _40385_.S (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _40304_.X ANTENNA__40385__S.DIODE (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _40304_.X ANTENNA__40381__S.DIODE (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _40304_.X ANTENNA__40377__S.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40304_.X ANTENNA__40373__S.DIODE (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _40304_.X ANTENNA__40369__S.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40304_.X ANTENNA__40365__S.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+ (INTERCONNECT _40304_.X ANTENNA__40361__S.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40304_.X ANTENNA__40355__S.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _40304_.X ANTENNA__40351__S.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _40304_.X ANTENNA__40347__S.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40304_.X ANTENNA__40342__S.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40304_.X ANTENNA__40337__S.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40304_.X ANTENNA__40333__S.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40304_.X ANTENNA__40329__S.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _40304_.X ANTENNA__40325__S.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40304_.X ANTENNA__40321__S.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40304_.X ANTENNA__40317__S.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40304_.X ANTENNA__40313__S.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40304_.X ANTENNA__40309__S.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40304_.X ANTENNA__40305__S.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40305_.X _40306_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40306_.X _40307_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40306_.X _77469_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40307_.X _40308_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40308_.X _76928_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40308_.X _86530_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40309_.X _40310_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40310_.X _40311_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _40310_.X _77470_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40311_.X _40312_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40312_.X _76930_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40312_.X _86531_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40313_.X _40314_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40314_.X _40315_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40314_.X _77471_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40315_.X _40316_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40316_.X _76933_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40316_.X _86532_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40317_.X _40318_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40318_.X _40319_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40318_.X _77472_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40319_.X _40320_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40320_.X _76935_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40320_.X _86533_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40320_.X ANTENNA__86533__D.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40320_.X ANTENNA__76935__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40321_.X _40322_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40322_.X _40323_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40322_.X _77473_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40323_.X _40324_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40324_.X _76937_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40324_.X _86534_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40325_.X _40326_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40326_.X _40327_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40326_.X _77475_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40327_.X _40328_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40328_.X _76939_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40328_.X _86535_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40329_.X _40330_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40330_.X _40331_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40330_.X _77476_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40331_.X _40332_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40332_.X _76941_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40332_.X _86536_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40333_.X _40334_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40334_.X _40335_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40334_.X _77477_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40335_.X _40336_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40336_.X _76943_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40336_.X _86537_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40337_.X _40338_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40338_.X _40339_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40338_.X _77478_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40339_.X _40340_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40340_.X _76945_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40340_.X _86538_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40341_.X _40345_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40341_.X _40350_.A2 (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40341_.X _40354_.A2 (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _40341_.X _40358_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40341_.X _40364_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40341_.X _40368_.A2 (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40341_.X _40372_.A2 (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _40341_.X _40376_.A2 (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _40341_.X _40380_.A2 (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _40341_.X _40384_.A2 (0.016:0.016:0.016) (0.015:0.015:0.015))
+ (INTERCONNECT _40341_.X _40388_.A2 (0.016:0.016:0.016) (0.015:0.015:0.015))
+ (INTERCONNECT _40341_.X _40394_.A2 (0.016:0.016:0.016) (0.015:0.015:0.015))
+ (INTERCONNECT _40341_.X _40398_.A2 (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _40341_.X _40402_.A2 (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _40341_.X _40406_.A2 (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40341_.X _40410_.A2 (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _40341_.X _40414_.A2 (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _40341_.X _40418_.A2 (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _40341_.X ANTENNA__40418__A2.DIODE (0.016:0.016:0.016) (0.015:0.015:0.015))
+ (INTERCONNECT _40341_.X ANTENNA__40414__A2.DIODE (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _40341_.X ANTENNA__40410__A2.DIODE (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _40341_.X ANTENNA__40406__A2.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40341_.X ANTENNA__40402__A2.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40341_.X ANTENNA__40398__A2.DIODE (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _40341_.X ANTENNA__40394__A2.DIODE (0.016:0.016:0.016) (0.015:0.015:0.015))
+ (INTERCONNECT _40341_.X ANTENNA__40388__A2.DIODE (0.016:0.016:0.016) (0.015:0.015:0.015))
+ (INTERCONNECT _40341_.X ANTENNA__40384__A2.DIODE (0.016:0.016:0.016) (0.015:0.015:0.015))
+ (INTERCONNECT _40341_.X ANTENNA__40380__A2.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _40341_.X ANTENNA__40376__A2.DIODE (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _40341_.X ANTENNA__40372__A2.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40341_.X ANTENNA__40368__A2.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40341_.X ANTENNA__40364__A2.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40341_.X ANTENNA__40358__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40341_.X ANTENNA__40354__A2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40341_.X ANTENNA__40350__A2.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40341_.X ANTENNA__40345__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40342_.X _40343_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40343_.X _40344_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40343_.X _77479_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40344_.X _40345_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40345_.X _76947_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40345_.X _86539_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40345_.X ANTENNA__86539__D.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40345_.X ANTENNA__76947__B.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40346_.X _40349_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40346_.X _40353_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40346_.X _40357_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40346_.X _40363_.A2 (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _40346_.X _40367_.A2 (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _40346_.X _40371_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40346_.X _40375_.A2 (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40346_.X _40379_.A2 (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40346_.X _40383_.A2 (0.020:0.020:0.020) (0.019:0.019:0.019))
+ (INTERCONNECT _40346_.X _40387_.A2 (0.020:0.020:0.020) (0.019:0.019:0.019))
+ (INTERCONNECT _40346_.X _40393_.A2 (0.020:0.020:0.020) (0.019:0.019:0.019))
+ (INTERCONNECT _40346_.X _40397_.A2 (0.021:0.021:0.021) (0.019:0.019:0.019))
+ (INTERCONNECT _40346_.X _40401_.A2 (0.020:0.020:0.020) (0.019:0.019:0.019))
+ (INTERCONNECT _40346_.X _40405_.A2 (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _40346_.X _40409_.A2 (0.020:0.020:0.020) (0.018:0.018:0.018))
+ (INTERCONNECT _40346_.X _40413_.A2 (0.020:0.020:0.020) (0.019:0.019:0.019))
+ (INTERCONNECT _40346_.X _40417_.A2 (0.020:0.020:0.020) (0.019:0.019:0.019))
+ (INTERCONNECT _40346_.X _40422_.A2 (0.019:0.019:0.019) (0.018:0.018:0.018))
+ (INTERCONNECT _40346_.X _40426_.A2 (0.018:0.018:0.018) (0.017:0.017:0.017))
+ (INTERCONNECT _40346_.X _40430_.A2 (0.017:0.017:0.017) (0.016:0.016:0.016))
+ (INTERCONNECT _40346_.X ANTENNA__40430__A2.DIODE (0.017:0.017:0.017) (0.015:0.015:0.015))
+ (INTERCONNECT _40346_.X ANTENNA__40426__A2.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017))
+ (INTERCONNECT _40346_.X ANTENNA__40422__A2.DIODE (0.019:0.019:0.019) (0.018:0.018:0.018))
+ (INTERCONNECT _40346_.X ANTENNA__40417__A2.DIODE (0.020:0.020:0.020) (0.019:0.019:0.019))
+ (INTERCONNECT _40346_.X ANTENNA__40413__A2.DIODE (0.020:0.020:0.020) (0.019:0.019:0.019))
+ (INTERCONNECT _40346_.X ANTENNA__40409__A2.DIODE (0.020:0.020:0.020) (0.018:0.018:0.018))
+ (INTERCONNECT _40346_.X ANTENNA__40405__A2.DIODE (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _40346_.X ANTENNA__40401__A2.DIODE (0.020:0.020:0.020) (0.019:0.019:0.019))
+ (INTERCONNECT _40346_.X ANTENNA__40397__A2.DIODE (0.021:0.021:0.021) (0.019:0.019:0.019))
+ (INTERCONNECT _40346_.X ANTENNA__40393__A2.DIODE (0.020:0.020:0.020) (0.019:0.019:0.019))
+ (INTERCONNECT _40346_.X ANTENNA__40387__A2.DIODE (0.020:0.020:0.020) (0.019:0.019:0.019))
+ (INTERCONNECT _40346_.X ANTENNA__40383__A2.DIODE (0.020:0.020:0.020) (0.019:0.019:0.019))
+ (INTERCONNECT _40346_.X ANTENNA__40379__A2.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _40346_.X ANTENNA__40375__A2.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40346_.X ANTENNA__40371__A2.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40346_.X ANTENNA__40367__A2.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _40346_.X ANTENNA__40363__A2.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _40346_.X ANTENNA__40357__A2.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _40346_.X ANTENNA__40353__A2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40346_.X ANTENNA__40349__A2.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40347_.X _40348_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40348_.X _40349_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40348_.X _77480_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40349_.X _40350_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40350_.X _76949_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40350_.X _86540_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40351_.X _40352_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40352_.X _40353_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40352_.X _77481_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40353_.X _40354_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40354_.X _76951_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40354_.X _86541_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40355_.X _40356_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40356_.X _40357_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40356_.X _77482_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40357_.X _40358_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40358_.X _76953_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40358_.X _86542_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40358_.X ANTENNA__86542__D.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40358_.X ANTENNA__76953__B.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40359_.X _40364_.A3 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40359_.X _40368_.A3 (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40359_.X _40372_.A3 (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40359_.X _40376_.A3 (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _40359_.X _40380_.A3 (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _40359_.X _40384_.A3 (0.019:0.019:0.019) (0.017:0.017:0.017))
+ (INTERCONNECT _40359_.X _40388_.A3 (0.018:0.018:0.018) (0.017:0.017:0.017))
+ (INTERCONNECT _40359_.X _40394_.A3 (0.019:0.019:0.019) (0.018:0.018:0.018))
+ (INTERCONNECT _40359_.X _40398_.A3 (0.019:0.019:0.019) (0.017:0.017:0.017))
+ (INTERCONNECT _40359_.X _40402_.A3 (0.018:0.018:0.018) (0.017:0.017:0.017))
+ (INTERCONNECT _40359_.X _40406_.A3 (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40359_.X _40410_.A3 (0.018:0.018:0.018) (0.017:0.017:0.017))
+ (INTERCONNECT _40359_.X _40414_.A3 (0.018:0.018:0.018) (0.017:0.017:0.017))
+ (INTERCONNECT _40359_.X _40418_.A3 (0.019:0.019:0.019) (0.017:0.017:0.017))
+ (INTERCONNECT _40359_.X _40423_.A3 (0.018:0.018:0.018) (0.016:0.016:0.016))
+ (INTERCONNECT _40359_.X _40427_.A3 (0.018:0.018:0.018) (0.016:0.016:0.016))
+ (INTERCONNECT _40359_.X _40431_.A3 (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _40359_.X ANTENNA__40431__A3.DIODE (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _40359_.X ANTENNA__40427__A3.DIODE (0.016:0.016:0.016) (0.015:0.015:0.015))
+ (INTERCONNECT _40359_.X ANTENNA__40423__A3.DIODE (0.018:0.018:0.018) (0.016:0.016:0.016))
+ (INTERCONNECT _40359_.X ANTENNA__40418__A3.DIODE (0.019:0.019:0.019) (0.017:0.017:0.017))
+ (INTERCONNECT _40359_.X ANTENNA__40414__A3.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017))
+ (INTERCONNECT _40359_.X ANTENNA__40410__A3.DIODE (0.018:0.018:0.018) (0.017:0.017:0.017))
+ (INTERCONNECT _40359_.X ANTENNA__40406__A3.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+ (INTERCONNECT _40359_.X ANTENNA__40402__A3.DIODE (0.018:0.018:0.018) (0.016:0.016:0.016))
+ (INTERCONNECT _40359_.X ANTENNA__40398__A3.DIODE (0.019:0.019:0.019) (0.017:0.017:0.017))
+ (INTERCONNECT _40359_.X ANTENNA__40394__A3.DIODE (0.019:0.019:0.019) (0.017:0.017:0.017))
+ (INTERCONNECT _40359_.X ANTENNA__40388__A3.DIODE (0.016:0.016:0.016) (0.015:0.015:0.015))
+ (INTERCONNECT _40359_.X ANTENNA__40384__A3.DIODE (0.019:0.019:0.019) (0.017:0.017:0.017))
+ (INTERCONNECT _40359_.X ANTENNA__40380__A3.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _40359_.X ANTENNA__40376__A3.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _40359_.X ANTENNA__40372__A3.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40359_.X ANTENNA__40368__A3.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40359_.X ANTENNA__40364__A3.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40360_.X _40362_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40360_.X _40366_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40360_.X _40370_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40360_.X _40374_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40360_.X _40378_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40360_.X _40382_.A (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40360_.X _40386_.A (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40360_.X _40392_.A (0.013:0.013:0.013) (0.013:0.013:0.013))
+ (INTERCONNECT _40360_.X _40396_.A (0.013:0.013:0.013) (0.013:0.013:0.013))
+ (INTERCONNECT _40360_.X _40400_.A (0.012:0.012:0.012) (0.012:0.012:0.012))
+ (INTERCONNECT _40360_.X _40404_.A (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _40360_.X _40408_.A (0.012:0.012:0.012) (0.012:0.012:0.012))
+ (INTERCONNECT _40360_.X _40412_.A (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40360_.X _40416_.A (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40360_.X _40421_.A (0.011:0.011:0.011) (0.011:0.011:0.011))
+ (INTERCONNECT _40360_.X _40425_.A (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40360_.X _40429_.A (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _40360_.X _40434_.A (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _40360_.X _40438_.A (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _40360_.X _40442_.A (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _40360_.X ANTENNA__40442__A.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _40360_.X ANTENNA__40438__A.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _40360_.X ANTENNA__40434__A.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _40360_.X ANTENNA__40429__A.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _40360_.X ANTENNA__40425__A.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40360_.X ANTENNA__40421__A.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+ (INTERCONNECT _40360_.X ANTENNA__40416__A.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40360_.X ANTENNA__40412__A.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40360_.X ANTENNA__40408__A.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+ (INTERCONNECT _40360_.X ANTENNA__40404__A.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _40360_.X ANTENNA__40400__A.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+ (INTERCONNECT _40360_.X ANTENNA__40396__A.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013))
+ (INTERCONNECT _40360_.X ANTENNA__40392__A.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013))
+ (INTERCONNECT _40360_.X ANTENNA__40386__A.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _40360_.X ANTENNA__40382__A.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40360_.X ANTENNA__40378__A.DIODE (0.006:0.006:0.006) (0.005:0.005:0.005))
+ (INTERCONNECT _40360_.X ANTENNA__40374__A.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40360_.X ANTENNA__40370__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40360_.X ANTENNA__40366__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40360_.X ANTENNA__40362__A.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40361_.X _40362_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40362_.X _40363_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40362_.X _77483_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40363_.X _40364_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40364_.X _76955_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40364_.X _86543_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40365_.X _40366_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40366_.X _40367_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40366_.X _77484_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40367_.X _40368_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40368_.X _76957_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40368_.X _86544_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40369_.X _40370_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40370_.X _40371_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40370_.X _77485_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40371_.X _40372_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40372_.X _76959_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40372_.X _86545_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40373_.X _40374_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40374_.X _40375_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40374_.X _77486_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40375_.X _40376_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40376_.X _76961_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40376_.X _86546_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40377_.X _40378_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40378_.X _40379_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40378_.X _77487_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40379_.X _40380_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40380_.X _76963_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40380_.X _86547_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40381_.X _40382_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40382_.X _40383_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40382_.X _77488_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40383_.X _40384_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40384_.X _76965_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40384_.X _86548_.D (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40384_.X ANTENNA__86548__D.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40384_.X ANTENNA__76965__B.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40385_.X _40386_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40386_.X _40387_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40386_.X _77489_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40387_.X _40388_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40388_.X _76967_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40388_.X _86549_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40388_.X ANTENNA__86549__D.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40388_.X ANTENNA__76967__B.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40389_.X _40393_.A3 (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _40389_.X _40397_.A3 (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _40389_.X _40401_.A3 (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40389_.X _40405_.A3 (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40389_.X _40409_.A3 (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40389_.X _40413_.A3 (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _40389_.X _40417_.A3 (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _40389_.X _40422_.A3 (0.012:0.012:0.012) (0.012:0.012:0.012))
+ (INTERCONNECT _40389_.X _40426_.A3 (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40389_.X _40430_.A3 (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _40389_.X _40435_.A3 (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _40389_.X _40439_.A3 (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _40389_.X _40443_.A3 (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _40389_.X _40447_.A3 (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _40389_.X _40451_.A3 (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _40389_.X _40455_.A3 (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _40389_.X _40459_.A3 (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _40389_.X _40463_.A3 (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _40389_.X _40467_.A3 (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _40389_.X _40471_.A3 (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _40389_.X ANTENNA__40471__A3.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _40389_.X ANTENNA__40467__A3.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40389_.X ANTENNA__40463__A3.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _40389_.X ANTENNA__40459__A3.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _40389_.X ANTENNA__40455__A3.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _40389_.X ANTENNA__40451__A3.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _40389_.X ANTENNA__40447__A3.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40389_.X ANTENNA__40443__A3.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _40389_.X ANTENNA__40439__A3.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _40389_.X ANTENNA__40435__A3.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _40389_.X ANTENNA__40430__A3.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _40389_.X ANTENNA__40426__A3.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _40389_.X ANTENNA__40422__A3.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40389_.X ANTENNA__40417__A3.DIODE (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _40389_.X ANTENNA__40413__A3.DIODE (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _40389_.X ANTENNA__40409__A3.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40389_.X ANTENNA__40405__A3.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40389_.X ANTENNA__40401__A3.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40389_.X ANTENNA__40397__A3.DIODE (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _40389_.X ANTENNA__40393__A3.DIODE (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _40390_.X _40391_.S (0.013:0.013:0.013) (0.013:0.013:0.013))
+ (INTERCONNECT _40390_.X _40395_.S (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _40390_.X _40399_.S (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _40390_.X _40403_.S (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40390_.X _40407_.S (0.012:0.012:0.012) (0.012:0.012:0.012))
+ (INTERCONNECT _40390_.X _40411_.S (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40390_.X _40415_.S (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40390_.X _40420_.S (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40390_.X _40424_.S (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _40390_.X _40428_.S (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _40390_.X _40433_.S (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _40390_.X _40437_.S (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _40390_.X _40441_.S (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _40390_.X _40445_.S (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40390_.X _40449_.S (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _40390_.X _40453_.S (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _40390_.X _40457_.S (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40390_.X _40461_.S (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40390_.X _40465_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40390_.X _40469_.S (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40390_.X ANTENNA__40469__S.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40390_.X ANTENNA__40465__S.DIODE (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT _40390_.X ANTENNA__40461__S.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40390_.X ANTENNA__40457__S.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40390_.X ANTENNA__40453__S.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _40390_.X ANTENNA__40449__S.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _40390_.X ANTENNA__40445__S.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40390_.X ANTENNA__40441__S.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _40390_.X ANTENNA__40437__S.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _40390_.X ANTENNA__40433__S.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _40390_.X ANTENNA__40428__S.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _40390_.X ANTENNA__40424__S.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _40390_.X ANTENNA__40420__S.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40390_.X ANTENNA__40415__S.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+ (INTERCONNECT _40390_.X ANTENNA__40411__S.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40390_.X ANTENNA__40407__S.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40390_.X ANTENNA__40403__S.DIODE (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40390_.X ANTENNA__40399__S.DIODE (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _40390_.X ANTENNA__40395__S.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013))
+ (INTERCONNECT _40390_.X ANTENNA__40391__S.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013))
+ (INTERCONNECT _40391_.X _40392_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40392_.X _40393_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40392_.X _77490_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40393_.X _40394_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40394_.X _76969_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40394_.X _86550_.D (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40394_.X ANTENNA__86550__D.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40394_.X ANTENNA__76969__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40395_.X _40396_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40396_.X _40397_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40396_.X _77491_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40397_.X _40398_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40398_.X _76971_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40398_.X _86551_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40398_.X ANTENNA__86551__D.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40398_.X ANTENNA__76971__B.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40399_.X _40400_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40400_.X _40401_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40400_.X _77492_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40401_.X _40402_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40402_.X _76974_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40402_.X _86552_.D (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40402_.X ANTENNA__86552__D.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40402_.X ANTENNA__76974__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40403_.X _40404_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40404_.X _40405_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40404_.X _77494_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40405_.X _40406_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40406_.X _76976_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40406_.X _86553_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40407_.X _40408_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40408_.X _40409_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40408_.X _77495_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40409_.X _40410_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40410_.X _76978_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40410_.X _86554_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40410_.X ANTENNA__86554__D.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40410_.X ANTENNA__76978__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40411_.X _40412_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40412_.X _40413_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40412_.X _77496_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40413_.X _40414_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40414_.X _76980_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40414_.X _86555_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40414_.X ANTENNA__86555__D.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40414_.X ANTENNA__76980__B.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40415_.X _40416_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40416_.X _40417_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40416_.X _77497_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40417_.X _40418_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40418_.X _76982_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40418_.X _86556_.D (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40418_.X ANTENNA__86556__D.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40418_.X ANTENNA__76982__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40419_.X _40423_.A2 (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40419_.X _40427_.A2 (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40419_.X _40431_.A2 (0.011:0.011:0.011) (0.011:0.011:0.011))
+ (INTERCONNECT _40419_.X _40436_.A2 (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40419_.X _40440_.A2 (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40419_.X _40444_.A2 (0.011:0.011:0.011) (0.011:0.011:0.011))
+ (INTERCONNECT _40419_.X _40448_.A2 (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _40419_.X _40452_.A2 (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _40419_.X _40456_.A2 (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40419_.X _40460_.A2 (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40419_.X _40464_.A2 (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _40419_.X _40468_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40419_.X _40472_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40419_.X _40476_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40419_.X _40480_.A2 (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40419_.X _40484_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40419_.X _40488_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40419_.X _40492_.A2 (0.002:0.002:0.002) (0.001:0.001:0.001))
+ (INTERCONNECT _40419_.X ANTENNA__40492__A2.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40419_.X ANTENNA__40488__A2.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40419_.X ANTENNA__40484__A2.DIODE (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT _40419_.X ANTENNA__40480__A2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40419_.X ANTENNA__40476__A2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40419_.X ANTENNA__40472__A2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40419_.X ANTENNA__40468__A2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40419_.X ANTENNA__40464__A2.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _40419_.X ANTENNA__40460__A2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40419_.X ANTENNA__40456__A2.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40419_.X ANTENNA__40452__A2.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _40419_.X ANTENNA__40448__A2.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _40419_.X ANTENNA__40444__A2.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _40419_.X ANTENNA__40440__A2.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40419_.X ANTENNA__40436__A2.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+ (INTERCONNECT _40419_.X ANTENNA__40431__A2.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40419_.X ANTENNA__40427__A2.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+ (INTERCONNECT _40419_.X ANTENNA__40423__A2.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40420_.X _40421_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40421_.X _40422_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40421_.X _77498_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40422_.X _40423_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40423_.X _76984_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40423_.X _86557_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40423_.X ANTENNA__86557__D.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40423_.X ANTENNA__76984__B.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40424_.X _40425_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40425_.X _40426_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40425_.X _77499_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40426_.X _40427_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40427_.X _76986_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40427_.X _86558_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40427_.X ANTENNA__86558__D.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40427_.X ANTENNA__76986__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40428_.X _40429_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40429_.X _40430_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40429_.X _77500_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40430_.X _40431_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40431_.X _76988_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40431_.X _86559_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40431_.X ANTENNA__86559__D.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40431_.X ANTENNA__76988__B.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40432_.X _40436_.A3 (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _40432_.X _40440_.A3 (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _40432_.X _40444_.A3 (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40432_.X _40448_.A3 (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40432_.X _40452_.A3 (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40432_.X _40456_.A3 (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _40432_.X _40460_.A3 (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _40432_.X _40464_.A3 (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40432_.X _40468_.A3 (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40432_.X _40472_.A3 (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _40432_.X _40476_.A3 (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _40432_.X _40480_.A3 (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _40432_.X _40484_.A3 (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _40432_.X _40488_.A3 (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _40432_.X _40492_.A3 (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40432_.X _40496_.A3 (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40432_.X _40500_.A3 (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _40432_.X ANTENNA__40500__A3.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40432_.X ANTENNA__40496__A3.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40432_.X ANTENNA__40492__A3.DIODE (0.005:0.005:0.005) (0.005:0.005:0.005))
+ (INTERCONNECT _40432_.X ANTENNA__40488__A3.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _40432_.X ANTENNA__40484__A3.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _40432_.X ANTENNA__40480__A3.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _40432_.X ANTENNA__40476__A3.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _40432_.X ANTENNA__40472__A3.DIODE (0.010:0.010:0.010) (0.010:0.010:0.010))
+ (INTERCONNECT _40432_.X ANTENNA__40468__A3.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40432_.X ANTENNA__40464__A3.DIODE (0.011:0.011:0.011) (0.011:0.011:0.011))
+ (INTERCONNECT _40432_.X ANTENNA__40460__A3.DIODE (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _40432_.X ANTENNA__40456__A3.DIODE (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _40432_.X ANTENNA__40452__A3.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40432_.X ANTENNA__40448__A3.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40432_.X ANTENNA__40444__A3.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40432_.X ANTENNA__40440__A3.DIODE (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _40432_.X ANTENNA__40436__A3.DIODE (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _40433_.X _40434_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40434_.X _40435_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _40434_.X _77501_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _40435_.X _40436_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40436_.X _76990_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40436_.X _86560_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40437_.X _40438_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40438_.X _40439_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40438_.X _77502_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40439_.X _40440_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40440_.X _76992_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40440_.X _86561_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40441_.X _40442_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40442_.X _40443_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40442_.X _77503_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40443_.X _40444_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40444_.X _76994_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40444_.X _86562_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40444_.X ANTENNA__86562__D.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40444_.X ANTENNA__76994__B.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40445_.X _40446_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40446_.X _40447_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40446_.X _77504_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40447_.X _40448_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40448_.X _76996_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40448_.X _86563_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40449_.X _40450_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40450_.X _40451_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40450_.X _77505_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40451_.X _40452_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40452_.X _76998_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40452_.X _86564_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40453_.X _40454_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40454_.X _40455_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _40454_.X _77506_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _40455_.X _40456_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40456_.X _77000_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40456_.X _86565_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40457_.X _40458_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40458_.X _40459_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _40458_.X _77507_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _40459_.X _40460_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40460_.X _77002_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40460_.X _86566_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40461_.X _40462_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40462_.X _40463_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40462_.X _77508_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40463_.X _40464_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40464_.X _77004_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40464_.X _86567_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40465_.X _40466_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40466_.X _40467_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40466_.X _77509_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40467_.X _40468_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40468_.X _77006_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40468_.X _86568_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40469_.X _40470_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40470_.X _40471_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40470_.X _77510_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40471_.X _40472_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40472_.X _77008_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40472_.X _86569_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40473_.X _40474_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40474_.X _40475_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40474_.X _77511_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40475_.X _40476_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40476_.X _77010_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40476_.X _86570_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40476_.X ANTENNA__86570__D.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40476_.X ANTENNA__77010__B.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40477_.X _40478_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40478_.X _40479_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40478_.X _77512_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40479_.X _40480_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40480_.X _77012_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40480_.X _86571_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40481_.X _40482_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40482_.X _40483_.B1 (0.001:0.001:0.001) (0.000:0.000:0.000))
+ (INTERCONNECT _40482_.X _77513_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40483_.X _40484_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40484_.X _77015_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40484_.X _86572_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40484_.X ANTENNA__86572__D.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40484_.X ANTENNA__77015__B.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40485_.X _40486_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40486_.X _40487_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40486_.X _77514_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40487_.X _40488_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40488_.X _77017_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40488_.X _86573_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40488_.X ANTENNA__86573__D.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40488_.X ANTENNA__77017__B.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40489_.X _40490_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40490_.X _40491_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40490_.X _77515_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40491_.X _40492_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40492_.X _77019_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40492_.X _86574_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40492_.X ANTENNA__86574__D.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40492_.X ANTENNA__77019__B.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40493_.X _40494_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40494_.X _40495_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40494_.X _77516_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40495_.X _40496_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40496_.X _77021_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40496_.X _86575_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40496_.X ANTENNA__86575__D.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40496_.X ANTENNA__77021__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40497_.X _40498_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40498_.X _40499_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40498_.X _77517_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40499_.X _40500_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40500_.X _77023_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40500_.X _86576_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40501_.X _40502_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40502_.X _40503_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40503_.X _40504_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40504_.X _77028_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40504_.X _86577_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40505_.X _40506_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40506_.X _40508_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40507_.X _40508_.S (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40507_.X _40512_.S (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40507_.X _40552_.S (0.028:0.028:0.028) (0.026:0.026:0.026))
+ (INTERCONNECT _40507_.X _40556_.S (0.028:0.028:0.028) (0.025:0.026:0.026))
+ (INTERCONNECT _40507_.X _40560_.S (0.029:0.029:0.029) (0.026:0.026:0.026))
+ (INTERCONNECT _40507_.X _40564_.S (0.029:0.029:0.029) (0.026:0.026:0.026))
+ (INTERCONNECT _40507_.X _40568_.S (0.029:0.029:0.029) (0.027:0.027:0.027))
+ (INTERCONNECT _40507_.X _40572_.S (0.029:0.029:0.029) (0.027:0.027:0.027))
+ (INTERCONNECT _40507_.X _40576_.S (0.028:0.028:0.028) (0.026:0.026:0.026))
+ (INTERCONNECT _40507_.X _40580_.S (0.029:0.029:0.029) (0.026:0.026:0.026))
+ (INTERCONNECT _40507_.X _40584_.S (0.026:0.026:0.026) (0.024:0.024:0.024))
+ (INTERCONNECT _40507_.X _40588_.S (0.026:0.026:0.026) (0.024:0.024:0.024))
+ (INTERCONNECT _40507_.X _40600_.S (0.020:0.020:0.020) (0.019:0.019:0.019))
+ (INTERCONNECT _40507_.X _40604_.S (0.029:0.029:0.029) (0.026:0.026:0.026))
+ (INTERCONNECT _40507_.X _40608_.S (0.020:0.020:0.020) (0.019:0.019:0.019))
+ (INTERCONNECT _40507_.X _40612_.S (0.026:0.026:0.026) (0.024:0.024:0.024))
+ (INTERCONNECT _40507_.X _40616_.S (0.023:0.023:0.023) (0.021:0.021:0.021))
+ (INTERCONNECT _40507_.X _40620_.S (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40507_.X _40624_.S (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _40507_.X _40628_.S (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _40507_.X ANTENNA__40628__S.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _40507_.X ANTENNA__40624__S.DIODE (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _40507_.X ANTENNA__40620__S.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40507_.X ANTENNA__40616__S.DIODE (0.022:0.022:0.022) (0.020:0.020:0.020))
+ (INTERCONNECT _40507_.X ANTENNA__40612__S.DIODE (0.026:0.026:0.026) (0.024:0.024:0.024))
+ (INTERCONNECT _40507_.X ANTENNA__40608__S.DIODE (0.019:0.019:0.019) (0.017:0.017:0.018))
+ (INTERCONNECT _40507_.X ANTENNA__40604__S.DIODE (0.029:0.029:0.029) (0.026:0.026:0.026))
+ (INTERCONNECT _40507_.X ANTENNA__40600__S.DIODE (0.020:0.020:0.020) (0.019:0.019:0.019))
+ (INTERCONNECT _40507_.X ANTENNA__40588__S.DIODE (0.026:0.026:0.026) (0.024:0.024:0.024))
+ (INTERCONNECT _40507_.X ANTENNA__40584__S.DIODE (0.026:0.026:0.026) (0.024:0.024:0.024))
+ (INTERCONNECT _40507_.X ANTENNA__40580__S.DIODE (0.029:0.029:0.029) (0.026:0.026:0.026))
+ (INTERCONNECT _40507_.X ANTENNA__40576__S.DIODE (0.028:0.028:0.028) (0.026:0.026:0.026))
+ (INTERCONNECT _40507_.X ANTENNA__40572__S.DIODE (0.029:0.029:0.029) (0.027:0.027:0.027))
+ (INTERCONNECT _40507_.X ANTENNA__40568__S.DIODE (0.029:0.029:0.029) (0.027:0.027:0.027))
+ (INTERCONNECT _40507_.X ANTENNA__40564__S.DIODE (0.029:0.029:0.029) (0.026:0.026:0.026))
+ (INTERCONNECT _40507_.X ANTENNA__40560__S.DIODE (0.029:0.029:0.029) (0.026:0.026:0.026))
+ (INTERCONNECT _40507_.X ANTENNA__40556__S.DIODE (0.027:0.027:0.027) (0.025:0.025:0.025))
+ (INTERCONNECT _40507_.X ANTENNA__40552__S.DIODE (0.028:0.028:0.028) (0.026:0.026:0.026))
+ (INTERCONNECT _40507_.X ANTENNA__40512__S.DIODE (0.006:0.006:0.006) (0.006:0.006:0.006))
+ (INTERCONNECT _40507_.X ANTENNA__40508__S.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _40508_.X _40509_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40509_.X _77030_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40509_.X _86578_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40509_.X ANTENNA__86578__D.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40509_.X ANTENNA__77030__B.DIODE (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40510_.X _40511_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40511_.X _40512_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40512_.X _40513_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40513_.X _77032_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40513_.X _86579_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40514_.X _40519_.A1 (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _40514_.X _40523_.A1 (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _40514_.X _40643_.A (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _40514_.X _77119_.A (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _40514_.X _77142_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40514_.X _77376_.S (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40514_.X _77392_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40514_.X _77455_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40514_.X _77474_.A (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40514_.X _77493_.A (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _40514_.X _77512_.A2 (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _40514_.X _77513_.A2 (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _40514_.X _77514_.A2 (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _40514_.X _77515_.A2 (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _40514_.X _77516_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40514_.X _77517_.A2 (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40514_.X ANTENNA__77517__A2.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40514_.X ANTENNA__77516__A2.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40514_.X ANTENNA__77515__A2.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _40514_.X ANTENNA__77514__A2.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _40514_.X ANTENNA__77513__A2.DIODE (0.008:0.008:0.008) (0.008:0.008:0.008))
+ (INTERCONNECT _40514_.X ANTENNA__77512__A2.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _40514_.X ANTENNA__77493__A.DIODE (0.009:0.009:0.009) (0.009:0.009:0.009))
+ (INTERCONNECT _40514_.X ANTENNA__77474__A.DIODE (0.007:0.007:0.007) (0.007:0.007:0.007))
+ (INTERCONNECT _40514_.X ANTENNA__77455__A.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40514_.X ANTENNA__77392__A.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40514_.X ANTENNA__77376__S.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40514_.X ANTENNA__77142__B.DIODE (0.004:0.004:0.004) (0.003:0.003:0.003))
+ (INTERCONNECT _40514_.X ANTENNA__77119__A.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _40514_.X ANTENNA__40643__A.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _40514_.X ANTENNA__40523__A1.DIODE (0.005:0.005:0.005) (0.004:0.004:0.004))
+ (INTERCONNECT _40514_.X ANTENNA__40519__A1.DIODE (0.004:0.004:0.004) (0.004:0.004:0.004))
+ (INTERCONNECT _40515_.X _40519_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40515_.X _42300_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40516_.X _40517_.B (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _40516_.X _40521_.B (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40516_.X _40525_.B (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _40516_.X _40530_.B (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _40516_.X _40534_.B (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _40516_.X _40538_.B (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _40516_.X _40542_.B (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _40516_.X _40547_.B (0.007:0.007:0.007) (0.006:0.006:0.006))
+ (INTERCONNECT _40516_.X _40591_.B (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _40516_.X _40595_.B (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _40516_.X _76742_.C (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40516_.X _76744_.C (0.012:0.012:0.012) (0.012:0.012:0.012))
+ (INTERCONNECT _40516_.X _76746_.C (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _40516_.X _76748_.C (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _40516_.X _76750_.C (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _40516_.X _76752_.C (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40516_.X _76754_.C (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40516_.X _76756_.C (0.010:0.010:0.010) (0.009:0.009:0.009))
+ (INTERCONNECT _40516_.X _77145_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40516_.X _77166_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40516_.X ANTENNA__77166__B1.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40516_.X ANTENNA__77145__B.DIODE (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40516_.X ANTENNA__76756__C.DIODE (0.009:0.009:0.009) (0.008:0.008:0.008))
+ (INTERCONNECT _40516_.X ANTENNA__76754__C.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40516_.X ANTENNA__76752__C.DIODE (0.011:0.011:0.011) (0.010:0.010:0.010))
+ (INTERCONNECT _40516_.X ANTENNA__76750__C.DIODE (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _40516_.X ANTENNA__76748__C.DIODE (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _40516_.X ANTENNA__76746__C.DIODE (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _40516_.X ANTENNA__76744__C.DIODE (0.012:0.012:0.012) (0.012:0.012:0.012))
+ (INTERCONNECT _40516_.X ANTENNA__76742__C.DIODE (0.012:0.012:0.012) (0.011:0.011:0.011))
+ (INTERCONNECT _40516_.X ANTENNA__40595__B.DIODE (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _40516_.X ANTENNA__40591__B.DIODE (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _40516_.X ANTENNA__40547__B.DIODE (0.008:0.008:0.008) (0.007:0.007:0.007))
+ (INTERCONNECT _40516_.X ANTENNA__40542__B.DIODE (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _40516_.X ANTENNA__40538__B.DIODE (0.014:0.014:0.014) (0.013:0.013:0.013))
+ (INTERCONNECT _40516_.X ANTENNA__40534__B.DIODE (0.014:0.014:0.014) (0.014:0.014:0.014))
+ (INTERCONNECT _40516_.X ANTENNA__40530__B.DIODE (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _40516_.X ANTENNA__40525__B.DIODE (0.015:0.015:0.015) (0.014:0.014:0.014))
+ (INTERCONNECT _40516_.X ANTENNA__40521__B.DIODE (0.013:0.013:0.013) (0.012:0.012:0.012))
+ (INTERCONNECT _40516_.X ANTENNA__40517__B.DIODE (0.013:0.013:0.013) (0.013:0.013:0.013))
+ (INTERCONNECT _40517_.X _40519_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40518_.X _40519_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40518_.X _42298_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40518_.X _77400_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40519_.X _77034_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40519_.X _86580_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40520_.X _40523_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40520_.X _60401_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40521_.X _40523_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40522_.X _40523_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40522_.X _60399_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40522_.X _77401_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40522_.X ANTENNA__77401__B1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40522_.X ANTENNA__60399__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40522_.X ANTENNA__40523__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40523_.X _77036_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40523_.X _86581_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40524_.X _40527_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40524_.X _60397_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40525_.X _40527_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40526_.X _40527_.B1 (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT _40526_.X _60395_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40526_.X _77402_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40526_.X ANTENNA__77402__B1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40526_.X ANTENNA__60395__B1.DIODE (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT _40526_.X ANTENNA__40527__B1.DIODE (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT _40527_.X _77038_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40527_.X _86582_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40528_.Y _40529_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40529_.Y _40532_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40529_.Y _60376_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40530_.X _40532_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40531_.X _40532_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40531_.X _60375_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40531_.X _77403_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40531_.X ANTENNA__77403__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40531_.X ANTENNA__60375__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40531_.X ANTENNA__40532__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40532_.X _77040_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40532_.X _86583_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40533_.X _40536_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40533_.X _60391_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40534_.X _40536_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40535_.X _40536_.B1 (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT _40535_.X _60389_.B1 (0.003:0.003:0.003) (0.002:0.002:0.002))
+ (INTERCONNECT _40535_.X _77404_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40535_.X ANTENNA__77404__B1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40535_.X ANTENNA__60389__B1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40535_.X ANTENNA__40536__B1.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
+ (INTERCONNECT _40536_.X _77042_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40536_.X _86584_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40537_.X _40540_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40537_.X _60409_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40538_.X _40540_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40539_.X _40540_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40539_.X _60407_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40539_.X _77405_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40540_.X _77044_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40540_.X _86585_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40541_.X _40544_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40541_.X _60385_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40542_.X _40544_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40543_.X _40544_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40543_.X _60383_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40543_.X _77406_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40543_.X ANTENNA__77406__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40543_.X ANTENNA__60383__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40543_.X ANTENNA__40544__B1.DIODE (0.003:0.003:0.003) (0.003:0.003:0.003))
+ (INTERCONNECT _40544_.X _77046_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40544_.X _86586_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40545_.Y _40546_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40546_.Y _40549_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40546_.Y _60371_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40547_.X _40549_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40548_.X _40549_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40548_.X _60369_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40548_.X _77407_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40549_.X _77048_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40549_.X _86587_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40550_.X _40551_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40551_.X _40552_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40552_.X _40553_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40553_.X _77050_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40553_.X _86588_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40554_.X _40555_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40555_.X _40556_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40556_.X _40557_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40557_.X _77052_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40557_.X _86589_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40558_.X _40559_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40559_.X _40560_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40560_.X _40561_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40561_.X _77054_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40561_.X _86590_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40562_.X _40563_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40563_.X _40564_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40564_.X _40565_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40565_.X _77057_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40565_.X _86591_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40566_.X _40567_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40567_.X _40568_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40568_.X _40569_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40569_.X _77059_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40569_.X _86592_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40570_.X _40571_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40571_.X _40572_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40572_.X _40573_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40573_.X _77061_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40573_.X _86593_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40574_.X _40575_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40575_.X _40576_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40576_.X _40577_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40577_.X _77063_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40577_.X _86594_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40578_.X _40579_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40579_.X _40580_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40580_.X _40581_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40581_.X _77065_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40581_.X _86595_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40582_.X _40583_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40583_.X _40584_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40584_.X _40585_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40585_.X _77067_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40585_.X _86596_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40586_.X _40587_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40587_.X _40588_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40588_.X _40589_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40589_.X _77069_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40589_.X _86597_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40590_.X _40593_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40591_.X _40593_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40592_.X _40593_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40592_.X _77428_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40593_.X _77071_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40593_.X _86598_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40594_.X _40597_.A2 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40595_.X _40597_.A3 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40596_.X _40597_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40596_.X _77429_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40597_.X _77073_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40597_.X _86599_.D (0.001:0.001:0.001) (0.001:0.001:0.001))
+ (INTERCONNECT _40598_.X _40599_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40599_.X _40600_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40600_.X _40601_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40601_.X _77075_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40601_.X _86600_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40602_.X _40603_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40603_.X _40604_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40604_.X _40605_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40605_.X _77077_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40605_.X _86601_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40606_.X _40607_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40607_.X _40608_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40608_.X _40609_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40609_.X _77079_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40609_.X _86602_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40610_.X _40611_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40611_.X _40612_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40612_.X _40613_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40613_.X _77081_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40613_.X _86603_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40614_.X _40615_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40615_.X _40616_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40616_.X _40617_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40617_.X _77083_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40617_.X _86604_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40618_.X _40619_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40619_.X _40620_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40620_.X _40621_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40621_.X _77085_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40621_.X _86605_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40622_.X _40623_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40623_.X _40624_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40624_.X _40625_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40625_.X _77087_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40625_.X _86606_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40626_.X _40627_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40627_.X _40628_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40628_.X _40629_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40629_.X _77089_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40629_.X _86607_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40630_.X _40631_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40631_.X _40632_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40632_.X _40633_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40633_.X _77091_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40633_.X _86608_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40634_.X _40635_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40634_.X _77393_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40635_.X _40636_.B1 (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40636_.X _77093_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
+ (INTERCONNECT _40636_.X _86609_.D (0.000:0.000:0.000) (0.000:0.000:0.000))