blob: ce589ab1ab81b3785a02ae35285db3c57c13524a [file] [log] [blame]
Found 3126 unannotated nets.
vssio_2
gpio_control_in_1\[4\]/_056_
gpio_control_in_1\[4\]/_055_
gpio_control_in_1\[4\]/_054_
gpio_control_in_1\[4\]/_053_
gpio_control_in_1\[4\]/_052_
gpio_control_in_1\[4\]/_051_
gpio_control_in_1\[4\]/_050_
gpio_control_in_1\[4\]/_049_
gpio_control_in_1\[4\]/_048_
gpio_control_in_1\[4\]/_047_
gpio_control_in_1\[4\]/_046_
gpio_control_in_1\[4\]/_057_
gpio_control_in_1\[4\]/gpio_logic_high/gpio_logic1
gpio_control_in_1\[5\]/_056_
gpio_control_in_1\[5\]/_055_
gpio_control_in_1\[5\]/_054_
gpio_control_in_1\[5\]/_053_
gpio_control_in_1\[5\]/_052_
gpio_control_in_1\[5\]/_051_
gpio_control_in_1\[5\]/_050_
gpio_control_in_1\[5\]/_049_
gpio_control_in_1\[5\]/_048_
gpio_control_in_1\[5\]/_047_
gpio_control_in_1\[5\]/_046_
gpio_control_in_1\[5\]/_057_
gpio_control_in_1\[5\]/gpio_logic_high/gpio_logic1
gpio_control_in_1\[6\]/_056_
gpio_control_in_1\[6\]/_055_
gpio_control_in_1\[6\]/_054_
gpio_control_in_1\[6\]/_053_
gpio_control_in_1\[6\]/_052_
gpio_control_in_1\[6\]/_051_
gpio_control_in_1\[6\]/_050_
gpio_control_in_1\[6\]/_049_
gpio_control_in_1\[6\]/_048_
gpio_control_in_1\[6\]/_047_
gpio_control_in_1\[6\]/_046_
gpio_control_in_1\[6\]/_057_
gpio_control_in_1\[6\]/gpio_logic_high/gpio_logic1
gpio_control_in_1\[7\]/_056_
gpio_control_in_1\[7\]/_055_
gpio_control_in_1\[7\]/_054_
gpio_control_in_1\[7\]/_053_
gpio_control_in_1\[7\]/_052_
gpio_control_in_1\[7\]/_051_
gpio_control_in_1\[7\]/_050_
gpio_control_in_1\[7\]/_049_
gpio_control_in_1\[7\]/_048_
gpio_control_in_1\[7\]/_047_
gpio_control_in_1\[7\]/_046_
gpio_control_in_1\[7\]/_057_
gpio_control_in_1\[7\]/gpio_logic_high/gpio_logic1
gpio_control_in_1\[8\]/_056_
gpio_control_in_1\[8\]/_055_
gpio_control_in_1\[8\]/_054_
gpio_control_in_1\[8\]/_053_
gpio_control_in_1\[8\]/_052_
gpio_control_in_1\[8\]/_051_
gpio_control_in_1\[8\]/_050_
gpio_control_in_1\[8\]/_049_
gpio_control_in_1\[8\]/_048_
gpio_control_in_1\[8\]/_047_
gpio_control_in_1\[8\]/_046_
gpio_control_in_1\[8\]/_057_
gpio_control_in_1\[8\]/gpio_logic_high/gpio_logic1
gpio_control_in_1\[9\]/_056_
gpio_control_in_1\[9\]/_055_
gpio_control_in_1\[9\]/_054_
gpio_control_in_1\[9\]/_053_
gpio_control_in_1\[9\]/_052_
gpio_control_in_1\[9\]/_051_
gpio_control_in_1\[9\]/_050_
gpio_control_in_1\[9\]/_049_
gpio_control_in_1\[9\]/_048_
gpio_control_in_1\[9\]/_047_
gpio_control_in_1\[9\]/_046_
gpio_control_in_1\[9\]/_057_
gpio_control_in_1\[9\]/gpio_logic_high/gpio_logic1
gpio_control_in_1a\[0\]/_056_
gpio_control_in_1a\[0\]/_055_
gpio_control_in_1a\[0\]/_054_
gpio_control_in_1a\[0\]/_053_
gpio_control_in_1a\[0\]/_052_
gpio_control_in_1a\[0\]/_051_
gpio_control_in_1a\[0\]/_050_
gpio_control_in_1a\[0\]/_049_
gpio_control_in_1a\[0\]/_048_
gpio_control_in_1a\[0\]/_047_
gpio_control_in_1a\[0\]/_046_
gpio_control_in_1a\[0\]/_057_
gpio_control_in_1a\[0\]/gpio_logic_high/gpio_logic1
gpio_control_in_1a\[1\]/_056_
gpio_control_in_1a\[1\]/_055_
gpio_control_in_1a\[1\]/_054_
gpio_control_in_1a\[1\]/_053_
gpio_control_in_1a\[1\]/_052_
gpio_control_in_1a\[1\]/_051_
gpio_control_in_1a\[1\]/_050_
gpio_control_in_1a\[1\]/_049_
gpio_control_in_1a\[1\]/_048_
gpio_control_in_1a\[1\]/_047_
gpio_control_in_1a\[1\]/_046_
gpio_control_in_1a\[1\]/_057_
gpio_control_in_1a\[1\]/gpio_logic_high/gpio_logic1
gpio_control_in_1a\[2\]/_056_
gpio_control_in_1a\[2\]/_055_
gpio_control_in_1a\[2\]/_054_
gpio_control_in_1a\[2\]/_053_
gpio_control_in_1a\[2\]/_052_
gpio_control_in_1a\[2\]/_051_
gpio_control_in_1a\[2\]/_050_
gpio_control_in_1a\[2\]/_049_
gpio_control_in_1a\[2\]/_048_
gpio_control_in_1a\[2\]/_047_
gpio_control_in_1a\[2\]/_046_
gpio_control_in_1a\[2\]/_057_
gpio_control_in_1a\[2\]/gpio_logic_high/gpio_logic1
gpio_control_in_1a\[3\]/_056_
gpio_control_in_1a\[3\]/_055_
gpio_control_in_1a\[3\]/_054_
gpio_control_in_1a\[3\]/_053_
gpio_control_in_1a\[3\]/_052_
gpio_control_in_1a\[3\]/_051_
gpio_control_in_1a\[3\]/_050_
gpio_control_in_1a\[3\]/_049_
gpio_control_in_1a\[3\]/_048_
gpio_control_in_1a\[3\]/_047_
gpio_control_in_1a\[3\]/_046_
gpio_control_in_1a\[3\]/_057_
gpio_control_in_1a\[3\]/gpio_logic_high/gpio_logic1
gpio_control_in_1a\[4\]/_056_
gpio_control_in_1a\[4\]/_055_
gpio_control_in_1a\[4\]/_054_
gpio_control_in_1a\[4\]/_053_
gpio_control_in_1a\[4\]/_052_
gpio_control_in_1a\[4\]/_051_
gpio_control_in_1a\[4\]/_050_
gpio_control_in_1a\[4\]/_049_
gpio_control_in_1a\[4\]/_048_
gpio_control_in_1a\[4\]/_047_
gpio_control_in_1a\[4\]/_046_
gpio_control_in_1a\[4\]/_057_
gpio_control_in_1a\[4\]/gpio_logic_high/gpio_logic1
gpio_control_in_1a\[5\]/_056_
gpio_control_in_1a\[5\]/_055_
gpio_control_in_1a\[5\]/_054_
gpio_control_in_1a\[5\]/_053_
gpio_control_in_1a\[5\]/_052_
gpio_control_in_1a\[5\]/_051_
gpio_control_in_1a\[5\]/_050_
gpio_control_in_1a\[5\]/_049_
gpio_control_in_1a\[5\]/_048_
gpio_control_in_1a\[5\]/_047_
gpio_control_in_1a\[5\]/_046_
gpio_control_in_1a\[5\]/_057_
gpio_control_in_1a\[5\]/gpio_logic_high/gpio_logic1
gpio_control_in_2\[0\]/_056_
gpio_control_in_2\[0\]/_055_
gpio_control_in_2\[0\]/_054_
gpio_control_in_2\[0\]/_053_
gpio_control_in_2\[0\]/_052_
gpio_control_in_2\[0\]/_051_
gpio_control_in_2\[0\]/_050_
gpio_control_in_2\[0\]/_049_
gpio_control_in_2\[0\]/_048_
gpio_control_in_2\[0\]/_047_
gpio_control_in_2\[0\]/_046_
gpio_control_in_2\[0\]/_057_
gpio_control_in_2\[0\]/gpio_logic_high/gpio_logic1
gpio_control_in_2\[10\]/_056_
gpio_control_in_2\[10\]/_055_
gpio_control_in_2\[10\]/_054_
gpio_control_in_2\[10\]/_053_
gpio_control_in_2\[10\]/_052_
gpio_control_in_2\[10\]/_051_
gpio_control_in_2\[10\]/_050_
gpio_control_in_2\[10\]/_049_
gpio_control_in_2\[10\]/_048_
gpio_control_in_2\[10\]/_047_
gpio_control_in_2\[10\]/_046_
gpio_control_in_2\[10\]/_057_
gpio_control_in_2\[10\]/gpio_logic_high/gpio_logic1
gpio_control_in_2\[11\]/_056_
gpio_control_in_2\[11\]/_055_
gpio_control_in_2\[11\]/_054_
gpio_control_in_2\[11\]/_053_
gpio_control_in_2\[11\]/_052_
gpio_control_in_2\[11\]/_051_
gpio_control_in_2\[11\]/_050_
gpio_control_in_2\[11\]/_049_
gpio_control_in_2\[11\]/_048_
gpio_control_in_2\[11\]/_047_
gpio_control_in_2\[11\]/_046_
gpio_control_in_2\[11\]/_057_
gpio_control_in_2\[11\]/gpio_logic_high/gpio_logic1
gpio_control_in_2\[12\]/_056_
gpio_control_in_2\[12\]/_055_
gpio_control_in_2\[12\]/_054_
gpio_control_in_2\[12\]/_053_
gpio_control_in_2\[12\]/_052_
gpio_control_in_2\[12\]/_051_
gpio_control_in_2\[12\]/_050_
gpio_control_in_2\[12\]/_049_
gpio_control_in_2\[12\]/_048_
gpio_control_in_2\[12\]/_047_
gpio_control_in_2\[12\]/_046_
gpio_control_in_2\[12\]/_057_
gpio_control_in_2\[12\]/gpio_logic_high/gpio_logic1
gpio_control_in_2\[13\]/_056_
gpio_control_in_2\[13\]/_055_
gpio_control_in_2\[13\]/_054_
gpio_control_in_2\[13\]/_053_
gpio_control_in_2\[13\]/_052_
gpio_control_in_2\[13\]/_051_
gpio_control_in_2\[13\]/_050_
gpio_control_in_2\[13\]/_049_
gpio_control_in_2\[13\]/_048_
gpio_control_in_2\[13\]/_047_
gpio_control_in_2\[13\]/_046_
gpio_control_in_2\[13\]/_057_
gpio_control_in_2\[13\]/gpio_logic_high/gpio_logic1
gpio_control_in_2\[14\]/_056_
gpio_control_in_2\[14\]/_055_
gpio_control_in_2\[14\]/_054_
gpio_control_in_2\[14\]/_053_
gpio_control_in_2\[14\]/_052_
gpio_control_in_2\[14\]/_051_
gpio_control_in_2\[14\]/_050_
gpio_control_in_2\[14\]/_049_
gpio_control_in_2\[14\]/_048_
gpio_control_in_2\[14\]/_047_
gpio_control_in_2\[14\]/_046_
gpio_control_in_2\[14\]/_057_
gpio_control_in_2\[14\]/gpio_logic_high/gpio_logic1
padframe/mprj_io[32]
padframe/mprj_io[29]
padframe/mprj_io[31]
padframe/mprj_io[15]
padframe/mprj_io[16]
padframe/mprj_io[1]
padframe/mprj_io[2]
padframe/mprj_io[3]
padframe/mprj_io[4]
padframe/mprj_io[5]
padframe/mprj_io[6]
padframe/mprj_io[7]
mprj/mprj/la_data_out[95]
mprj/mprj/la_data_out[94]
mprj/mprj/la_data_out[93]
mprj/mprj/la_data_out[92]
mprj/mprj/la_data_out[91]
mprj/mprj/la_data_out[90]
mprj/mprj/la_data_out[89]
mprj/mprj/la_data_out[88]
mprj/mprj/la_data_out[87]
mprj/mprj/la_data_out[86]
mprj/mprj/la_data_out[85]
mprj/mprj/la_data_out[84]
mprj/mprj/la_data_out[83]
mprj/mprj/la_data_out[82]
mprj/mprj/la_data_out[81]
mprj/mprj/la_data_out[80]
mprj/mprj/la_data_out[79]
mprj/mprj/la_data_out[78]
mprj/mprj/la_data_out[77]
mprj/mprj/la_data_out[76]
mprj/mprj/la_data_out[75]
mprj/mprj/la_data_out[74]
mprj/mprj/la_data_out[73]
mprj/mprj/la_data_out[72]
mprj/mprj/la_data_out[71]
mprj/mprj/la_data_out[70]
mprj/mprj/la_data_out[69]
mprj/mprj/la_data_out[68]
mprj/mprj/la_data_out[67]
mprj/mprj/la_data_out[66]
mprj/mprj/la_data_out[65]
mprj/mprj/la_data_out[64]
mprj/mprj/la_data_out[63]
mprj/mprj/la_data_out[62]
mprj/mprj/la_data_out[61]
mprj/mprj/la_data_out[60]
mprj/mprj/la_data_out[59]
mprj/mprj/la_data_out[58]
mprj/mprj/la_data_out[57]
mprj/mprj/la_data_out[56]
mprj/mprj/la_data_out[55]
mprj/mprj/la_data_out[54]
mprj/mprj/la_data_out[53]
mprj/mprj/la_data_out[52]
mprj/mprj/la_data_out[51]
mprj/mprj/la_data_out[50]
mprj/mprj/la_data_out[49]
mprj/mprj/la_data_out[48]
mprj/mprj/la_data_out[47]
mprj/mprj/la_data_out[46]
mprj/mprj/la_data_out[45]
mprj/mprj/la_data_out[44]
mprj/mprj/la_data_out[43]
mprj/mprj/la_data_out[42]
mprj/mprj/la_data_out[41]
mprj/mprj/la_data_out[40]
mprj/mprj/la_data_out[39]
mprj/mprj/la_data_out[38]
mprj/mprj/la_data_out[37]
mprj/mprj/la_data_out[36]
mprj/mprj/la_data_out[35]
mprj/mprj/la_data_out[34]
mprj/mprj/la_data_out[33]
mprj/mprj/la_data_out[32]
mprj/mprj/wbs_dat_o[31]
mprj/mprj/wbs_dat_o[30]
mprj/mprj/wbs_dat_o[29]
mprj/mprj/wbs_dat_o[28]
mprj/mprj/wbs_dat_o[27]
mprj/mprj/wbs_dat_o[26]
mprj/mprj/wbs_dat_o[25]
mprj/mprj/wbs_dat_o[24]
mprj/mprj/wbs_dat_o[23]
mprj/mprj/wbs_dat_o[22]
mprj/mprj/wbs_dat_o[21]
mprj/mprj/wbs_dat_o[20]
mprj/mprj/wbs_dat_o[19]
mprj/mprj/wbs_dat_o[18]
mprj/mprj/wbs_dat_o[17]
mprj/mprj/wbs_dat_o[16]
mprj/mprj/wbs_dat_o[15]
mprj/mprj/wbs_dat_o[14]
mprj/mprj/wbs_dat_o[13]
mprj/mprj/wbs_dat_o[12]
mprj/mprj/wbs_dat_o[11]
mprj/mprj/wbs_dat_o[10]
mprj/mprj/wbs_dat_o[9]
mprj/mprj/wbs_dat_o[8]
mprj/mprj/wbs_dat_o[7]
mprj/mprj/wbs_dat_o[6]
mprj/mprj/wbs_dat_o[5]
mprj/mprj/wbs_dat_o[4]
mprj/mprj/wbs_dat_o[3]
mprj/mprj/wbs_dat_o[2]
mprj/mprj/wbs_dat_o[1]
mprj/mprj/wbs_dat_o[0]
padframe/vddio_pad
padframe/mprj_pads.analog_b
padframe/constant_value_inst\[0\]/one
padframe/constant_value_inst\[1\]/zero
padframe/constant_value_inst\[1\]/one_unbuf
padframe/constant_value_inst\[4\]/one
padframe/mprj_pads.loop0_io\[10\]
padframe/mprj_pads.io_in\[15\]
padframe/mprj_pads.loop1_io\[17\]
padframe/mprj_pads.analog_noesd_io\[10\]
padframe/mprj_pads.io_in\[18\]
padframe/mprj_pads.loop0_io\[4\]
padframe/mprj_pads.loop0_io\[5\]
padframe/mprj_pads.loop1_io\[6\]
padframe/mprj_pads.no_connect_1b\[6\]
padframe/mprj_pads.loop1_io\[7\]
padframe/mprj_pads.analog_noesd_io\[0\]
padframe/mprj_pads.loop0_io\[30\]
padframe/mprj_pads.io_in\[35\]
padframe/mprj_pads.io_in_3v3\[37\]
padframe/mprj_pads.io_in_3v3\[20\]
padframe/mprj_pads.loop0_io\[27\]
rstb_level/X
sigbuf/buf_out\[0\]
sigbuf/buf_out\[100\]
sigbuf/buf_in\[102\]
sigbuf/buf_out\[102\]
sigbuf/buf_in\[104\]
sigbuf/buf_out\[104\]
sigbuf/buf_in\[106\]
sigbuf/buf_in\[107\]
sigbuf/buf_out\[107\]
sigbuf/buf_in\[109\]
sigbuf/buf_in\[110\]
sigbuf/buf_in\[11\]
sigbuf/buf_out\[110\]
sigbuf/buf_in\[112\]
sigbuf/buf_in\[113\]
sigbuf/buf_out\[113\]
sigbuf/buf_in\[115\]
sigbuf/buf_in\[116\]
sigbuf/buf_in\[117\]
sigbuf/buf_out\[117\]
sigbuf/buf_in\[119\]
sigbuf/buf_in\[120\]
sigbuf/buf_in\[12\]
sigbuf/buf_in\[121\]
sigbuf/buf_in\[122\]
sigbuf/buf_in\[123\]
sigbuf/buf_out\[123\]
sigbuf/buf_in\[125\]
sigbuf/buf_in\[126\]
sigbuf/buf_in\[127\]
sigbuf/buf_in\[128\]
sigbuf/buf_in\[129\]
sigbuf/buf_out\[129\]
sigbuf/buf_out\[12\]
sigbuf/buf_in\[131\]
sigbuf/buf_in\[132\]
sigbuf/buf_in\[133\]
sigbuf/buf_in\[134\]
sigbuf/buf_in\[135\]
sigbuf/buf_out\[135\]
sigbuf/buf_in\[137\]
sigbuf/buf_in\[138\]
sigbuf/buf_in\[139\]
sigbuf/buf_in\[140\]
sigbuf/buf_in\[14\]
sigbuf/buf_out\[140\]
sigbuf/buf_in\[142\]
sigbuf/buf_in\[143\]
sigbuf/buf_in\[144\]
sigbuf/buf_in\[145\]
sigbuf/buf_out\[145\]
sigbuf/buf_in\[147\]
sigbuf/buf_in\[148\]
sigbuf/buf_in\[149\]
sigbuf/buf_out\[149\]
sigbuf/buf_in\[15\]
sigbuf/buf_in\[151\]
sigbuf/buf_in\[152\]
sigbuf/buf_in\[153\]
sigbuf/buf_out\[153\]
sigbuf/buf_in\[155\]
sigbuf/buf_in\[156\]
sigbuf/buf_in\[157\]
sigbuf/buf_out\[157\]
sigbuf/buf_in\[159\]
sigbuf/buf_in\[160\]
sigbuf/buf_out\[15\]
sigbuf/buf_in\[161\]
sigbuf/buf_out\[161\]
sigbuf/buf_in\[163\]
sigbuf/buf_in\[164\]
sigbuf/buf_in\[165\]
sigbuf/buf_out\[165\]
sigbuf/buf_in\[167\]
sigbuf/buf_in\[168\]
sigbuf/buf_in\[169\]
sigbuf/buf_out\[169\]
sigbuf/buf_in\[17\]
sigbuf/buf_in\[171\]
sigbuf/buf_in\[172\]
sigbuf/buf_out\[172\]
sigbuf/buf_in\[174\]
sigbuf/buf_in\[175\]
sigbuf/buf_out\[175\]
sigbuf/buf_in\[177\]
sigbuf/buf_in\[178\]
sigbuf/buf_out\[178\]
sigbuf/buf_in\[180\]
sigbuf/buf_in\[18\]
sigbuf/buf_in\[181\]
sigbuf/buf_out\[181\]
sigbuf/buf_in\[183\]
sigbuf/buf_out\[183\]
sigbuf/buf_in\[185\]
sigbuf/buf_out\[185\]
sigbuf/buf_in\[187\]
sigbuf/buf_out\[187\]
sigbuf/buf_in\[189\]
sigbuf/buf_out\[189\]
sigbuf/buf_out\[18\]
sigbuf/buf_in\[191\]
sigbuf/buf_out\[191\]
sigbuf/buf_in\[193\]
sigbuf/buf_out\[193\]
sigbuf/buf_in\[195\]
sigbuf/buf_out\[195\]
sigbuf/buf_in\[20\]
sigbuf/buf_out\[1\]
sigbuf/buf_in\[21\]
sigbuf/buf_in\[22\]
sigbuf/buf_out\[22\]
sigbuf/buf_in\[24\]
sigbuf/buf_in\[25\]
sigbuf/buf_in\[26\]
sigbuf/buf_in\[27\]
sigbuf/buf_in\[28\]
sigbuf/buf_out\[28\]
sigbuf/buf_in\[30\]
sigbuf/buf_out\[2\]
sigbuf/buf_in\[31\]
sigbuf/buf_in\[32\]
sigbuf/buf_in\[33\]
sigbuf/buf_in\[34\]
sigbuf/buf_out\[34\]
sigbuf/buf_in\[36\]
sigbuf/buf_in\[37\]
sigbuf/buf_in\[38\]
sigbuf/buf_in\[39\]
sigbuf/buf_in\[40\]
sigbuf/buf_out\[3\]
sigbuf/buf_out\[40\]
sigbuf/buf_in\[42\]
sigbuf/buf_in\[43\]
sigbuf/buf_in\[44\]
sigbuf/buf_in\[45\]
sigbuf/buf_out\[45\]
sigbuf/buf_in\[47\]
sigbuf/buf_in\[48\]
sigbuf/buf_in\[49\]
sigbuf/buf_in\[50\]
sigbuf/buf_out\[4\]
sigbuf/buf_out\[50\]
sigbuf/buf_in\[52\]
sigbuf/buf_in\[53\]
sigbuf/buf_in\[54\]
sigbuf/buf_out\[54\]
sigbuf/buf_in\[56\]
sigbuf/buf_in\[57\]
sigbuf/buf_in\[58\]
sigbuf/buf_out\[58\]
sigbuf/buf_in\[60\]
sigbuf/buf_out\[5\]
sigbuf/buf_in\[61\]
sigbuf/buf_in\[62\]
sigbuf/buf_out\[62\]
sigbuf/buf_in\[64\]
sigbuf/buf_in\[65\]
sigbuf/buf_in\[66\]
sigbuf/buf_out\[66\]
sigbuf/buf_in\[68\]
sigbuf/buf_in\[69\]
sigbuf/buf_in\[70\]
sigbuf/buf_in\[7\]
sigbuf/buf_out\[70\]
sigbuf/buf_in\[72\]
sigbuf/buf_in\[73\]
sigbuf/buf_in\[74\]
sigbuf/buf_out\[74\]
sigbuf/buf_in\[76\]
sigbuf/buf_in\[77\]
sigbuf/buf_out\[77\]
sigbuf/buf_in\[79\]
sigbuf/buf_in\[80\]
sigbuf/buf_out\[7\]
sigbuf/buf_out\[80\]
sigbuf/buf_in\[82\]
sigbuf/buf_in\[83\]
sigbuf/buf_out\[83\]
sigbuf/buf_in\[85\]
sigbuf/buf_in\[86\]
sigbuf/buf_out\[86\]
sigbuf/buf_in\[88\]
sigbuf/buf_out\[88\]
sigbuf/buf_in\[90\]
sigbuf/buf_in\[9\]
sigbuf/buf_out\[90\]
sigbuf/buf_in\[92\]
sigbuf/buf_out\[92\]
sigbuf/buf_in\[94\]
sigbuf/buf_out\[94\]
sigbuf/buf_out\[95\]
sigbuf/buf_out\[96\]
sigbuf/buf_out\[97\]
sigbuf/buf_out\[98\]
sigbuf/buf_out\[99\]
sigbuf/buf_out\[9\]
gpio_control_in_2\[15\]/_056_
gpio_control_in_2\[15\]/_055_
gpio_control_in_2\[15\]/_054_
gpio_control_in_2\[15\]/_053_
gpio_control_in_2\[15\]/_052_
gpio_control_in_2\[15\]/_051_
gpio_control_in_2\[15\]/_050_
gpio_control_in_2\[15\]/_049_
gpio_control_in_2\[15\]/_048_
gpio_control_in_2\[15\]/_047_
gpio_control_in_2\[15\]/_046_
gpio_control_in_2\[15\]/_057_
gpio_control_in_2\[15\]/gpio_logic_high/gpio_logic1
gpio_control_in_2\[1\]/_056_
gpio_control_in_2\[1\]/_055_
gpio_control_in_2\[1\]/_054_
gpio_control_in_2\[1\]/_053_
gpio_control_in_2\[1\]/_052_
gpio_control_in_2\[1\]/_051_
gpio_control_in_2\[1\]/_050_
gpio_control_in_2\[1\]/_049_
gpio_control_in_2\[1\]/_048_
gpio_control_in_2\[1\]/_047_
gpio_control_in_2\[1\]/_046_
gpio_control_in_2\[1\]/_057_
gpio_control_in_2\[1\]/gpio_logic_high/gpio_logic1
gpio_control_in_2\[2\]/_056_
gpio_control_in_2\[2\]/_055_
gpio_control_in_2\[2\]/_054_
gpio_control_in_2\[2\]/_053_
gpio_control_in_2\[2\]/_052_
gpio_control_in_2\[2\]/_051_
gpio_control_in_2\[2\]/_050_
gpio_control_in_2\[2\]/_049_
gpio_control_in_2\[2\]/_048_
gpio_control_in_2\[2\]/_047_
gpio_control_in_2\[2\]/_046_
gpio_control_in_2\[2\]/_057_
gpio_control_in_2\[2\]/gpio_logic_high/gpio_logic1
gpio_control_in_2\[3\]/_056_
gpio_control_in_2\[3\]/_055_
gpio_control_in_2\[3\]/_054_
gpio_control_in_2\[3\]/_053_
gpio_control_in_2\[3\]/_052_
gpio_control_in_2\[3\]/_051_
gpio_control_in_2\[3\]/_050_
gpio_control_in_2\[3\]/_049_
gpio_control_in_2\[3\]/_048_
gpio_control_in_2\[3\]/_047_
gpio_control_in_2\[3\]/_046_
gpio_control_in_2\[3\]/_057_
gpio_control_in_2\[3\]/gpio_logic_high/gpio_logic1
gpio_control_in_2\[4\]/_056_
gpio_control_in_2\[4\]/_055_
gpio_control_in_2\[4\]/_054_
gpio_control_in_2\[4\]/_053_
gpio_control_in_2\[4\]/_052_
gpio_control_in_2\[4\]/_051_
gpio_control_in_2\[4\]/_050_
gpio_control_in_2\[4\]/_049_
gpio_control_in_2\[4\]/_048_
gpio_control_in_2\[4\]/_047_
gpio_control_in_2\[4\]/_046_
gpio_control_in_2\[4\]/_057_
gpio_control_in_2\[4\]/gpio_logic_high/gpio_logic1
gpio_control_in_2\[5\]/_056_
gpio_control_in_2\[5\]/_055_
gpio_control_in_2\[5\]/_054_
gpio_control_in_2\[5\]/_053_
gpio_control_in_2\[5\]/_052_
gpio_control_in_2\[5\]/_051_
gpio_control_in_2\[5\]/_050_
gpio_control_in_2\[5\]/_049_
gpio_control_in_2\[5\]/_048_
gpio_control_in_2\[5\]/_047_
gpio_control_in_2\[5\]/_046_
gpio_control_in_2\[5\]/_057_
gpio_control_in_2\[5\]/gpio_logic_high/gpio_logic1
gpio_control_in_2\[6\]/_056_
gpio_control_in_2\[6\]/_055_
gpio_control_in_2\[6\]/_054_
gpio_control_in_2\[6\]/_053_
gpio_control_in_2\[6\]/_052_
gpio_control_in_2\[6\]/_051_
gpio_control_in_2\[6\]/_050_
gpio_control_in_2\[6\]/_049_
gpio_control_in_2\[6\]/_048_
gpio_control_in_2\[6\]/_047_
gpio_control_in_2\[6\]/_046_
gpio_control_in_2\[6\]/_057_
gpio_control_in_2\[6\]/gpio_logic_high/gpio_logic1
gpio_control_in_2\[7\]/_056_
gpio_control_in_2\[7\]/_055_
gpio_control_in_2\[7\]/_054_
gpio_control_in_2\[7\]/_053_
gpio_control_in_2\[7\]/_052_
gpio_control_in_2\[7\]/_051_
gpio_control_in_2\[7\]/_050_
gpio_control_in_2\[7\]/_049_
gpio_control_in_2\[7\]/_048_
gpio_control_in_2\[7\]/_047_
gpio_control_in_2\[7\]/_046_
gpio_control_in_2\[7\]/_057_
gpio_control_in_2\[7\]/gpio_logic_high/gpio_logic1
gpio_control_in_2\[8\]/_056_
gpio_control_in_2\[8\]/_055_
gpio_control_in_2\[8\]/_054_
gpio_control_in_2\[8\]/_053_
gpio_control_in_2\[8\]/_052_
gpio_control_in_2\[8\]/_051_
gpio_control_in_2\[8\]/_050_
gpio_control_in_2\[8\]/_049_
gpio_control_in_2\[8\]/_048_
gpio_control_in_2\[8\]/_047_
gpio_control_in_2\[8\]/_046_
gpio_control_in_2\[8\]/_057_
gpio_control_in_2\[8\]/gpio_logic_high/gpio_logic1
gpio_control_in_2\[9\]/_056_
gpio_control_in_2\[9\]/_055_
gpio_control_in_2\[9\]/_054_
gpio_control_in_2\[9\]/_053_
gpio_control_in_2\[9\]/_052_
gpio_control_in_2\[9\]/_051_
gpio_control_in_2\[9\]/_050_
gpio_control_in_2\[9\]/_049_
gpio_control_in_2\[9\]/_048_
gpio_control_in_2\[9\]/_047_
gpio_control_in_2\[9\]/_046_
gpio_control_in_2\[9\]/_057_
gpio_control_in_2\[9\]/gpio_logic_high/gpio_logic1
gpio_defaults_block_0/gpio_defaults_high\[0\]
gpio_defaults_block_0/gpio_defaults_low\[0\]
gpio_defaults_block_0/gpio_defaults_high\[10\]
gpio_defaults_block_0/gpio_defaults_low\[10\]
gpio_defaults_block_0/gpio_defaults_high\[11\]
gpio_defaults_block_0/gpio_defaults_low\[11\]
gpio_defaults_block_0/gpio_defaults_high\[12\]
gpio_defaults_block_0/gpio_defaults_low\[12\]
gpio_defaults_block_0/gpio_defaults_high\[1\]
gpio_defaults_block_0/gpio_defaults_low\[1\]
gpio_defaults_block_0/gpio_defaults_high\[2\]
gpio_defaults_block_0/gpio_defaults_low\[2\]
gpio_defaults_block_0/gpio_defaults_high\[3\]
gpio_defaults_block_0/gpio_defaults_low\[3\]
gpio_defaults_block_0/gpio_defaults_high\[4\]
gpio_defaults_block_0/gpio_defaults_low\[4\]
gpio_defaults_block_0/gpio_defaults_high\[5\]
gpio_defaults_block_0/gpio_defaults_low\[5\]
gpio_defaults_block_0/gpio_defaults_high\[6\]
gpio_defaults_block_0/gpio_defaults_low\[6\]
gpio_defaults_block_0/gpio_defaults_high\[7\]
gpio_defaults_block_0/gpio_defaults_low\[7\]
gpio_defaults_block_0/gpio_defaults_high\[8\]
gpio_defaults_block_0/gpio_defaults_low\[8\]
gpio_defaults_block_0/gpio_defaults_high\[9\]
gpio_defaults_block_0/gpio_defaults_low\[9\]
gpio_defaults_block_1/gpio_defaults_high\[0\]
gpio_defaults_block_1/gpio_defaults_low\[0\]
gpio_defaults_block_1/gpio_defaults_high\[10\]
gpio_defaults_block_1/gpio_defaults_low\[10\]
gpio_defaults_block_1/gpio_defaults_high\[11\]
gpio_defaults_block_1/gpio_defaults_low\[11\]
gpio_defaults_block_1/gpio_defaults_high\[12\]
gpio_defaults_block_1/gpio_defaults_low\[12\]
gpio_defaults_block_1/gpio_defaults_high\[1\]
gpio_defaults_block_1/gpio_defaults_low\[1\]
gpio_defaults_block_1/gpio_defaults_high\[2\]
gpio_defaults_block_1/gpio_defaults_low\[2\]
gpio_defaults_block_1/gpio_defaults_high\[3\]
gpio_defaults_block_1/gpio_defaults_low\[3\]
gpio_defaults_block_1/gpio_defaults_high\[4\]
gpio_defaults_block_1/gpio_defaults_low\[4\]
gpio_defaults_block_1/gpio_defaults_high\[5\]
gpio_defaults_block_1/gpio_defaults_low\[5\]
gpio_defaults_block_1/gpio_defaults_high\[6\]
gpio_defaults_block_1/gpio_defaults_low\[6\]
gpio_defaults_block_1/gpio_defaults_high\[7\]
gpio_defaults_block_1/gpio_defaults_low\[7\]
gpio_defaults_block_1/gpio_defaults_high\[8\]
gpio_defaults_block_1/gpio_defaults_low\[8\]
gpio_defaults_block_1/gpio_defaults_high\[9\]
gpio_defaults_block_1/gpio_defaults_low\[9\]
gpio_defaults_block_10/gpio_defaults_high\[0\]
gpio_defaults_block_10/gpio_defaults_low\[0\]
gpio_defaults_block_10/gpio_defaults_high\[10\]
gpio_defaults_block_10/gpio_defaults_low\[10\]
gpio_defaults_block_10/gpio_defaults_high\[11\]
gpio_defaults_block_10/gpio_defaults_low\[11\]
gpio_defaults_block_10/gpio_defaults_high\[12\]
gpio_defaults_block_10/gpio_defaults_low\[12\]
gpio_defaults_block_10/gpio_defaults_high\[1\]
gpio_defaults_block_10/gpio_defaults_low\[1\]
gpio_defaults_block_10/gpio_defaults_high\[2\]
gpio_defaults_block_10/gpio_defaults_low\[2\]
gpio_defaults_block_10/gpio_defaults_high\[3\]
gpio_defaults_block_10/gpio_defaults_low\[3\]
gpio_defaults_block_10/gpio_defaults_high\[4\]
gpio_defaults_block_10/gpio_defaults_low\[4\]
gpio_defaults_block_10/gpio_defaults_high\[5\]
gpio_defaults_block_10/gpio_defaults_low\[5\]
gpio_defaults_block_10/gpio_defaults_high\[6\]
gpio_defaults_block_10/gpio_defaults_low\[6\]
gpio_defaults_block_10/gpio_defaults_high\[7\]
gpio_defaults_block_10/gpio_defaults_low\[7\]
gpio_defaults_block_10/gpio_defaults_high\[8\]
gpio_defaults_block_10/gpio_defaults_low\[8\]
gpio_defaults_block_10/gpio_defaults_high\[9\]
gpio_defaults_block_10/gpio_defaults_low\[9\]
gpio_defaults_block_11/gpio_defaults_high\[0\]
gpio_defaults_block_11/gpio_defaults_low\[0\]
gpio_defaults_block_11/gpio_defaults_high\[10\]
gpio_defaults_block_11/gpio_defaults_low\[10\]
gpio_defaults_block_11/gpio_defaults_high\[11\]
gpio_defaults_block_11/gpio_defaults_low\[11\]
gpio_defaults_block_11/gpio_defaults_high\[12\]
gpio_defaults_block_11/gpio_defaults_low\[12\]
gpio_defaults_block_11/gpio_defaults_high\[1\]
gpio_defaults_block_11/gpio_defaults_low\[1\]
gpio_defaults_block_11/gpio_defaults_high\[2\]
gpio_defaults_block_11/gpio_defaults_low\[2\]
gpio_defaults_block_11/gpio_defaults_high\[3\]
gpio_defaults_block_11/gpio_defaults_low\[3\]
gpio_defaults_block_11/gpio_defaults_high\[4\]
gpio_defaults_block_11/gpio_defaults_low\[4\]
gpio_defaults_block_11/gpio_defaults_high\[5\]
gpio_defaults_block_11/gpio_defaults_low\[5\]
gpio_defaults_block_11/gpio_defaults_high\[6\]
gpio_defaults_block_11/gpio_defaults_low\[6\]
gpio_defaults_block_11/gpio_defaults_high\[7\]
gpio_defaults_block_11/gpio_defaults_low\[7\]
gpio_defaults_block_11/gpio_defaults_high\[8\]
gpio_defaults_block_11/gpio_defaults_low\[8\]
gpio_defaults_block_11/gpio_defaults_high\[9\]
gpio_defaults_block_11/gpio_defaults_low\[9\]
gpio_defaults_block_12/gpio_defaults_high\[0\]
gpio_defaults_block_12/gpio_defaults_low\[0\]
gpio_defaults_block_12/gpio_defaults_high\[10\]
gpio_defaults_block_12/gpio_defaults_low\[10\]
gpio_defaults_block_12/gpio_defaults_high\[11\]
gpio_defaults_block_12/gpio_defaults_low\[11\]
gpio_defaults_block_12/gpio_defaults_high\[12\]
gpio_defaults_block_12/gpio_defaults_low\[12\]
gpio_defaults_block_12/gpio_defaults_high\[1\]
gpio_defaults_block_12/gpio_defaults_low\[1\]
gpio_defaults_block_12/gpio_defaults_high\[2\]
gpio_defaults_block_12/gpio_defaults_low\[2\]
gpio_defaults_block_12/gpio_defaults_high\[3\]
gpio_defaults_block_12/gpio_defaults_low\[3\]
gpio_defaults_block_12/gpio_defaults_high\[4\]
gpio_defaults_block_12/gpio_defaults_low\[4\]
gpio_defaults_block_12/gpio_defaults_high\[5\]
gpio_defaults_block_12/gpio_defaults_low\[5\]
gpio_defaults_block_12/gpio_defaults_high\[6\]
gpio_defaults_block_12/gpio_defaults_low\[6\]
gpio_defaults_block_12/gpio_defaults_high\[7\]
gpio_defaults_block_12/gpio_defaults_low\[7\]
gpio_defaults_block_12/gpio_defaults_high\[8\]
gpio_defaults_block_12/gpio_defaults_low\[8\]
gpio_defaults_block_12/gpio_defaults_high\[9\]
gpio_defaults_block_12/gpio_defaults_low\[9\]
gpio_defaults_block_13/gpio_defaults_high\[0\]
gpio_defaults_block_13/gpio_defaults_low\[0\]
gpio_defaults_block_13/gpio_defaults_high\[10\]
gpio_defaults_block_13/gpio_defaults_low\[10\]
gpio_defaults_block_13/gpio_defaults_high\[11\]
gpio_defaults_block_13/gpio_defaults_low\[11\]
gpio_defaults_block_13/gpio_defaults_high\[12\]
gpio_defaults_block_13/gpio_defaults_low\[12\]
gpio_defaults_block_13/gpio_defaults_high\[1\]
gpio_defaults_block_13/gpio_defaults_low\[1\]
gpio_defaults_block_13/gpio_defaults_high\[2\]
gpio_defaults_block_13/gpio_defaults_low\[2\]
gpio_defaults_block_13/gpio_defaults_high\[3\]
gpio_defaults_block_13/gpio_defaults_low\[3\]
gpio_defaults_block_13/gpio_defaults_high\[4\]
gpio_defaults_block_13/gpio_defaults_low\[4\]
gpio_defaults_block_13/gpio_defaults_high\[5\]
gpio_defaults_block_13/gpio_defaults_low\[5\]
gpio_defaults_block_13/gpio_defaults_high\[6\]
gpio_defaults_block_13/gpio_defaults_low\[6\]
gpio_defaults_block_13/gpio_defaults_high\[7\]
gpio_defaults_block_13/gpio_defaults_low\[7\]
gpio_defaults_block_13/gpio_defaults_high\[8\]
gpio_defaults_block_13/gpio_defaults_low\[8\]
gpio_defaults_block_13/gpio_defaults_high\[9\]
gpio_defaults_block_13/gpio_defaults_low\[9\]
gpio_defaults_block_14/gpio_defaults_high\[0\]
gpio_defaults_block_14/gpio_defaults_low\[0\]
gpio_defaults_block_14/gpio_defaults_high\[10\]
gpio_defaults_block_14/gpio_defaults_low\[10\]
gpio_defaults_block_14/gpio_defaults_high\[11\]
gpio_defaults_block_14/gpio_defaults_low\[11\]
gpio_defaults_block_14/gpio_defaults_high\[12\]
gpio_defaults_block_14/gpio_defaults_low\[12\]
gpio_defaults_block_14/gpio_defaults_high\[1\]
gpio_defaults_block_14/gpio_defaults_low\[1\]
gpio_defaults_block_14/gpio_defaults_high\[2\]
gpio_defaults_block_14/gpio_defaults_low\[2\]
gpio_defaults_block_14/gpio_defaults_high\[3\]
gpio_defaults_block_14/gpio_defaults_low\[3\]
gpio_defaults_block_14/gpio_defaults_high\[4\]
gpio_defaults_block_14/gpio_defaults_low\[4\]
gpio_defaults_block_14/gpio_defaults_high\[5\]
gpio_defaults_block_14/gpio_defaults_low\[5\]
gpio_defaults_block_14/gpio_defaults_high\[6\]
gpio_defaults_block_14/gpio_defaults_low\[6\]
gpio_defaults_block_14/gpio_defaults_high\[7\]
gpio_defaults_block_14/gpio_defaults_low\[7\]
gpio_defaults_block_14/gpio_defaults_high\[8\]
gpio_defaults_block_14/gpio_defaults_low\[8\]
gpio_defaults_block_14/gpio_defaults_high\[9\]
gpio_defaults_block_14/gpio_defaults_low\[9\]
gpio_defaults_block_15/gpio_defaults_high\[0\]
gpio_defaults_block_15/gpio_defaults_low\[0\]
gpio_defaults_block_15/gpio_defaults_high\[10\]
gpio_defaults_block_15/gpio_defaults_low\[10\]
gpio_defaults_block_15/gpio_defaults_high\[11\]
gpio_defaults_block_15/gpio_defaults_low\[11\]
gpio_defaults_block_15/gpio_defaults_high\[12\]
gpio_defaults_block_15/gpio_defaults_low\[12\]
gpio_defaults_block_15/gpio_defaults_high\[1\]
gpio_defaults_block_15/gpio_defaults_low\[1\]
gpio_defaults_block_15/gpio_defaults_high\[2\]
gpio_defaults_block_15/gpio_defaults_low\[2\]
gpio_defaults_block_15/gpio_defaults_high\[3\]
gpio_defaults_block_15/gpio_defaults_low\[3\]
gpio_defaults_block_15/gpio_defaults_high\[4\]
gpio_defaults_block_15/gpio_defaults_low\[4\]
gpio_defaults_block_15/gpio_defaults_high\[5\]
gpio_defaults_block_15/gpio_defaults_low\[5\]
gpio_defaults_block_15/gpio_defaults_high\[6\]
gpio_defaults_block_15/gpio_defaults_low\[6\]
gpio_defaults_block_15/gpio_defaults_high\[7\]
gpio_defaults_block_15/gpio_defaults_low\[7\]
gpio_defaults_block_15/gpio_defaults_high\[8\]
gpio_defaults_block_15/gpio_defaults_low\[8\]
gpio_defaults_block_15/gpio_defaults_high\[9\]
gpio_defaults_block_15/gpio_defaults_low\[9\]
gpio_defaults_block_16/gpio_defaults_high\[0\]
gpio_defaults_block_16/gpio_defaults_low\[0\]
gpio_defaults_block_16/gpio_defaults_high\[10\]
gpio_defaults_block_16/gpio_defaults_low\[10\]
gpio_defaults_block_16/gpio_defaults_high\[11\]
gpio_defaults_block_16/gpio_defaults_low\[11\]
gpio_defaults_block_16/gpio_defaults_high\[12\]
gpio_defaults_block_16/gpio_defaults_low\[12\]
gpio_defaults_block_16/gpio_defaults_high\[1\]
gpio_defaults_block_16/gpio_defaults_low\[1\]
gpio_defaults_block_16/gpio_defaults_high\[2\]
gpio_defaults_block_16/gpio_defaults_low\[2\]
gpio_defaults_block_16/gpio_defaults_high\[3\]
gpio_defaults_block_16/gpio_defaults_low\[3\]
gpio_defaults_block_16/gpio_defaults_high\[4\]
gpio_defaults_block_16/gpio_defaults_low\[4\]
gpio_defaults_block_16/gpio_defaults_high\[5\]
gpio_defaults_block_16/gpio_defaults_low\[5\]
gpio_defaults_block_16/gpio_defaults_high\[6\]
gpio_defaults_block_16/gpio_defaults_low\[6\]
gpio_defaults_block_16/gpio_defaults_high\[7\]
gpio_defaults_block_16/gpio_defaults_low\[7\]
gpio_defaults_block_16/gpio_defaults_high\[8\]
gpio_defaults_block_16/gpio_defaults_low\[8\]
gpio_defaults_block_16/gpio_defaults_high\[9\]
gpio_defaults_block_16/gpio_defaults_low\[9\]
gpio_defaults_block_17/gpio_defaults_high\[0\]
gpio_defaults_block_17/gpio_defaults_low\[0\]
gpio_defaults_block_17/gpio_defaults_high\[10\]
gpio_defaults_block_17/gpio_defaults_low\[10\]
gpio_defaults_block_17/gpio_defaults_high\[11\]
gpio_defaults_block_17/gpio_defaults_low\[11\]
gpio_defaults_block_17/gpio_defaults_high\[12\]
gpio_defaults_block_17/gpio_defaults_low\[12\]
gpio_defaults_block_17/gpio_defaults_high\[1\]
gpio_defaults_block_17/gpio_defaults_low\[1\]
gpio_defaults_block_17/gpio_defaults_high\[2\]
gpio_defaults_block_17/gpio_defaults_low\[2\]
gpio_defaults_block_17/gpio_defaults_high\[3\]
gpio_defaults_block_17/gpio_defaults_low\[3\]
gpio_defaults_block_17/gpio_defaults_high\[4\]
gpio_defaults_block_17/gpio_defaults_low\[4\]
gpio_defaults_block_17/gpio_defaults_high\[5\]
gpio_defaults_block_17/gpio_defaults_low\[5\]
gpio_defaults_block_17/gpio_defaults_high\[6\]
gpio_defaults_block_17/gpio_defaults_low\[6\]
gpio_defaults_block_17/gpio_defaults_high\[7\]
gpio_defaults_block_17/gpio_defaults_low\[7\]
gpio_defaults_block_17/gpio_defaults_high\[8\]
gpio_defaults_block_17/gpio_defaults_low\[8\]
gpio_defaults_block_17/gpio_defaults_high\[9\]
gpio_defaults_block_17/gpio_defaults_low\[9\]
gpio_defaults_block_18/gpio_defaults_high\[0\]
gpio_defaults_block_18/gpio_defaults_low\[0\]
gpio_defaults_block_18/gpio_defaults_high\[10\]
gpio_defaults_block_18/gpio_defaults_low\[10\]
gpio_defaults_block_18/gpio_defaults_high\[11\]
gpio_defaults_block_18/gpio_defaults_low\[11\]
gpio_defaults_block_18/gpio_defaults_high\[12\]
gpio_defaults_block_18/gpio_defaults_low\[12\]
gpio_defaults_block_18/gpio_defaults_high\[1\]
gpio_defaults_block_18/gpio_defaults_low\[1\]
gpio_defaults_block_18/gpio_defaults_high\[2\]
gpio_defaults_block_18/gpio_defaults_low\[2\]
gpio_defaults_block_18/gpio_defaults_high\[3\]
gpio_defaults_block_18/gpio_defaults_low\[3\]
gpio_defaults_block_18/gpio_defaults_high\[4\]
gpio_defaults_block_18/gpio_defaults_low\[4\]
gpio_defaults_block_18/gpio_defaults_high\[5\]
gpio_defaults_block_18/gpio_defaults_low\[5\]
gpio_defaults_block_18/gpio_defaults_high\[6\]
gpio_defaults_block_18/gpio_defaults_low\[6\]
gpio_defaults_block_18/gpio_defaults_high\[7\]
gpio_defaults_block_18/gpio_defaults_low\[7\]
gpio_defaults_block_18/gpio_defaults_high\[8\]
gpio_defaults_block_18/gpio_defaults_low\[8\]
gpio_defaults_block_18/gpio_defaults_high\[9\]
gpio_defaults_block_18/gpio_defaults_low\[9\]
gpio_defaults_block_19/gpio_defaults_high\[0\]
gpio_defaults_block_19/gpio_defaults_low\[0\]
gpio_defaults_block_19/gpio_defaults_high\[10\]
gpio_defaults_block_19/gpio_defaults_low\[10\]
gpio_defaults_block_19/gpio_defaults_high\[11\]
gpio_defaults_block_19/gpio_defaults_low\[11\]
gpio_defaults_block_19/gpio_defaults_high\[12\]
gpio_defaults_block_19/gpio_defaults_low\[12\]
gpio_defaults_block_19/gpio_defaults_high\[1\]
gpio_defaults_block_19/gpio_defaults_low\[1\]
gpio_defaults_block_19/gpio_defaults_high\[2\]
gpio_defaults_block_19/gpio_defaults_low\[2\]
gpio_defaults_block_19/gpio_defaults_high\[3\]
gpio_defaults_block_19/gpio_defaults_low\[3\]
gpio_defaults_block_19/gpio_defaults_high\[4\]
gpio_defaults_block_19/gpio_defaults_low\[4\]
gpio_defaults_block_19/gpio_defaults_high\[5\]
gpio_defaults_block_19/gpio_defaults_low\[5\]
gpio_defaults_block_19/gpio_defaults_high\[6\]
gpio_defaults_block_19/gpio_defaults_low\[6\]
gpio_defaults_block_19/gpio_defaults_high\[7\]
gpio_defaults_block_19/gpio_defaults_low\[7\]
gpio_defaults_block_19/gpio_defaults_high\[8\]
gpio_defaults_block_19/gpio_defaults_low\[8\]
gpio_defaults_block_19/gpio_defaults_high\[9\]
gpio_defaults_block_19/gpio_defaults_low\[9\]
gpio_defaults_block_2/gpio_defaults_high\[0\]
gpio_defaults_block_2/gpio_defaults_low\[0\]
gpio_defaults_block_2/gpio_defaults_high\[10\]
gpio_defaults_block_2/gpio_defaults_low\[10\]
gpio_defaults_block_2/gpio_defaults_high\[11\]
gpio_defaults_block_2/gpio_defaults_low\[11\]
gpio_defaults_block_2/gpio_defaults_high\[12\]
gpio_defaults_block_2/gpio_defaults_low\[12\]
gpio_defaults_block_2/gpio_defaults_high\[1\]
gpio_defaults_block_2/gpio_defaults_low\[1\]
gpio_defaults_block_2/gpio_defaults_high\[2\]
gpio_defaults_block_2/gpio_defaults_low\[2\]
gpio_defaults_block_2/gpio_defaults_high\[3\]
gpio_defaults_block_2/gpio_defaults_low\[3\]
gpio_defaults_block_2/gpio_defaults_high\[4\]
gpio_defaults_block_2/gpio_defaults_low\[4\]
gpio_defaults_block_2/gpio_defaults_high\[5\]
gpio_defaults_block_2/gpio_defaults_low\[5\]
gpio_defaults_block_2/gpio_defaults_high\[6\]
gpio_defaults_block_2/gpio_defaults_low\[6\]
gpio_defaults_block_2/gpio_defaults_high\[7\]
gpio_defaults_block_2/gpio_defaults_low\[7\]
gpio_defaults_block_2/gpio_defaults_high\[8\]
gpio_defaults_block_2/gpio_defaults_low\[8\]
gpio_defaults_block_2/gpio_defaults_high\[9\]
gpio_defaults_block_2/gpio_defaults_low\[9\]
gpio_defaults_block_20/gpio_defaults_high\[0\]
gpio_defaults_block_20/gpio_defaults_low\[0\]
gpio_defaults_block_20/gpio_defaults_high\[10\]
gpio_defaults_block_20/gpio_defaults_low\[10\]
gpio_defaults_block_20/gpio_defaults_high\[11\]
gpio_defaults_block_20/gpio_defaults_low\[11\]
gpio_defaults_block_20/gpio_defaults_high\[12\]
gpio_defaults_block_20/gpio_defaults_low\[12\]
gpio_defaults_block_20/gpio_defaults_high\[1\]
gpio_defaults_block_20/gpio_defaults_low\[1\]
gpio_defaults_block_20/gpio_defaults_high\[2\]
gpio_defaults_block_20/gpio_defaults_low\[2\]
gpio_defaults_block_20/gpio_defaults_high\[3\]
gpio_defaults_block_20/gpio_defaults_low\[3\]
gpio_defaults_block_20/gpio_defaults_high\[4\]
gpio_defaults_block_20/gpio_defaults_low\[4\]
gpio_defaults_block_20/gpio_defaults_high\[5\]
gpio_defaults_block_20/gpio_defaults_low\[5\]
gpio_defaults_block_20/gpio_defaults_high\[6\]
gpio_defaults_block_20/gpio_defaults_low\[6\]
gpio_defaults_block_20/gpio_defaults_high\[7\]
gpio_defaults_block_20/gpio_defaults_low\[7\]
gpio_defaults_block_20/gpio_defaults_high\[8\]
gpio_defaults_block_20/gpio_defaults_low\[8\]
gpio_defaults_block_20/gpio_defaults_high\[9\]
gpio_defaults_block_20/gpio_defaults_low\[9\]
gpio_defaults_block_21/gpio_defaults_high\[0\]
gpio_defaults_block_21/gpio_defaults_low\[0\]
gpio_defaults_block_21/gpio_defaults_high\[10\]
gpio_defaults_block_21/gpio_defaults_low\[10\]
gpio_defaults_block_21/gpio_defaults_high\[11\]
gpio_defaults_block_21/gpio_defaults_low\[11\]
gpio_defaults_block_21/gpio_defaults_high\[12\]
gpio_defaults_block_21/gpio_defaults_low\[12\]
gpio_defaults_block_21/gpio_defaults_high\[1\]
gpio_defaults_block_21/gpio_defaults_low\[1\]
gpio_defaults_block_21/gpio_defaults_high\[2\]
gpio_defaults_block_21/gpio_defaults_low\[2\]
gpio_defaults_block_21/gpio_defaults_high\[3\]
gpio_defaults_block_21/gpio_defaults_low\[3\]
gpio_defaults_block_21/gpio_defaults_high\[4\]
gpio_defaults_block_21/gpio_defaults_low\[4\]
gpio_defaults_block_21/gpio_defaults_high\[5\]
gpio_defaults_block_21/gpio_defaults_low\[5\]
gpio_defaults_block_21/gpio_defaults_high\[6\]
gpio_defaults_block_21/gpio_defaults_low\[6\]
gpio_defaults_block_21/gpio_defaults_high\[7\]
gpio_defaults_block_21/gpio_defaults_low\[7\]
gpio_defaults_block_21/gpio_defaults_high\[8\]
gpio_defaults_block_21/gpio_defaults_low\[8\]
gpio_defaults_block_21/gpio_defaults_high\[9\]
gpio_defaults_block_21/gpio_defaults_low\[9\]
gpio_defaults_block_22/gpio_defaults_high\[0\]
gpio_defaults_block_22/gpio_defaults_low\[0\]
gpio_defaults_block_22/gpio_defaults_high\[10\]
gpio_defaults_block_22/gpio_defaults_low\[10\]
gpio_defaults_block_22/gpio_defaults_high\[11\]
gpio_defaults_block_22/gpio_defaults_low\[11\]
gpio_defaults_block_22/gpio_defaults_high\[12\]
gpio_defaults_block_22/gpio_defaults_low\[12\]
gpio_defaults_block_22/gpio_defaults_high\[1\]
gpio_defaults_block_22/gpio_defaults_low\[1\]
gpio_defaults_block_22/gpio_defaults_high\[2\]
gpio_defaults_block_22/gpio_defaults_low\[2\]
gpio_defaults_block_22/gpio_defaults_high\[3\]
gpio_defaults_block_22/gpio_defaults_low\[3\]
gpio_defaults_block_22/gpio_defaults_high\[4\]
gpio_defaults_block_22/gpio_defaults_low\[4\]
gpio_defaults_block_22/gpio_defaults_high\[5\]
gpio_defaults_block_22/gpio_defaults_low\[5\]
gpio_defaults_block_22/gpio_defaults_high\[6\]
gpio_defaults_block_22/gpio_defaults_low\[6\]
gpio_defaults_block_22/gpio_defaults_high\[7\]
gpio_defaults_block_22/gpio_defaults_low\[7\]
gpio_defaults_block_22/gpio_defaults_high\[8\]
gpio_defaults_block_22/gpio_defaults_low\[8\]
gpio_defaults_block_22/gpio_defaults_high\[9\]
gpio_defaults_block_22/gpio_defaults_low\[9\]
gpio_defaults_block_23/gpio_defaults_high\[0\]
gpio_defaults_block_23/gpio_defaults_low\[0\]
gpio_defaults_block_23/gpio_defaults_high\[10\]
gpio_defaults_block_23/gpio_defaults_low\[10\]
gpio_defaults_block_23/gpio_defaults_high\[11\]
gpio_defaults_block_23/gpio_defaults_low\[11\]
gpio_defaults_block_23/gpio_defaults_high\[12\]
gpio_defaults_block_23/gpio_defaults_low\[12\]
gpio_defaults_block_23/gpio_defaults_high\[1\]
gpio_defaults_block_23/gpio_defaults_low\[1\]
gpio_defaults_block_23/gpio_defaults_high\[2\]
gpio_defaults_block_23/gpio_defaults_low\[2\]
gpio_defaults_block_23/gpio_defaults_high\[3\]
gpio_defaults_block_23/gpio_defaults_low\[3\]
gpio_defaults_block_23/gpio_defaults_high\[4\]
gpio_defaults_block_23/gpio_defaults_low\[4\]
gpio_defaults_block_23/gpio_defaults_high\[5\]
gpio_defaults_block_23/gpio_defaults_low\[5\]
gpio_defaults_block_23/gpio_defaults_high\[6\]
gpio_defaults_block_23/gpio_defaults_low\[6\]
gpio_defaults_block_23/gpio_defaults_high\[7\]
gpio_defaults_block_23/gpio_defaults_low\[7\]
gpio_defaults_block_23/gpio_defaults_high\[8\]
gpio_defaults_block_23/gpio_defaults_low\[8\]
gpio_defaults_block_23/gpio_defaults_high\[9\]
gpio_defaults_block_23/gpio_defaults_low\[9\]
gpio_defaults_block_24/gpio_defaults_high\[0\]
gpio_defaults_block_24/gpio_defaults_low\[0\]
gpio_defaults_block_24/gpio_defaults_high\[10\]
gpio_defaults_block_24/gpio_defaults_low\[10\]
gpio_defaults_block_24/gpio_defaults_high\[11\]
gpio_defaults_block_24/gpio_defaults_low\[11\]
gpio_defaults_block_24/gpio_defaults_high\[12\]
gpio_defaults_block_24/gpio_defaults_low\[12\]
gpio_defaults_block_24/gpio_defaults_high\[1\]
gpio_defaults_block_24/gpio_defaults_low\[1\]
gpio_defaults_block_24/gpio_defaults_high\[2\]
gpio_defaults_block_24/gpio_defaults_low\[2\]
gpio_defaults_block_24/gpio_defaults_high\[3\]
gpio_defaults_block_24/gpio_defaults_low\[3\]
gpio_defaults_block_24/gpio_defaults_high\[4\]
gpio_defaults_block_24/gpio_defaults_low\[4\]
gpio_defaults_block_24/gpio_defaults_high\[5\]
gpio_defaults_block_24/gpio_defaults_low\[5\]
gpio_defaults_block_24/gpio_defaults_high\[6\]
gpio_defaults_block_24/gpio_defaults_low\[6\]
gpio_defaults_block_24/gpio_defaults_high\[7\]
gpio_defaults_block_24/gpio_defaults_low\[7\]
gpio_defaults_block_24/gpio_defaults_high\[8\]
gpio_defaults_block_24/gpio_defaults_low\[8\]
gpio_defaults_block_24/gpio_defaults_high\[9\]
gpio_defaults_block_24/gpio_defaults_low\[9\]
gpio_defaults_block_25/gpio_defaults_high\[0\]
gpio_defaults_block_25/gpio_defaults_low\[0\]
gpio_defaults_block_25/gpio_defaults_high\[10\]
gpio_defaults_block_25/gpio_defaults_low\[10\]
gpio_defaults_block_25/gpio_defaults_high\[11\]
gpio_defaults_block_25/gpio_defaults_low\[11\]
gpio_defaults_block_25/gpio_defaults_high\[12\]
gpio_defaults_block_25/gpio_defaults_low\[12\]
gpio_defaults_block_25/gpio_defaults_high\[1\]
gpio_defaults_block_25/gpio_defaults_low\[1\]
gpio_defaults_block_25/gpio_defaults_high\[2\]
gpio_defaults_block_25/gpio_defaults_low\[2\]
gpio_defaults_block_25/gpio_defaults_high\[3\]
gpio_defaults_block_25/gpio_defaults_low\[3\]
gpio_defaults_block_25/gpio_defaults_high\[4\]
gpio_defaults_block_25/gpio_defaults_low\[4\]
gpio_defaults_block_25/gpio_defaults_high\[5\]
gpio_defaults_block_25/gpio_defaults_low\[5\]
gpio_defaults_block_25/gpio_defaults_high\[6\]
gpio_defaults_block_25/gpio_defaults_low\[6\]
gpio_defaults_block_25/gpio_defaults_high\[7\]
gpio_defaults_block_25/gpio_defaults_low\[7\]
gpio_defaults_block_25/gpio_defaults_high\[8\]
gpio_defaults_block_25/gpio_defaults_low\[8\]
gpio_defaults_block_25/gpio_defaults_high\[9\]
gpio_defaults_block_25/gpio_defaults_low\[9\]
gpio_defaults_block_26/gpio_defaults_high\[0\]
gpio_defaults_block_26/gpio_defaults_low\[0\]
gpio_defaults_block_26/gpio_defaults_high\[10\]
gpio_defaults_block_26/gpio_defaults_low\[10\]
gpio_defaults_block_26/gpio_defaults_high\[11\]
gpio_defaults_block_26/gpio_defaults_low\[11\]
gpio_defaults_block_26/gpio_defaults_high\[12\]
gpio_defaults_block_26/gpio_defaults_low\[12\]
gpio_defaults_block_26/gpio_defaults_high\[1\]
gpio_defaults_block_26/gpio_defaults_low\[1\]
gpio_defaults_block_26/gpio_defaults_high\[2\]
gpio_defaults_block_26/gpio_defaults_low\[2\]
gpio_defaults_block_26/gpio_defaults_high\[3\]
gpio_defaults_block_26/gpio_defaults_low\[3\]
gpio_defaults_block_26/gpio_defaults_high\[4\]
gpio_defaults_block_26/gpio_defaults_low\[4\]
gpio_defaults_block_26/gpio_defaults_high\[5\]
gpio_defaults_block_26/gpio_defaults_low\[5\]
gpio_defaults_block_26/gpio_defaults_high\[6\]
gpio_defaults_block_26/gpio_defaults_low\[6\]
gpio_defaults_block_26/gpio_defaults_high\[7\]
gpio_defaults_block_26/gpio_defaults_low\[7\]
gpio_defaults_block_26/gpio_defaults_high\[8\]
gpio_defaults_block_26/gpio_defaults_low\[8\]
gpio_defaults_block_26/gpio_defaults_high\[9\]
gpio_defaults_block_26/gpio_defaults_low\[9\]
gpio_defaults_block_27/gpio_defaults_high\[0\]
gpio_defaults_block_27/gpio_defaults_low\[0\]
gpio_defaults_block_27/gpio_defaults_high\[10\]
gpio_defaults_block_27/gpio_defaults_low\[10\]
gpio_defaults_block_27/gpio_defaults_high\[11\]
gpio_defaults_block_27/gpio_defaults_low\[11\]
gpio_defaults_block_27/gpio_defaults_high\[12\]
gpio_defaults_block_27/gpio_defaults_low\[12\]
gpio_defaults_block_27/gpio_defaults_high\[1\]
gpio_defaults_block_27/gpio_defaults_low\[1\]
gpio_defaults_block_27/gpio_defaults_high\[2\]
gpio_defaults_block_27/gpio_defaults_low\[2\]
gpio_defaults_block_27/gpio_defaults_high\[3\]
gpio_defaults_block_27/gpio_defaults_low\[3\]
gpio_defaults_block_27/gpio_defaults_high\[4\]
gpio_defaults_block_27/gpio_defaults_low\[4\]
gpio_defaults_block_27/gpio_defaults_high\[5\]
gpio_defaults_block_27/gpio_defaults_low\[5\]
gpio_defaults_block_27/gpio_defaults_high\[6\]
gpio_defaults_block_27/gpio_defaults_low\[6\]
gpio_defaults_block_27/gpio_defaults_high\[7\]
gpio_defaults_block_27/gpio_defaults_low\[7\]
gpio_defaults_block_27/gpio_defaults_high\[8\]
gpio_defaults_block_27/gpio_defaults_low\[8\]
gpio_defaults_block_27/gpio_defaults_high\[9\]
gpio_defaults_block_27/gpio_defaults_low\[9\]
gpio_defaults_block_28/gpio_defaults_high\[0\]
gpio_defaults_block_28/gpio_defaults_low\[0\]
gpio_defaults_block_28/gpio_defaults_high\[10\]
gpio_defaults_block_28/gpio_defaults_low\[10\]
gpio_defaults_block_28/gpio_defaults_high\[11\]
gpio_defaults_block_28/gpio_defaults_low\[11\]
gpio_defaults_block_28/gpio_defaults_high\[12\]
gpio_defaults_block_28/gpio_defaults_low\[12\]
gpio_defaults_block_28/gpio_defaults_high\[1\]
gpio_defaults_block_28/gpio_defaults_low\[1\]
gpio_defaults_block_28/gpio_defaults_high\[2\]
gpio_defaults_block_28/gpio_defaults_low\[2\]
gpio_defaults_block_28/gpio_defaults_high\[3\]
gpio_defaults_block_28/gpio_defaults_low\[3\]
gpio_defaults_block_28/gpio_defaults_high\[4\]
gpio_defaults_block_28/gpio_defaults_low\[4\]
gpio_defaults_block_28/gpio_defaults_high\[5\]
gpio_defaults_block_28/gpio_defaults_low\[5\]
gpio_defaults_block_28/gpio_defaults_high\[6\]
gpio_defaults_block_28/gpio_defaults_low\[6\]
gpio_defaults_block_28/gpio_defaults_high\[7\]
gpio_defaults_block_28/gpio_defaults_low\[7\]
gpio_defaults_block_28/gpio_defaults_high\[8\]
gpio_defaults_block_28/gpio_defaults_low\[8\]
gpio_defaults_block_28/gpio_defaults_high\[9\]
gpio_defaults_block_28/gpio_defaults_low\[9\]
gpio_defaults_block_29/gpio_defaults_high\[0\]
gpio_defaults_block_29/gpio_defaults_low\[0\]
gpio_defaults_block_29/gpio_defaults_high\[10\]
gpio_defaults_block_29/gpio_defaults_low\[10\]
gpio_defaults_block_29/gpio_defaults_high\[11\]
gpio_defaults_block_29/gpio_defaults_low\[11\]
gpio_defaults_block_29/gpio_defaults_high\[12\]
gpio_defaults_block_29/gpio_defaults_low\[12\]
gpio_defaults_block_29/gpio_defaults_high\[1\]
gpio_defaults_block_29/gpio_defaults_low\[1\]
gpio_defaults_block_29/gpio_defaults_high\[2\]
gpio_defaults_block_29/gpio_defaults_low\[2\]
gpio_defaults_block_29/gpio_defaults_high\[3\]
gpio_defaults_block_29/gpio_defaults_low\[3\]
gpio_defaults_block_29/gpio_defaults_high\[4\]
gpio_defaults_block_29/gpio_defaults_low\[4\]
gpio_defaults_block_29/gpio_defaults_high\[5\]
gpio_defaults_block_29/gpio_defaults_low\[5\]
gpio_defaults_block_29/gpio_defaults_high\[6\]
gpio_defaults_block_29/gpio_defaults_low\[6\]
gpio_defaults_block_29/gpio_defaults_high\[7\]
gpio_defaults_block_29/gpio_defaults_low\[7\]
gpio_defaults_block_29/gpio_defaults_high\[8\]
gpio_defaults_block_29/gpio_defaults_low\[8\]
gpio_defaults_block_29/gpio_defaults_high\[9\]
gpio_defaults_block_29/gpio_defaults_low\[9\]
gpio_defaults_block_3/gpio_defaults_high\[0\]
gpio_defaults_block_3/gpio_defaults_low\[0\]
gpio_defaults_block_3/gpio_defaults_high\[10\]
gpio_defaults_block_3/gpio_defaults_low\[10\]
gpio_defaults_block_3/gpio_defaults_high\[11\]
gpio_defaults_block_3/gpio_defaults_low\[11\]
gpio_defaults_block_3/gpio_defaults_high\[12\]
gpio_defaults_block_3/gpio_defaults_low\[12\]
gpio_defaults_block_3/gpio_defaults_high\[1\]
gpio_defaults_block_3/gpio_defaults_low\[1\]
gpio_defaults_block_3/gpio_defaults_high\[2\]
gpio_defaults_block_3/gpio_defaults_low\[2\]
gpio_defaults_block_3/gpio_defaults_high\[3\]
gpio_defaults_block_3/gpio_defaults_low\[3\]
gpio_defaults_block_3/gpio_defaults_high\[4\]
gpio_defaults_block_3/gpio_defaults_low\[4\]
gpio_defaults_block_3/gpio_defaults_high\[5\]
gpio_defaults_block_3/gpio_defaults_low\[5\]
gpio_defaults_block_3/gpio_defaults_high\[6\]
gpio_defaults_block_3/gpio_defaults_low\[6\]
gpio_defaults_block_3/gpio_defaults_high\[7\]
gpio_defaults_block_3/gpio_defaults_low\[7\]
gpio_defaults_block_3/gpio_defaults_high\[8\]
gpio_defaults_block_3/gpio_defaults_low\[8\]
gpio_defaults_block_3/gpio_defaults_high\[9\]
gpio_defaults_block_3/gpio_defaults_low\[9\]
gpio_defaults_block_30/gpio_defaults_high\[0\]
gpio_defaults_block_30/gpio_defaults_low\[0\]
gpio_defaults_block_30/gpio_defaults_high\[10\]
gpio_defaults_block_30/gpio_defaults_low\[10\]
gpio_defaults_block_30/gpio_defaults_high\[11\]
gpio_defaults_block_30/gpio_defaults_low\[11\]
gpio_defaults_block_30/gpio_defaults_high\[12\]
gpio_defaults_block_30/gpio_defaults_low\[12\]
gpio_defaults_block_30/gpio_defaults_high\[1\]
gpio_defaults_block_30/gpio_defaults_low\[1\]
gpio_defaults_block_30/gpio_defaults_high\[2\]
gpio_defaults_block_30/gpio_defaults_low\[2\]
gpio_defaults_block_30/gpio_defaults_high\[3\]
gpio_defaults_block_30/gpio_defaults_low\[3\]
gpio_defaults_block_30/gpio_defaults_high\[4\]
gpio_defaults_block_30/gpio_defaults_low\[4\]
gpio_defaults_block_30/gpio_defaults_high\[5\]
gpio_defaults_block_30/gpio_defaults_low\[5\]
gpio_defaults_block_30/gpio_defaults_high\[6\]
gpio_defaults_block_30/gpio_defaults_low\[6\]
gpio_defaults_block_30/gpio_defaults_high\[7\]
gpio_defaults_block_30/gpio_defaults_low\[7\]
gpio_defaults_block_30/gpio_defaults_high\[8\]
gpio_defaults_block_30/gpio_defaults_low\[8\]
gpio_defaults_block_30/gpio_defaults_high\[9\]
gpio_defaults_block_30/gpio_defaults_low\[9\]
gpio_defaults_block_31/gpio_defaults_high\[0\]
gpio_defaults_block_31/gpio_defaults_low\[0\]
gpio_defaults_block_31/gpio_defaults_high\[10\]
gpio_defaults_block_31/gpio_defaults_low\[10\]
gpio_defaults_block_31/gpio_defaults_high\[11\]
gpio_defaults_block_31/gpio_defaults_low\[11\]
gpio_defaults_block_31/gpio_defaults_high\[12\]
gpio_defaults_block_31/gpio_defaults_low\[12\]
gpio_defaults_block_31/gpio_defaults_high\[1\]
gpio_defaults_block_31/gpio_defaults_low\[1\]
gpio_defaults_block_31/gpio_defaults_high\[2\]
gpio_defaults_block_31/gpio_defaults_low\[2\]
gpio_defaults_block_31/gpio_defaults_high\[3\]
gpio_defaults_block_31/gpio_defaults_low\[3\]
gpio_defaults_block_31/gpio_defaults_high\[4\]
gpio_defaults_block_31/gpio_defaults_low\[4\]
gpio_defaults_block_31/gpio_defaults_high\[5\]
gpio_defaults_block_31/gpio_defaults_low\[5\]
gpio_defaults_block_31/gpio_defaults_high\[6\]
gpio_defaults_block_31/gpio_defaults_low\[6\]
gpio_defaults_block_31/gpio_defaults_high\[7\]
gpio_defaults_block_31/gpio_defaults_low\[7\]
gpio_defaults_block_31/gpio_defaults_high\[8\]
gpio_defaults_block_31/gpio_defaults_low\[8\]
gpio_defaults_block_31/gpio_defaults_high\[9\]
gpio_defaults_block_31/gpio_defaults_low\[9\]
gpio_defaults_block_32/gpio_defaults_high\[0\]
gpio_defaults_block_32/gpio_defaults_low\[0\]
gpio_defaults_block_32/gpio_defaults_high\[10\]
gpio_defaults_block_32/gpio_defaults_low\[10\]
gpio_defaults_block_32/gpio_defaults_high\[11\]
gpio_defaults_block_32/gpio_defaults_low\[11\]
gpio_defaults_block_32/gpio_defaults_high\[12\]
gpio_defaults_block_32/gpio_defaults_low\[12\]
gpio_defaults_block_32/gpio_defaults_high\[1\]
gpio_defaults_block_32/gpio_defaults_low\[1\]
gpio_defaults_block_32/gpio_defaults_high\[2\]
gpio_defaults_block_32/gpio_defaults_low\[2\]
gpio_defaults_block_32/gpio_defaults_high\[3\]
gpio_defaults_block_32/gpio_defaults_low\[3\]
gpio_defaults_block_32/gpio_defaults_high\[4\]
gpio_defaults_block_32/gpio_defaults_low\[4\]
gpio_defaults_block_32/gpio_defaults_high\[5\]
gpio_defaults_block_32/gpio_defaults_low\[5\]
gpio_defaults_block_32/gpio_defaults_high\[6\]
gpio_defaults_block_32/gpio_defaults_low\[6\]
gpio_defaults_block_32/gpio_defaults_high\[7\]
gpio_defaults_block_32/gpio_defaults_low\[7\]
gpio_defaults_block_32/gpio_defaults_high\[8\]
gpio_defaults_block_32/gpio_defaults_low\[8\]
gpio_defaults_block_32/gpio_defaults_high\[9\]
gpio_defaults_block_32/gpio_defaults_low\[9\]
gpio_defaults_block_33/gpio_defaults_high\[0\]
gpio_defaults_block_33/gpio_defaults_low\[0\]
gpio_defaults_block_33/gpio_defaults_high\[10\]
gpio_defaults_block_33/gpio_defaults_low\[10\]
gpio_defaults_block_33/gpio_defaults_high\[11\]
gpio_defaults_block_33/gpio_defaults_low\[11\]
gpio_defaults_block_33/gpio_defaults_high\[12\]
gpio_defaults_block_33/gpio_defaults_low\[12\]
gpio_defaults_block_33/gpio_defaults_high\[1\]
gpio_defaults_block_33/gpio_defaults_low\[1\]
gpio_defaults_block_33/gpio_defaults_high\[2\]
gpio_defaults_block_33/gpio_defaults_low\[2\]
gpio_defaults_block_33/gpio_defaults_high\[3\]
gpio_defaults_block_33/gpio_defaults_low\[3\]
gpio_defaults_block_33/gpio_defaults_high\[4\]
gpio_defaults_block_33/gpio_defaults_low\[4\]
gpio_defaults_block_33/gpio_defaults_high\[5\]
gpio_defaults_block_33/gpio_defaults_low\[5\]
gpio_defaults_block_33/gpio_defaults_high\[6\]
gpio_defaults_block_33/gpio_defaults_low\[6\]
gpio_defaults_block_33/gpio_defaults_high\[7\]
gpio_defaults_block_33/gpio_defaults_low\[7\]
gpio_defaults_block_33/gpio_defaults_high\[8\]
gpio_defaults_block_33/gpio_defaults_low\[8\]
gpio_defaults_block_33/gpio_defaults_high\[9\]
gpio_defaults_block_33/gpio_defaults_low\[9\]
gpio_defaults_block_34/gpio_defaults_high\[0\]
gpio_defaults_block_34/gpio_defaults_low\[0\]
gpio_defaults_block_34/gpio_defaults_high\[10\]
gpio_defaults_block_34/gpio_defaults_low\[10\]
gpio_defaults_block_34/gpio_defaults_high\[11\]
gpio_defaults_block_34/gpio_defaults_low\[11\]
gpio_defaults_block_34/gpio_defaults_high\[12\]
gpio_defaults_block_34/gpio_defaults_low\[12\]
gpio_defaults_block_34/gpio_defaults_high\[1\]
gpio_defaults_block_34/gpio_defaults_low\[1\]
gpio_defaults_block_34/gpio_defaults_high\[2\]
gpio_defaults_block_34/gpio_defaults_low\[2\]
gpio_defaults_block_34/gpio_defaults_high\[3\]
gpio_defaults_block_34/gpio_defaults_low\[3\]
gpio_defaults_block_34/gpio_defaults_high\[4\]
gpio_defaults_block_34/gpio_defaults_low\[4\]
gpio_defaults_block_34/gpio_defaults_high\[5\]
gpio_defaults_block_34/gpio_defaults_low\[5\]
gpio_defaults_block_34/gpio_defaults_high\[6\]
gpio_defaults_block_34/gpio_defaults_low\[6\]
gpio_defaults_block_34/gpio_defaults_high\[7\]
gpio_defaults_block_34/gpio_defaults_low\[7\]
gpio_defaults_block_34/gpio_defaults_high\[8\]
gpio_defaults_block_34/gpio_defaults_low\[8\]
gpio_defaults_block_34/gpio_defaults_high\[9\]
gpio_defaults_block_34/gpio_defaults_low\[9\]
gpio_defaults_block_35/gpio_defaults_high\[0\]
gpio_defaults_block_35/gpio_defaults_low\[0\]
gpio_defaults_block_35/gpio_defaults_high\[10\]
gpio_defaults_block_35/gpio_defaults_low\[10\]
gpio_defaults_block_35/gpio_defaults_high\[11\]
gpio_defaults_block_35/gpio_defaults_low\[11\]
gpio_defaults_block_35/gpio_defaults_high\[12\]
gpio_defaults_block_35/gpio_defaults_low\[12\]
gpio_defaults_block_35/gpio_defaults_high\[1\]
gpio_defaults_block_35/gpio_defaults_low\[1\]
gpio_defaults_block_35/gpio_defaults_high\[2\]
gpio_defaults_block_35/gpio_defaults_low\[2\]
gpio_defaults_block_35/gpio_defaults_high\[3\]
gpio_defaults_block_35/gpio_defaults_low\[3\]
gpio_defaults_block_35/gpio_defaults_high\[4\]
gpio_defaults_block_35/gpio_defaults_low\[4\]
gpio_defaults_block_35/gpio_defaults_high\[5\]
gpio_defaults_block_35/gpio_defaults_low\[5\]
gpio_defaults_block_35/gpio_defaults_high\[6\]
gpio_defaults_block_35/gpio_defaults_low\[6\]
gpio_defaults_block_35/gpio_defaults_high\[7\]
gpio_defaults_block_35/gpio_defaults_low\[7\]
gpio_defaults_block_35/gpio_defaults_high\[8\]
gpio_defaults_block_35/gpio_defaults_low\[8\]
gpio_defaults_block_35/gpio_defaults_high\[9\]
gpio_defaults_block_35/gpio_defaults_low\[9\]
gpio_defaults_block_36/gpio_defaults_high\[0\]
gpio_defaults_block_36/gpio_defaults_low\[0\]
gpio_defaults_block_36/gpio_defaults_high\[10\]
gpio_defaults_block_36/gpio_defaults_low\[10\]
gpio_defaults_block_36/gpio_defaults_high\[11\]
gpio_defaults_block_36/gpio_defaults_low\[11\]
gpio_defaults_block_36/gpio_defaults_high\[12\]
gpio_defaults_block_36/gpio_defaults_low\[12\]
gpio_defaults_block_36/gpio_defaults_high\[1\]
gpio_defaults_block_36/gpio_defaults_low\[1\]
gpio_defaults_block_36/gpio_defaults_high\[2\]
gpio_defaults_block_36/gpio_defaults_low\[2\]
gpio_defaults_block_36/gpio_defaults_high\[3\]
gpio_defaults_block_36/gpio_defaults_low\[3\]
gpio_defaults_block_36/gpio_defaults_high\[4\]
gpio_defaults_block_36/gpio_defaults_low\[4\]
gpio_defaults_block_36/gpio_defaults_high\[5\]
gpio_defaults_block_36/gpio_defaults_low\[5\]
gpio_defaults_block_36/gpio_defaults_high\[6\]
gpio_defaults_block_36/gpio_defaults_low\[6\]
gpio_defaults_block_36/gpio_defaults_high\[7\]
gpio_defaults_block_36/gpio_defaults_low\[7\]
gpio_defaults_block_36/gpio_defaults_high\[8\]
gpio_defaults_block_36/gpio_defaults_low\[8\]
gpio_defaults_block_36/gpio_defaults_high\[9\]
gpio_defaults_block_36/gpio_defaults_low\[9\]
gpio_defaults_block_37/gpio_defaults_high\[0\]
gpio_defaults_block_37/gpio_defaults_low\[0\]
gpio_defaults_block_37/gpio_defaults_high\[10\]
gpio_defaults_block_37/gpio_defaults_low\[10\]
gpio_defaults_block_37/gpio_defaults_high\[11\]
gpio_defaults_block_37/gpio_defaults_low\[11\]
gpio_defaults_block_37/gpio_defaults_high\[12\]
gpio_defaults_block_37/gpio_defaults_low\[12\]
gpio_defaults_block_37/gpio_defaults_high\[1\]
gpio_defaults_block_37/gpio_defaults_low\[1\]
gpio_defaults_block_37/gpio_defaults_high\[2\]
gpio_defaults_block_37/gpio_defaults_low\[2\]
gpio_defaults_block_37/gpio_defaults_high\[3\]
gpio_defaults_block_37/gpio_defaults_low\[3\]
gpio_defaults_block_37/gpio_defaults_high\[4\]
gpio_defaults_block_37/gpio_defaults_low\[4\]
gpio_defaults_block_37/gpio_defaults_high\[5\]
gpio_defaults_block_37/gpio_defaults_low\[5\]
gpio_defaults_block_37/gpio_defaults_high\[6\]
gpio_defaults_block_37/gpio_defaults_low\[6\]
gpio_defaults_block_37/gpio_defaults_high\[7\]
gpio_defaults_block_37/gpio_defaults_low\[7\]
gpio_defaults_block_37/gpio_defaults_high\[8\]
gpio_defaults_block_37/gpio_defaults_low\[8\]
gpio_defaults_block_37/gpio_defaults_high\[9\]
gpio_defaults_block_37/gpio_defaults_low\[9\]
gpio_defaults_block_4/gpio_defaults_high\[0\]
gpio_defaults_block_4/gpio_defaults_low\[0\]
gpio_defaults_block_4/gpio_defaults_high\[10\]
gpio_defaults_block_4/gpio_defaults_low\[10\]
gpio_defaults_block_4/gpio_defaults_high\[11\]
gpio_defaults_block_4/gpio_defaults_low\[11\]
gpio_defaults_block_4/gpio_defaults_high\[12\]
gpio_defaults_block_4/gpio_defaults_low\[12\]
gpio_defaults_block_4/gpio_defaults_high\[1\]
gpio_defaults_block_4/gpio_defaults_low\[1\]
gpio_defaults_block_4/gpio_defaults_high\[2\]
gpio_defaults_block_4/gpio_defaults_low\[2\]
gpio_defaults_block_4/gpio_defaults_high\[3\]
gpio_defaults_block_4/gpio_defaults_low\[3\]
gpio_defaults_block_4/gpio_defaults_high\[4\]
gpio_defaults_block_4/gpio_defaults_low\[4\]
gpio_defaults_block_4/gpio_defaults_high\[5\]
gpio_defaults_block_4/gpio_defaults_low\[5\]
gpio_defaults_block_4/gpio_defaults_high\[6\]
gpio_defaults_block_4/gpio_defaults_low\[6\]
gpio_defaults_block_4/gpio_defaults_high\[7\]
gpio_defaults_block_4/gpio_defaults_low\[7\]
gpio_defaults_block_4/gpio_defaults_high\[8\]
gpio_defaults_block_4/gpio_defaults_low\[8\]
gpio_defaults_block_4/gpio_defaults_high\[9\]
gpio_defaults_block_4/gpio_defaults_low\[9\]
gpio_defaults_block_5/gpio_defaults_high\[0\]
gpio_defaults_block_5/gpio_defaults_low\[0\]
gpio_defaults_block_5/gpio_defaults_high\[10\]
gpio_defaults_block_5/gpio_defaults_low\[10\]
gpio_defaults_block_5/gpio_defaults_high\[11\]
gpio_defaults_block_5/gpio_defaults_low\[11\]
gpio_defaults_block_5/gpio_defaults_high\[12\]
gpio_defaults_block_5/gpio_defaults_low\[12\]
gpio_defaults_block_5/gpio_defaults_high\[1\]
gpio_defaults_block_5/gpio_defaults_low\[1\]
gpio_defaults_block_5/gpio_defaults_high\[2\]
gpio_defaults_block_5/gpio_defaults_low\[2\]
gpio_defaults_block_5/gpio_defaults_high\[3\]
gpio_defaults_block_5/gpio_defaults_low\[3\]
gpio_defaults_block_5/gpio_defaults_high\[4\]
gpio_defaults_block_5/gpio_defaults_low\[4\]
gpio_defaults_block_5/gpio_defaults_high\[5\]
gpio_defaults_block_5/gpio_defaults_low\[5\]
gpio_defaults_block_5/gpio_defaults_high\[6\]
gpio_defaults_block_5/gpio_defaults_low\[6\]
gpio_defaults_block_5/gpio_defaults_high\[7\]
gpio_defaults_block_5/gpio_defaults_low\[7\]
gpio_defaults_block_5/gpio_defaults_high\[8\]
gpio_defaults_block_5/gpio_defaults_low\[8\]
gpio_defaults_block_5/gpio_defaults_high\[9\]
gpio_defaults_block_5/gpio_defaults_low\[9\]
gpio_defaults_block_6/gpio_defaults_high\[0\]
gpio_defaults_block_6/gpio_defaults_low\[0\]
gpio_defaults_block_6/gpio_defaults_high\[10\]
gpio_defaults_block_6/gpio_defaults_low\[10\]
gpio_defaults_block_6/gpio_defaults_high\[11\]
gpio_defaults_block_6/gpio_defaults_low\[11\]
gpio_defaults_block_6/gpio_defaults_high\[12\]
gpio_defaults_block_6/gpio_defaults_low\[12\]
gpio_defaults_block_6/gpio_defaults_high\[1\]
gpio_defaults_block_6/gpio_defaults_low\[1\]
gpio_defaults_block_6/gpio_defaults_high\[2\]
gpio_defaults_block_6/gpio_defaults_low\[2\]
gpio_defaults_block_6/gpio_defaults_high\[3\]
gpio_defaults_block_6/gpio_defaults_low\[3\]
gpio_defaults_block_6/gpio_defaults_high\[4\]
gpio_defaults_block_6/gpio_defaults_low\[4\]
gpio_defaults_block_6/gpio_defaults_high\[5\]
gpio_defaults_block_6/gpio_defaults_low\[5\]
gpio_defaults_block_6/gpio_defaults_high\[6\]
gpio_defaults_block_6/gpio_defaults_low\[6\]
gpio_defaults_block_6/gpio_defaults_high\[7\]
gpio_defaults_block_6/gpio_defaults_low\[7\]
gpio_defaults_block_6/gpio_defaults_high\[8\]
gpio_defaults_block_6/gpio_defaults_low\[8\]
gpio_defaults_block_6/gpio_defaults_high\[9\]
gpio_defaults_block_6/gpio_defaults_low\[9\]
gpio_defaults_block_7/gpio_defaults_high\[0\]
gpio_defaults_block_7/gpio_defaults_low\[0\]
gpio_defaults_block_7/gpio_defaults_high\[10\]
gpio_defaults_block_7/gpio_defaults_low\[10\]
gpio_defaults_block_7/gpio_defaults_high\[11\]
gpio_defaults_block_7/gpio_defaults_low\[11\]
gpio_defaults_block_7/gpio_defaults_high\[12\]
gpio_defaults_block_7/gpio_defaults_low\[12\]
gpio_defaults_block_7/gpio_defaults_high\[1\]
gpio_defaults_block_7/gpio_defaults_low\[1\]
gpio_defaults_block_7/gpio_defaults_high\[2\]
gpio_defaults_block_7/gpio_defaults_low\[2\]
gpio_defaults_block_7/gpio_defaults_high\[3\]
gpio_defaults_block_7/gpio_defaults_low\[3\]
gpio_defaults_block_7/gpio_defaults_high\[4\]
gpio_defaults_block_7/gpio_defaults_low\[4\]
gpio_defaults_block_7/gpio_defaults_high\[5\]
gpio_defaults_block_7/gpio_defaults_low\[5\]
gpio_defaults_block_7/gpio_defaults_high\[6\]
gpio_defaults_block_7/gpio_defaults_low\[6\]
gpio_defaults_block_7/gpio_defaults_high\[7\]
gpio_defaults_block_7/gpio_defaults_low\[7\]
gpio_defaults_block_7/gpio_defaults_high\[8\]
gpio_defaults_block_7/gpio_defaults_low\[8\]
gpio_defaults_block_7/gpio_defaults_high\[9\]
gpio_defaults_block_7/gpio_defaults_low\[9\]
gpio_defaults_block_8/gpio_defaults_high\[0\]
gpio_defaults_block_8/gpio_defaults_low\[0\]
gpio_defaults_block_8/gpio_defaults_high\[10\]
gpio_defaults_block_8/gpio_defaults_low\[10\]
gpio_defaults_block_8/gpio_defaults_high\[11\]
gpio_defaults_block_8/gpio_defaults_low\[11\]
gpio_defaults_block_8/gpio_defaults_high\[12\]
gpio_defaults_block_8/gpio_defaults_low\[12\]
gpio_defaults_block_8/gpio_defaults_high\[1\]
gpio_defaults_block_8/gpio_defaults_low\[1\]
gpio_defaults_block_8/gpio_defaults_high\[2\]
gpio_defaults_block_8/gpio_defaults_low\[2\]
gpio_defaults_block_8/gpio_defaults_high\[3\]
gpio_defaults_block_8/gpio_defaults_low\[3\]
gpio_defaults_block_8/gpio_defaults_high\[4\]
gpio_defaults_block_8/gpio_defaults_low\[4\]
gpio_defaults_block_8/gpio_defaults_high\[5\]
gpio_defaults_block_8/gpio_defaults_low\[5\]
gpio_defaults_block_8/gpio_defaults_high\[6\]
gpio_defaults_block_8/gpio_defaults_low\[6\]
gpio_defaults_block_8/gpio_defaults_high\[7\]
gpio_defaults_block_8/gpio_defaults_low\[7\]
gpio_defaults_block_8/gpio_defaults_high\[8\]
gpio_defaults_block_8/gpio_defaults_low\[8\]
gpio_defaults_block_8/gpio_defaults_high\[9\]
gpio_defaults_block_8/gpio_defaults_low\[9\]
gpio_defaults_block_9/gpio_defaults_high\[0\]
gpio_defaults_block_9/gpio_defaults_low\[0\]
gpio_defaults_block_9/gpio_defaults_high\[10\]
gpio_defaults_block_9/gpio_defaults_low\[10\]
gpio_defaults_block_9/gpio_defaults_high\[11\]
gpio_defaults_block_9/gpio_defaults_low\[11\]
gpio_defaults_block_9/gpio_defaults_high\[12\]
gpio_defaults_block_9/gpio_defaults_low\[12\]
gpio_defaults_block_9/gpio_defaults_high\[1\]
gpio_defaults_block_9/gpio_defaults_low\[1\]
gpio_defaults_block_9/gpio_defaults_high\[2\]
gpio_defaults_block_9/gpio_defaults_low\[2\]
gpio_defaults_block_9/gpio_defaults_high\[3\]
gpio_defaults_block_9/gpio_defaults_low\[3\]
gpio_defaults_block_9/gpio_defaults_high\[4\]
gpio_defaults_block_9/gpio_defaults_low\[4\]
gpio_defaults_block_9/gpio_defaults_high\[5\]
gpio_defaults_block_9/gpio_defaults_low\[5\]
gpio_defaults_block_9/gpio_defaults_high\[6\]
gpio_defaults_block_9/gpio_defaults_low\[6\]
gpio_defaults_block_9/gpio_defaults_high\[7\]
gpio_defaults_block_9/gpio_defaults_low\[7\]
gpio_defaults_block_9/gpio_defaults_high\[8\]
gpio_defaults_block_9/gpio_defaults_low\[8\]
gpio_defaults_block_9/gpio_defaults_high\[9\]
gpio_defaults_block_9/gpio_defaults_low\[9\]
padframe/flash_clk
padframe/mprj_analog_io[27]
padframe/mprj_analog_io[7]
padframe/mprj_analog_io[5]
padframe/flash_io0
padframe/flash_io0_di_core
padframe/clock_core
padframe/gpio_in_core
padframe/mprj_analog_io[24]
padframe/flash_io1_di_core
padframe/flash_io1
padframe/mprj_analog_io[6]
padframe/mprj_analog_io[25]
padframe/mprj_analog_io[26]
padframe/mprj_analog_io[28]
padframe/mprj_analog_io[8]
padframe/mprj_analog_io[12]
padframe/mprj_analog_io[10]
padframe/mprj_analog_io[9]
padframe/mprj_analog_io[14]
padframe/mprj_analog_io[13]
padframe/mprj_analog_io[15]
padframe/mprj_analog_io[16]
padframe/mprj_analog_io[17]
padframe/mprj_analog_io[19]
padframe/mprj_analog_io[20]
padframe/mprj_analog_io[21]
padframe/mprj_io[28]
padframe/gpio
padframe/mprj_io[34]
padframe/flash_csb
padframe/mprj_analog_io[18]
padframe/mprj_io[8]
padframe/mprj_io[10]
padframe/mprj_io[21]
padframe/mprj_io[22]
padframe/clock
padframe/mprj_io[25]
padframe/mprj_io[17]
padframe/mprj_io[0]
padframe/mprj_io[9]
padframe/mprj_io[11]
mprj/mprj/wbs_ack_o
padframe/mprj_io[33]
padframe/mprj_analog_io[1]
mgmt_buffers/mprj2_logic_high_inst/HI
mgmt_buffers/mprj_logic_high_inst/HI[462]
mgmt_buffers/mprj_logic_high_inst/HI[461]
mgmt_buffers/mprj_logic_high_inst/HI[460]
mgmt_buffers/mprj_logic_high_inst/HI[459]
mgmt_buffers/mprj_logic_high_inst/HI[458]
mgmt_buffers/mprj_logic_high_inst/HI[457]
mgmt_buffers/mprj_logic_high_inst/HI[456]
mgmt_buffers/mprj_logic_high_inst/HI[455]
mgmt_buffers/mprj_logic_high_inst/HI[454]
mgmt_buffers/mprj_logic_high_inst/HI[453]
mgmt_buffers/mprj_logic_high_inst/HI[452]
mgmt_buffers/mprj_logic_high_inst/HI[451]
mgmt_buffers/mprj_logic_high_inst/HI[450]
mgmt_buffers/mprj_logic_high_inst/HI[449]
mgmt_buffers/mprj_logic_high_inst/HI[448]
mgmt_buffers/mprj_logic_high_inst/HI[447]
mgmt_buffers/mprj_logic_high_inst/HI[446]
mgmt_buffers/mprj_logic_high_inst/HI[445]
mgmt_buffers/mprj_logic_high_inst/HI[444]
padframe/mprj_io[24]
mgmt_buffers/mprj_logic_high_inst/HI[443]
mgmt_buffers/mprj_logic_high_inst/HI[442]
mgmt_buffers/mprj_logic_high_inst/HI[441]
mgmt_buffers/mprj_logic_high_inst/HI[440]
mgmt_buffers/mprj_logic_high_inst/HI[439]
mgmt_buffers/mprj_logic_high_inst/HI[438]
mgmt_buffers/mprj_logic_high_inst/HI[437]
mgmt_buffers/mprj_logic_high_inst/HI[436]
mgmt_buffers/mprj_logic_high_inst/HI[435]
mgmt_buffers/mprj_logic_high_inst/HI[434]
mgmt_buffers/mprj_logic_high_inst/HI[433]
mgmt_buffers/mprj_logic_high_inst/HI[432]
mgmt_buffers/mprj_logic_high_inst/HI[431]
mgmt_buffers/mprj_logic_high_inst/HI[430]
mgmt_buffers/mprj_logic_high_inst/HI[429]
mgmt_buffers/mprj_logic_high_inst/HI[428]
mgmt_buffers/mprj_logic_high_inst/HI[427]
mgmt_buffers/mprj_logic_high_inst/HI[426]
padframe/mprj_io[23]
mgmt_buffers/mprj_logic_high_inst/HI[425]
mgmt_buffers/mprj_logic_high_inst/HI[424]
mgmt_buffers/mprj_logic_high_inst/HI[423]
mgmt_buffers/mprj_logic_high_inst/HI[422]
mgmt_buffers/mprj_logic_high_inst/HI[421]
mgmt_buffers/mprj_logic_high_inst/HI[420]
mgmt_buffers/mprj_logic_high_inst/HI[419]
mgmt_buffers/mprj_logic_high_inst/HI[418]
mgmt_buffers/mprj_logic_high_inst/HI[417]
mgmt_buffers/mprj_logic_high_inst/HI[416]
mgmt_buffers/mprj_logic_high_inst/HI[415]
mgmt_buffers/mprj_logic_high_inst/HI[414]
mgmt_buffers/mprj_logic_high_inst/HI[413]
mgmt_buffers/mprj_logic_high_inst/HI[412]
mgmt_buffers/mprj_logic_high_inst/HI[411]
mgmt_buffers/mprj_logic_high_inst/HI[410]
mgmt_buffers/mprj_logic_high_inst/HI[409]
mgmt_buffers/mprj_logic_high_inst/HI[408]
mgmt_buffers/mprj_logic_high_inst/HI[407]
mgmt_buffers/mprj_logic_high_inst/HI[406]
mgmt_buffers/mprj_logic_high_inst/HI[405]
mgmt_buffers/mprj_logic_high_inst/HI[404]
mgmt_buffers/mprj_logic_high_inst/HI[403]
mgmt_buffers/mprj_logic_high_inst/HI[402]
mgmt_buffers/mprj_logic_high_inst/HI[401]
mgmt_buffers/mprj_logic_high_inst/HI[400]
mgmt_buffers/mprj_logic_high_inst/HI[399]
mgmt_buffers/mprj_logic_high_inst/HI[398]
mgmt_buffers/mprj_logic_high_inst/HI[397]
mgmt_buffers/mprj_logic_high_inst/HI[396]
mgmt_buffers/mprj_logic_high_inst/HI[395]
mgmt_buffers/mprj_logic_high_inst/HI[394]
mgmt_buffers/mprj_logic_high_inst/HI[393]
mgmt_buffers/mprj_logic_high_inst/HI[392]
mgmt_buffers/mprj_logic_high_inst/HI[391]
mgmt_buffers/mprj_logic_high_inst/HI[390]
mgmt_buffers/mprj_logic_high_inst/HI[389]
mgmt_buffers/mprj_logic_high_inst/HI[388]
mgmt_buffers/mprj_logic_high_inst/HI[387]
mgmt_buffers/mprj_logic_high_inst/HI[386]
mgmt_buffers/mprj_logic_high_inst/HI[385]
mgmt_buffers/mprj_logic_high_inst/HI[384]
mgmt_buffers/mprj_logic_high_inst/HI[383]
mgmt_buffers/mprj_logic_high_inst/HI[382]
mgmt_buffers/mprj_logic_high_inst/HI[381]
mgmt_buffers/mprj_logic_high_inst/HI[380]
mgmt_buffers/mprj_logic_high_inst/HI[379]
mgmt_buffers/mprj_logic_high_inst/HI[378]
mgmt_buffers/mprj_logic_high_inst/HI[377]
mgmt_buffers/mprj_logic_high_inst/HI[376]
mgmt_buffers/mprj_logic_high_inst/HI[375]
mgmt_buffers/mprj_logic_high_inst/HI[374]
mgmt_buffers/mprj_logic_high_inst/HI[373]
mgmt_buffers/mprj_logic_high_inst/HI[372]
mgmt_buffers/mprj_logic_high_inst/HI[371]
mgmt_buffers/mprj_logic_high_inst/HI[370]
mgmt_buffers/mprj_logic_high_inst/HI[369]
mgmt_buffers/mprj_logic_high_inst/HI[368]
mgmt_buffers/mprj_logic_high_inst/HI[367]
mgmt_buffers/mprj_logic_high_inst/HI[366]
mgmt_buffers/mprj_logic_high_inst/HI[365]
mgmt_buffers/mprj_logic_high_inst/HI[364]
mgmt_buffers/mprj_logic_high_inst/HI[363]
padframe/mprj_io[20]
mgmt_buffers/mprj_logic_high_inst/HI[362]
mgmt_buffers/mprj_logic_high_inst/HI[361]
mgmt_buffers/mprj_logic_high_inst/HI[360]
mgmt_buffers/mprj_logic_high_inst/HI[359]
mgmt_buffers/mprj_logic_high_inst/HI[358]
mgmt_buffers/mprj_logic_high_inst/HI[357]
mgmt_buffers/mprj_logic_high_inst/HI[356]
mgmt_buffers/mprj_logic_high_inst/HI[355]
mgmt_buffers/mprj_logic_high_inst/HI[354]
mgmt_buffers/mprj_logic_high_inst/HI[353]
mgmt_buffers/mprj_logic_high_inst/HI[352]
mgmt_buffers/mprj_logic_high_inst/HI[351]
mgmt_buffers/mprj_logic_high_inst/HI[350]
mgmt_buffers/mprj_logic_high_inst/HI[349]
mgmt_buffers/mprj_logic_high_inst/HI[348]
mgmt_buffers/mprj_logic_high_inst/HI[347]
mgmt_buffers/mprj_logic_high_inst/HI[346]
mgmt_buffers/mprj_logic_high_inst/HI[345]
mgmt_buffers/mprj_logic_high_inst/HI[344]
mgmt_buffers/mprj_logic_high_inst/HI[343]
mgmt_buffers/mprj_logic_high_inst/HI[342]
mgmt_buffers/mprj_logic_high_inst/HI[341]
mgmt_buffers/mprj_logic_high_inst/HI[340]
mgmt_buffers/mprj_logic_high_inst/HI[339]
mgmt_buffers/mprj_logic_high_inst/HI[338]
mgmt_buffers/mprj_logic_high_inst/HI[337]
mgmt_buffers/mprj_logic_high_inst/HI[336]
mgmt_buffers/mprj_logic_high_inst/HI[335]
mgmt_buffers/mprj_logic_high_inst/HI[334]
mgmt_buffers/mprj_logic_high_inst/HI[333]
mgmt_buffers/mprj_logic_high_inst/HI[332]
mgmt_buffers/mprj_logic_high_inst/HI[331]
mgmt_buffers/mprj_logic_high_inst/HI[330]
mgmt_buffers/mprj_logic_high_inst/HI[329]
mgmt_buffers/mprj_logic_high_inst/HI[328]
mgmt_buffers/mprj_logic_high_inst/HI[327]
mgmt_buffers/mprj_logic_high_inst/HI[326]
mgmt_buffers/mprj_logic_high_inst/HI[325]
mgmt_buffers/mprj_logic_high_inst/HI[324]
mgmt_buffers/mprj_logic_high_inst/HI[323]
mgmt_buffers/mprj_logic_high_inst/HI[322]
padframe/mprj_io[18]
mgmt_buffers/mprj_logic_high_inst/HI[321]
mgmt_buffers/mprj_logic_high_inst/HI[320]
mgmt_buffers/mprj_logic_high_inst/HI[319]
mgmt_buffers/mprj_logic_high_inst/HI[318]
mgmt_buffers/mprj_logic_high_inst/HI[317]
mgmt_buffers/mprj_logic_high_inst/HI[316]
mgmt_buffers/mprj_logic_high_inst/HI[315]
mgmt_buffers/mprj_logic_high_inst/HI[314]
mgmt_buffers/mprj_logic_high_inst/HI[313]
mgmt_buffers/mprj_logic_high_inst/HI[312]
mgmt_buffers/mprj_logic_high_inst/HI[311]
mgmt_buffers/mprj_logic_high_inst/HI[310]
mgmt_buffers/mprj_logic_high_inst/HI[309]
mgmt_buffers/mprj_logic_high_inst/HI[308]
mgmt_buffers/mprj_logic_high_inst/HI[307]
mgmt_buffers/mprj_logic_high_inst/HI[306]
mgmt_buffers/mprj_logic_high_inst/HI[305]
mgmt_buffers/mprj_logic_high_inst/HI[304]
mgmt_buffers/mprj_logic_high_inst/HI[303]
mgmt_buffers/mprj_logic_high_inst/HI[302]
mgmt_buffers/mprj_logic_high_inst/HI[301]
mgmt_buffers/mprj_logic_high_inst/HI[300]
mgmt_buffers/mprj_logic_high_inst/HI[299]
mgmt_buffers/mprj_logic_high_inst/HI[298]
mgmt_buffers/mprj_logic_high_inst/HI[297]
mgmt_buffers/mprj_logic_high_inst/HI[296]
padframe/mprj_analog_io[4]
mgmt_buffers/mprj_logic_high_inst/HI[295]
mgmt_buffers/mprj_logic_high_inst/HI[294]
mgmt_buffers/mprj_logic_high_inst/HI[293]
mgmt_buffers/mprj_logic_high_inst/HI[292]
mgmt_buffers/mprj_logic_high_inst/HI[291]
mgmt_buffers/mprj_logic_high_inst/HI[290]
mgmt_buffers/mprj_logic_high_inst/HI[289]
mgmt_buffers/mprj_logic_high_inst/HI[288]
mgmt_buffers/mprj_logic_high_inst/HI[287]
mgmt_buffers/mprj_logic_high_inst/HI[286]
mgmt_buffers/mprj_logic_high_inst/HI[285]
mgmt_buffers/mprj_logic_high_inst/HI[284]
mgmt_buffers/mprj_logic_high_inst/HI[283]
mgmt_buffers/mprj_logic_high_inst/HI[282]
mgmt_buffers/mprj_logic_high_inst/HI[281]
mgmt_buffers/mprj_logic_high_inst/HI[280]
mgmt_buffers/mprj_logic_high_inst/HI[279]
mgmt_buffers/mprj_logic_high_inst/HI[278]
mgmt_buffers/mprj_logic_high_inst/HI[277]
mgmt_buffers/mprj_logic_high_inst/HI[276]
mgmt_buffers/mprj_logic_high_inst/HI[275]
mgmt_buffers/mprj_logic_high_inst/HI[274]
mgmt_buffers/mprj_logic_high_inst/HI[273]
padframe/mprj_analog_io[3]
mgmt_buffers/mprj_logic_high_inst/HI[272]
mgmt_buffers/mprj_logic_high_inst/HI[271]
mgmt_buffers/mprj_logic_high_inst/HI[270]
mgmt_buffers/mprj_logic_high_inst/HI[269]
mgmt_buffers/mprj_logic_high_inst/HI[268]
mgmt_buffers/mprj_logic_high_inst/HI[267]
mgmt_buffers/mprj_logic_high_inst/HI[266]
mgmt_buffers/mprj_logic_high_inst/HI[265]
mgmt_buffers/mprj_logic_high_inst/HI[264]
mgmt_buffers/mprj_logic_high_inst/HI[263]
mgmt_buffers/mprj_logic_high_inst/HI[262]
mgmt_buffers/mprj_logic_high_inst/HI[261]
mgmt_buffers/mprj_logic_high_inst/HI[260]
mgmt_buffers/mprj_logic_high_inst/HI[259]
mgmt_buffers/mprj_logic_high_inst/HI[258]
mgmt_buffers/mprj_logic_high_inst/HI[257]
mgmt_buffers/mprj_logic_high_inst/HI[256]
mgmt_buffers/mprj_logic_high_inst/HI[255]
mgmt_buffers/mprj_logic_high_inst/HI[254]
mgmt_buffers/mprj_logic_high_inst/HI[253]
mgmt_buffers/mprj_logic_high_inst/HI[252]
mgmt_buffers/mprj_logic_high_inst/HI[251]
mgmt_buffers/mprj_logic_high_inst/HI[250]
mgmt_buffers/mprj_logic_high_inst/HI[249]
mgmt_buffers/mprj_logic_high_inst/HI[248]
mgmt_buffers/mprj_logic_high_inst/HI[247]
mgmt_buffers/mprj_logic_high_inst/HI[246]
mgmt_buffers/mprj_logic_high_inst/HI[245]
mgmt_buffers/mprj_logic_high_inst/HI[244]
mgmt_buffers/mprj_logic_high_inst/HI[243]
mgmt_buffers/mprj_logic_high_inst/HI[242]
mgmt_buffers/mprj_logic_high_inst/HI[241]
mgmt_buffers/mprj_logic_high_inst/HI[240]
mgmt_buffers/mprj_logic_high_inst/HI[239]
mgmt_buffers/mprj_logic_high_inst/HI[238]
mgmt_buffers/mprj_logic_high_inst/HI[237]
mgmt_buffers/mprj_logic_high_inst/HI[236]
mgmt_buffers/mprj_logic_high_inst/HI[235]
mgmt_buffers/mprj_logic_high_inst/HI[234]
mgmt_buffers/mprj_logic_high_inst/HI[233]
mgmt_buffers/mprj_logic_high_inst/HI[232]
mgmt_buffers/mprj_logic_high_inst/HI[231]
mgmt_buffers/mprj_logic_high_inst/HI[230]
mgmt_buffers/mprj_logic_high_inst/HI[229]
mgmt_buffers/mprj_logic_high_inst/HI[228]
mgmt_buffers/mprj_logic_high_inst/HI[227]
mgmt_buffers/mprj_logic_high_inst/HI[226]
mgmt_buffers/mprj_logic_high_inst/HI[225]
mgmt_buffers/mprj_logic_high_inst/HI[224]
mgmt_buffers/mprj_logic_high_inst/HI[223]
mgmt_buffers/mprj_logic_high_inst/HI[222]
mgmt_buffers/mprj_logic_high_inst/HI[221]
mgmt_buffers/mprj_logic_high_inst/HI[220]
mgmt_buffers/mprj_logic_high_inst/HI[219]
mgmt_buffers/mprj_logic_high_inst/HI[218]
mgmt_buffers/mprj_logic_high_inst/HI[217]
mgmt_buffers/mprj_logic_high_inst/HI[216]
mgmt_buffers/mprj_logic_high_inst/HI[215]
mgmt_buffers/mprj_logic_high_inst/HI[214]
mgmt_buffers/mprj_logic_high_inst/HI[213]
mgmt_buffers/mprj_logic_high_inst/HI[212]
mgmt_buffers/mprj_logic_high_inst/HI[211]
mgmt_buffers/mprj_logic_high_inst/HI[210]
padframe/mprj_analog_io[0]
mgmt_buffers/mprj_logic_high_inst/HI[209]
mgmt_buffers/mprj_logic_high_inst/HI[208]
mgmt_buffers/mprj_logic_high_inst/HI[207]
mgmt_buffers/mprj_logic_high_inst/HI[206]
mgmt_buffers/mprj_logic_high_inst/HI[205]
mgmt_buffers/mprj_logic_high_inst/HI[204]
mgmt_buffers/mprj_logic_high_inst/HI[203]
mgmt_buffers/mprj_logic_high_inst/HI[202]
mgmt_buffers/mprj_logic_high_inst/HI[201]
mgmt_buffers/mprj_logic_high_inst/HI[200]
mgmt_buffers/mprj_logic_high_inst/HI[199]
mgmt_buffers/mprj_logic_high_inst/HI[198]
mgmt_buffers/mprj_logic_high_inst/HI[197]
mgmt_buffers/mprj_logic_high_inst/HI[196]
mgmt_buffers/mprj_logic_high_inst/HI[195]
mgmt_buffers/mprj_logic_high_inst/HI[194]
mgmt_buffers/mprj_logic_high_inst/HI[193]
mgmt_buffers/mprj_logic_high_inst/HI[192]
padframe/mprj_io[37]
mgmt_buffers/mprj_logic_high_inst/HI[191]
mgmt_buffers/mprj_logic_high_inst/HI[190]
mgmt_buffers/mprj_logic_high_inst/HI[189]
mgmt_buffers/mprj_logic_high_inst/HI[188]
mgmt_buffers/mprj_logic_high_inst/HI[187]
mgmt_buffers/mprj_logic_high_inst/HI[186]
mgmt_buffers/mprj_logic_high_inst/HI[185]
mgmt_buffers/mprj_logic_high_inst/HI[184]
mgmt_buffers/mprj_logic_high_inst/HI[183]
mgmt_buffers/mprj_logic_high_inst/HI[182]
mgmt_buffers/mprj_logic_high_inst/HI[181]
mgmt_buffers/mprj_logic_high_inst/HI[180]
mgmt_buffers/mprj_logic_high_inst/HI[179]
mgmt_buffers/mprj_logic_high_inst/HI[178]
mgmt_buffers/mprj_logic_high_inst/HI[177]
mgmt_buffers/mprj_logic_high_inst/HI[176]
mgmt_buffers/mprj_logic_high_inst/HI[175]
mgmt_buffers/mprj_logic_high_inst/HI[174]
mgmt_buffers/mprj_logic_high_inst/HI[173]
mgmt_buffers/mprj_logic_high_inst/HI[172]
mgmt_buffers/mprj_logic_high_inst/HI[171]
mgmt_buffers/mprj_logic_high_inst/HI[170]
mgmt_buffers/mprj_logic_high_inst/HI[169]
mgmt_buffers/mprj_logic_high_inst/HI[168]
mgmt_buffers/mprj_logic_high_inst/HI[167]
mgmt_buffers/mprj_logic_high_inst/HI[166]
mgmt_buffers/mprj_logic_high_inst/HI[165]
padframe/mprj_analog_io[22]
mgmt_buffers/mprj_logic_high_inst/HI[164]
mgmt_buffers/mprj_logic_high_inst/HI[163]
mgmt_buffers/mprj_logic_high_inst/HI[162]
mgmt_buffers/mprj_logic_high_inst/HI[161]
mgmt_buffers/mprj_logic_high_inst/HI[160]
mgmt_buffers/mprj_logic_high_inst/HI[159]
mgmt_buffers/mprj_logic_high_inst/HI[158]
mgmt_buffers/mprj_logic_high_inst/HI[157]
mgmt_buffers/mprj_logic_high_inst/HI[156]
mgmt_buffers/mprj_logic_high_inst/HI[155]
mgmt_buffers/mprj_logic_high_inst/HI[154]
mgmt_buffers/mprj_logic_high_inst/HI[153]
mgmt_buffers/mprj_logic_high_inst/HI[152]
mgmt_buffers/mprj_logic_high_inst/HI[151]
mgmt_buffers/mprj_logic_high_inst/HI[150]
mgmt_buffers/mprj_logic_high_inst/HI[149]
mgmt_buffers/mprj_logic_high_inst/HI[148]
mgmt_buffers/mprj_logic_high_inst/HI[147]
mgmt_buffers/mprj_logic_high_inst/HI[146]
mgmt_buffers/mprj_logic_high_inst/HI[145]
mgmt_buffers/mprj_logic_high_inst/HI[144]
mgmt_buffers/mprj_logic_high_inst/HI[143]
mgmt_buffers/mprj_logic_high_inst/HI[142]
padframe/mprj_analog_io[11]
mgmt_buffers/mprj_logic_high_inst/HI[141]
mgmt_buffers/mprj_logic_high_inst/HI[140]
mgmt_buffers/mprj_logic_high_inst/HI[139]
mgmt_buffers/mprj_logic_high_inst/HI[138]
mgmt_buffers/mprj_logic_high_inst/HI[137]
mgmt_buffers/mprj_logic_high_inst/HI[136]
mgmt_buffers/mprj_logic_high_inst/HI[135]
mgmt_buffers/mprj_logic_high_inst/HI[134]
mgmt_buffers/mprj_logic_high_inst/HI[133]
mgmt_buffers/mprj_logic_high_inst/HI[132]
mgmt_buffers/mprj_logic_high_inst/HI[131]
mgmt_buffers/mprj_logic_high_inst/HI[130]
mgmt_buffers/mprj_logic_high_inst/HI[129]
mgmt_buffers/mprj_logic_high_inst/HI[128]
mgmt_buffers/mprj_logic_high_inst/HI[127]
mgmt_buffers/mprj_logic_high_inst/HI[126]
mgmt_buffers/mprj_logic_high_inst/HI[125]
mgmt_buffers/mprj_logic_high_inst/HI[124]
mgmt_buffers/mprj_logic_high_inst/HI[123]
mgmt_buffers/mprj_logic_high_inst/HI[122]
mgmt_buffers/mprj_logic_high_inst/HI[121]
mgmt_buffers/mprj_logic_high_inst/HI[120]
mgmt_buffers/mprj_logic_high_inst/HI[119]
mgmt_buffers/mprj_logic_high_inst/HI[118]
mgmt_buffers/mprj_logic_high_inst/HI[117]
mgmt_buffers/mprj_logic_high_inst/HI[116]
mgmt_buffers/mprj_logic_high_inst/HI[115]
mgmt_buffers/mprj_logic_high_inst/HI[114]
mgmt_buffers/mprj_logic_high_inst/HI[113]
mgmt_buffers/mprj_logic_high_inst/HI[112]
mgmt_buffers/mprj_logic_high_inst/HI[111]
mgmt_buffers/mprj_logic_high_inst/HI[110]
mgmt_buffers/mprj_logic_high_inst/HI[109]
mgmt_buffers/mprj_logic_high_inst/HI[108]
mgmt_buffers/mprj_logic_high_inst/HI[107]
mgmt_buffers/mprj_logic_high_inst/HI[106]
mgmt_buffers/mprj_logic_high_inst/HI[105]
mgmt_buffers/mprj_logic_high_inst/HI[104]
mgmt_buffers/mprj_logic_high_inst/HI[103]
mgmt_buffers/mprj_logic_high_inst/HI[102]
mgmt_buffers/mprj_logic_high_inst/HI[101]
padframe/mprj_analog_io[23]
mgmt_buffers/mprj_logic_high_inst/HI[100]
mgmt_buffers/mprj_logic_high_inst/HI[99]
mgmt_buffers/mprj_logic_high_inst/HI[98]
mgmt_buffers/mprj_logic_high_inst/HI[97]
mgmt_buffers/mprj_logic_high_inst/HI[96]
mgmt_buffers/mprj_logic_high_inst/HI[95]
mgmt_buffers/mprj_logic_high_inst/HI[94]
mgmt_buffers/mprj_logic_high_inst/HI[93]
mgmt_buffers/mprj_logic_high_inst/HI[92]
mgmt_buffers/mprj_logic_high_inst/HI[91]
padframe/mprj_io[27]
mgmt_buffers/mprj_logic_high_inst/HI[90]
mgmt_buffers/mprj_logic_high_inst/HI[89]
mgmt_buffers/mprj_logic_high_inst/HI[88]
mgmt_buffers/mprj_logic_high_inst/HI[87]
mgmt_buffers/mprj_logic_high_inst/HI[86]
mgmt_buffers/mprj_logic_high_inst/HI[85]
mgmt_buffers/mprj_logic_high_inst/HI[84]
mgmt_buffers/mprj_logic_high_inst/HI[83]
mgmt_buffers/mprj_logic_high_inst/HI[82]
mgmt_buffers/mprj_logic_high_inst/HI[81]
mgmt_buffers/mprj_logic_high_inst/HI[80]
mgmt_buffers/mprj_logic_high_inst/HI[79]
mgmt_buffers/mprj_logic_high_inst/HI[78]
mgmt_buffers/mprj_logic_high_inst/HI[77]
mgmt_buffers/mprj_logic_high_inst/HI[76]
mgmt_buffers/mprj_logic_high_inst/HI[75]
mgmt_buffers/mprj_logic_high_inst/HI[74]
mgmt_buffers/mprj_logic_high_inst/HI[73]
mgmt_buffers/mprj_logic_high_inst/HI[72]
mgmt_buffers/mprj_logic_high_inst/HI[71]
mgmt_buffers/mprj_logic_high_inst/HI[70]
mgmt_buffers/mprj_logic_high_inst/HI[69]
padframe/mprj_io[26]
mgmt_buffers/mprj_logic_high_inst/HI[68]
mgmt_buffers/mprj_logic_high_inst/HI[67]
mgmt_buffers/mprj_logic_high_inst/HI[66]
mgmt_buffers/mprj_logic_high_inst/HI[65]
mgmt_buffers/mprj_logic_high_inst/HI[64]
mgmt_buffers/mprj_logic_high_inst/HI[63]
mgmt_buffers/mprj_logic_high_inst/HI[62]
mgmt_buffers/mprj_logic_high_inst/HI[61]
mgmt_buffers/mprj_logic_high_inst/HI[60]
mgmt_buffers/mprj_logic_high_inst/HI[59]
mgmt_buffers/mprj_logic_high_inst/HI[58]
mgmt_buffers/mprj_logic_high_inst/HI[57]
mgmt_buffers/mprj_logic_high_inst/HI[56]
mgmt_buffers/mprj_logic_high_inst/HI[55]
mgmt_buffers/mprj_logic_high_inst/HI[54]
mgmt_buffers/mprj_logic_high_inst/HI[53]
mgmt_buffers/mprj_logic_high_inst/HI[52]
mgmt_buffers/mprj_logic_high_inst/HI[51]
mgmt_buffers/mprj_logic_high_inst/HI[50]
mgmt_buffers/mprj_logic_high_inst/HI[49]
mgmt_buffers/mprj_logic_high_inst/HI[48]
mgmt_buffers/mprj_logic_high_inst/HI[47]
mgmt_buffers/mprj_logic_high_inst/HI[46]
mgmt_buffers/mprj_logic_high_inst/HI[45]
mgmt_buffers/mprj_logic_high_inst/HI[44]
mgmt_buffers/mprj_logic_high_inst/HI[43]
mgmt_buffers/mprj_logic_high_inst/HI[42]
mgmt_buffers/mprj_logic_high_inst/HI[41]
mgmt_buffers/mprj_logic_high_inst/HI[40]
mgmt_buffers/mprj_logic_high_inst/HI[39]
mgmt_buffers/mprj_logic_high_inst/HI[38]
mgmt_buffers/mprj_logic_high_inst/HI[37]
mgmt_buffers/mprj_logic_high_inst/HI[36]
mgmt_buffers/mprj_logic_high_inst/HI[35]
mgmt_buffers/mprj_logic_high_inst/HI[34]
mgmt_buffers/mprj_logic_high_inst/HI[33]
mgmt_buffers/mprj_logic_high_inst/HI[32]
mgmt_buffers/mprj_logic_high_inst/HI[31]
mgmt_buffers/mprj_logic_high_inst/HI[30]
mgmt_buffers/mprj_logic_high_inst/HI[29]
mgmt_buffers/mprj_logic_high_inst/HI[28]
mgmt_buffers/mprj_logic_high_inst/HI[27]
mgmt_buffers/mprj_logic_high_inst/HI[26]
mgmt_buffers/mprj_logic_high_inst/HI[25]
mgmt_buffers/mprj_logic_high_inst/HI[24]
mgmt_buffers/mprj_logic_high_inst/HI[23]
mgmt_buffers/mprj_logic_high_inst/HI[22]
mgmt_buffers/mprj_logic_high_inst/HI[21]
mgmt_buffers/mprj_logic_high_inst/HI[20]
mgmt_buffers/mprj_logic_high_inst/HI[19]
mgmt_buffers/mprj_logic_high_inst/HI[18]
mgmt_buffers/mprj_logic_high_inst/HI[17]
mgmt_buffers/mprj_logic_high_inst/HI[16]
mgmt_buffers/mprj_logic_high_inst/HI[15]
mgmt_buffers/mprj_logic_high_inst/HI[14]
mgmt_buffers/mprj_logic_high_inst/HI[13]
mgmt_buffers/mprj_logic_high_inst/HI[12]
mgmt_buffers/mprj_logic_high_inst/HI[11]
mgmt_buffers/mprj_logic_high_inst/HI[10]
mgmt_buffers/mprj_logic_high_inst/HI[9]
mgmt_buffers/mprj_logic_high_inst/HI[8]
mgmt_buffers/mprj_logic_high_inst/HI[7]
mgmt_buffers/mprj_logic_high_inst/HI[6]
mgmt_buffers/mprj_logic_high_inst/HI[5]
mgmt_buffers/mprj_logic_high_inst/HI[4]
mgmt_buffers/mprj_logic_high_inst/HI[3]
mgmt_buffers/mprj_logic_high_inst/HI[2]
mgmt_buffers/mprj_logic_high_inst/HI[1]
mgmt_buffers/mprj_logic_high_inst/HI[0]
mgmt_buffers/powergood_check/mprj2_vdd_logic1
mgmt_buffers/powergood_check/mprj_vdd_logic1
mgmt_buffers/powergood_check/mprj2_vdd_logic1_h
mgmt_buffers/powergood_check/mprj_vdd_logic1_h
padframe/mprj_io[35]
padframe/mprj_io[30]
padframe/mprj_io[14]
padframe/mprj_io[13]
padframe/mprj_io[12]
padframe/mprj_io[19]
padframe/mprj_analog_io[2]
padframe/mprj_io[36]
vdda
vdda1
mprj_io[29]
vssa
mprj_io[28]
gpio
vssio
mprj_io[27]
mprj_io[26]
mprj_io[25]
mprj_io[24]
mprj_io[23]
mprj_io[22]
mprj_io[21]
mprj_io[20]
mprj_io[19]
mprj_io[18]
mprj_io[17]
vdda1_2
vccd2
vddio_2
vddio
vdda2
mprj_io[16]
mprj_io[15]
mprj_io[14]
mprj_io[13]
mprj_io[12]
mprj_io[11]
mprj_io[10]
mprj_io[9]
mprj_io[8]
mprj_io[7]
mprj_io[6]
mprj_io[5]
mprj_io[4]
mprj_io[3]
mprj_io[2]
mprj_io[1]
mprj_io[0]
resetb
vssa2
vssa1_2
vssd1
vssa1
vccd1
mprj_io[32]
mprj_io[31]
mprj_io[36]
clock
mprj_io[35]
mprj_io[34]
mprj_io[33]
vssd2
mprj_io[37]
vssd
vccd
mprj_io[30]
gpio_control_bidir_1\[0\]/_056_
gpio_control_bidir_1\[0\]/_055_
gpio_control_bidir_1\[0\]/_054_
gpio_control_bidir_1\[0\]/_053_
gpio_control_bidir_1\[0\]/_052_
gpio_control_bidir_1\[0\]/_051_
gpio_control_bidir_1\[0\]/_050_
gpio_control_bidir_1\[0\]/_049_
gpio_control_bidir_1\[0\]/_048_
gpio_control_bidir_1\[0\]/_047_
gpio_control_bidir_1\[0\]/_046_
gpio_control_bidir_1\[0\]/_057_
gpio_control_bidir_1\[0\]/gpio_logic_high/gpio_logic1
gpio_control_bidir_1\[1\]/_056_
gpio_control_bidir_1\[1\]/_055_
gpio_control_bidir_1\[1\]/_054_
gpio_control_bidir_1\[1\]/_053_
gpio_control_bidir_1\[1\]/_052_
gpio_control_bidir_1\[1\]/_051_
gpio_control_bidir_1\[1\]/_050_
gpio_control_bidir_1\[1\]/_049_
gpio_control_bidir_1\[1\]/_048_
gpio_control_bidir_1\[1\]/_047_
gpio_control_bidir_1\[1\]/_046_
gpio_control_bidir_1\[1\]/_057_
gpio_control_bidir_1\[1\]/gpio_logic_high/gpio_logic1
gpio_control_bidir_2\[0\]/_056_
gpio_control_bidir_2\[0\]/_055_
gpio_control_bidir_2\[0\]/_054_
gpio_control_bidir_2\[0\]/_053_
gpio_control_bidir_2\[0\]/_052_
gpio_control_bidir_2\[0\]/_051_
gpio_control_bidir_2\[0\]/_050_
gpio_control_bidir_2\[0\]/_049_
gpio_control_bidir_2\[0\]/_048_
gpio_control_bidir_2\[0\]/_047_
gpio_control_bidir_2\[0\]/_046_
gpio_control_bidir_2\[0\]/_057_
gpio_control_bidir_2\[0\]/gpio_logic_high/gpio_logic1
gpio_control_bidir_2\[1\]/_056_
gpio_control_bidir_2\[1\]/_055_
gpio_control_bidir_2\[1\]/_054_
gpio_control_bidir_2\[1\]/_053_
gpio_control_bidir_2\[1\]/_052_
gpio_control_bidir_2\[1\]/_051_
gpio_control_bidir_2\[1\]/_050_
gpio_control_bidir_2\[1\]/_049_
gpio_control_bidir_2\[1\]/_048_
gpio_control_bidir_2\[1\]/_047_
gpio_control_bidir_2\[1\]/_046_
gpio_control_bidir_2\[1\]/_057_
gpio_control_bidir_2\[1\]/gpio_logic_high/gpio_logic1
gpio_control_bidir_2\[2\]/_056_
gpio_control_bidir_2\[2\]/_055_
gpio_control_bidir_2\[2\]/_054_
gpio_control_bidir_2\[2\]/_053_
gpio_control_bidir_2\[2\]/_052_
gpio_control_bidir_2\[2\]/_051_
gpio_control_bidir_2\[2\]/_050_
gpio_control_bidir_2\[2\]/_049_
gpio_control_bidir_2\[2\]/_048_
gpio_control_bidir_2\[2\]/_047_
gpio_control_bidir_2\[2\]/_046_
gpio_control_bidir_2\[2\]/_057_
gpio_control_bidir_2\[2\]/gpio_logic_high/gpio_logic1
gpio_control_in_1\[0\]/_056_
gpio_control_in_1\[0\]/_055_
gpio_control_in_1\[0\]/_054_
gpio_control_in_1\[0\]/_053_
gpio_control_in_1\[0\]/_052_
gpio_control_in_1\[0\]/_051_
gpio_control_in_1\[0\]/_050_
gpio_control_in_1\[0\]/_049_
gpio_control_in_1\[0\]/_048_
gpio_control_in_1\[0\]/_047_
gpio_control_in_1\[0\]/_046_
gpio_control_in_1\[0\]/_057_
gpio_control_in_1\[0\]/gpio_logic_high/gpio_logic1
gpio_control_in_1\[10\]/_056_
gpio_control_in_1\[10\]/_055_
gpio_control_in_1\[10\]/_054_
gpio_control_in_1\[10\]/_053_
gpio_control_in_1\[10\]/_052_
gpio_control_in_1\[10\]/_051_
gpio_control_in_1\[10\]/_050_
gpio_control_in_1\[10\]/_049_
gpio_control_in_1\[10\]/_048_
gpio_control_in_1\[10\]/_047_
gpio_control_in_1\[10\]/_046_
gpio_control_in_1\[10\]/_057_
gpio_control_in_1\[10\]/gpio_logic_high/gpio_logic1
gpio_control_in_1\[1\]/_056_
gpio_control_in_1\[1\]/_055_
gpio_control_in_1\[1\]/_054_
gpio_control_in_1\[1\]/_053_
gpio_control_in_1\[1\]/_052_
gpio_control_in_1\[1\]/_051_
gpio_control_in_1\[1\]/_050_
gpio_control_in_1\[1\]/_049_
gpio_control_in_1\[1\]/_048_
gpio_control_in_1\[1\]/_047_
gpio_control_in_1\[1\]/_046_
gpio_control_in_1\[1\]/_057_
gpio_control_in_1\[1\]/gpio_logic_high/gpio_logic1
gpio_control_in_1\[2\]/_056_
gpio_control_in_1\[2\]/_055_
gpio_control_in_1\[2\]/_054_
gpio_control_in_1\[2\]/_053_
gpio_control_in_1\[2\]/_052_
gpio_control_in_1\[2\]/_051_
gpio_control_in_1\[2\]/_050_
gpio_control_in_1\[2\]/_049_
gpio_control_in_1\[2\]/_048_
gpio_control_in_1\[2\]/_047_
gpio_control_in_1\[2\]/_046_
gpio_control_in_1\[2\]/_057_
gpio_control_in_1\[2\]/gpio_logic_high/gpio_logic1
gpio_control_in_1\[3\]/_056_
gpio_control_in_1\[3\]/_055_
gpio_control_in_1\[3\]/_054_
gpio_control_in_1\[3\]/_053_
gpio_control_in_1\[3\]/_052_
gpio_control_in_1\[3\]/_051_
gpio_control_in_1\[3\]/_050_
gpio_control_in_1\[3\]/_049_
gpio_control_in_1\[3\]/_048_
gpio_control_in_1\[3\]/_047_
gpio_control_in_1\[3\]/_046_
gpio_control_in_1\[3\]/_057_
gpio_control_in_1\[3\]/gpio_logic_high/gpio_logic1
padframe/resetb
padframe/resetb_core_h
padframe/vccd1_pad
padframe/vccd2_pad
padframe/vccd_pad
padframe/vdda1_pad
padframe/vdda1_pad2
padframe/vdda2_pad
padframe/vdda_pad
padframe/vddio_pad2
padframe/vssa1_pad
padframe/vssa1_pad2
padframe/vssa2_pad
padframe/vssa_pad
padframe/vssd1_pad
padframe/vssd2_pad
padframe/vssd_pad
padframe/vssio_pad
padframe/vssio_pad2
padframe/mprj_pads.analog_a
padframe/loop_zero_clock
padframe/loop_one_clock
padframe/constant_value_inst\[0\]/zero
padframe/constant_value_inst\[0\]/one_unbuf
padframe/constant_value_inst\[0\]/zero_unbuf
padframe/constant_value_inst\[1\]/one
padframe/constant_value_inst\[1\]/zero_unbuf
padframe/constant_value_inst\[2\]/one
padframe/constant_value_inst\[2\]/zero
padframe/constant_value_inst\[2\]/one_unbuf
padframe/constant_value_inst\[2\]/zero_unbuf
padframe/constant_value_inst\[3\]/one
padframe/constant_value_inst\[3\]/zero
padframe/constant_value_inst\[3\]/one_unbuf
padframe/constant_value_inst\[3\]/zero_unbuf
padframe/constant_value_inst\[4\]/zero
padframe/constant_value_inst\[4\]/one_unbuf
padframe/constant_value_inst\[4\]/zero_unbuf
padframe/constant_value_inst\[5\]/one
padframe/constant_value_inst\[5\]/zero
padframe/constant_value_inst\[5\]/one_unbuf
padframe/constant_value_inst\[5\]/zero_unbuf
padframe/constant_value_inst\[6\]/one
padframe/constant_value_inst\[6\]/zero
padframe/constant_value_inst\[6\]/one_unbuf
padframe/constant_value_inst\[6\]/zero_unbuf
padframe/loop_zero_flash_clk
padframe/loop_one_flash_clk
padframe/loop_zero_flash_csb
padframe/loop_one_flash_csb
padframe/loop_zero_flash_io0
padframe/loop_one_flash_io0
padframe/loop_zero_flash_io1
padframe/loop_one_flash_io1
padframe/loop_zero_gpio
padframe/loop_one_gpio
padframe/mprj_pads.loop0_io\[0\]
padframe/mprj_pads.loop1_io\[0\]
padframe/mprj_pads.io_in\[0\]
padframe/mprj_pads.io_in_3v3\[0\]
padframe/mprj_pads.no_connect_1b\[0\]
padframe/mprj_pads.no_connect_1a\[0\]
padframe/mprj_pads.loop1_io\[10\]
padframe/mprj_pads.io_in\[10\]
padframe/mprj_pads.io_in_3v3\[10\]
padframe/mprj_pads.analog_noesd_io\[3\]
padframe/mprj_pads.loop0_io\[11\]
padframe/mprj_pads.loop1_io\[11\]
padframe/mprj_pads.io_in\[11\]
padframe/mprj_pads.io_in_3v3\[11\]
padframe/mprj_pads.analog_noesd_io\[4\]
padframe/mprj_pads.loop0_io\[12\]
padframe/mprj_pads.loop1_io\[12\]
padframe/mprj_pads.io_in\[12\]
padframe/mprj_pads.io_in_3v3\[12\]
padframe/mprj_pads.analog_noesd_io\[5\]
padframe/mprj_pads.loop0_io\[13\]
padframe/mprj_pads.loop1_io\[13\]
padframe/mprj_pads.io_in\[13\]
padframe/mprj_pads.io_in_3v3\[13\]
padframe/mprj_pads.analog_noesd_io\[6\]
padframe/mprj_pads.loop0_io\[14\]
padframe/mprj_pads.loop1_io\[14\]
padframe/mprj_pads.io_in\[14\]
padframe/mprj_pads.io_in_3v3\[14\]
padframe/mprj_pads.analog_noesd_io\[7\]
padframe/mprj_pads.loop0_io\[15\]
padframe/mprj_pads.loop1_io\[15\]
padframe/mprj_pads.io_in_3v3\[15\]
padframe/mprj_pads.analog_noesd_io\[8\]
padframe/mprj_pads.loop0_io\[16\]
padframe/mprj_pads.loop1_io\[16\]
padframe/mprj_pads.io_in\[16\]
padframe/mprj_pads.io_in_3v3\[16\]
padframe/mprj_pads.analog_noesd_io\[9\]
padframe/mprj_pads.loop0_io\[17\]
padframe/mprj_pads.io_in\[17\]
padframe/mprj_pads.io_in_3v3\[17\]
padframe/mprj_pads.loop0_io\[18\]
padframe/mprj_pads.loop1_io\[18\]
padframe/mprj_pads.io_in_3v3\[18\]
padframe/mprj_pads.analog_noesd_io\[11\]
padframe/mprj_pads.loop0_io\[1\]
padframe/mprj_pads.loop1_io\[1\]
padframe/mprj_pads.io_in\[1\]
padframe/mprj_pads.io_in_3v3\[1\]
padframe/mprj_pads.no_connect_1b\[1\]
padframe/mprj_pads.no_connect_1a\[1\]
padframe/mprj_pads.loop0_io\[2\]
padframe/mprj_pads.loop1_io\[2\]
padframe/mprj_pads.io_in\[2\]
padframe/mprj_pads.io_in_3v3\[2\]
padframe/mprj_pads.no_connect_1b\[2\]
padframe/mprj_pads.no_connect_1a\[2\]
padframe/mprj_pads.loop0_io\[3\]
padframe/mprj_pads.loop1_io\[3\]
padframe/mprj_pads.io_in\[3\]
padframe/mprj_pads.io_in_3v3\[3\]
padframe/mprj_pads.no_connect_1b\[3\]
padframe/mprj_pads.no_connect_1a\[3\]
padframe/mprj_pads.loop1_io\[4\]
padframe/mprj_pads.io_in\[4\]
padframe/mprj_pads.io_in_3v3\[4\]
padframe/mprj_pads.no_connect_1b\[4\]
padframe/mprj_pads.no_connect_1a\[4\]
padframe/mprj_pads.loop1_io\[5\]
padframe/mprj_pads.io_in\[5\]
padframe/mprj_pads.io_in_3v3\[5\]
padframe/mprj_pads.no_connect_1b\[5\]
padframe/mprj_pads.no_connect_1a\[5\]
padframe/mprj_pads.loop0_io\[6\]
padframe/mprj_pads.io_in\[6\]
padframe/mprj_pads.io_in_3v3\[6\]
padframe/mprj_pads.no_connect_1a\[6\]
padframe/mprj_pads.loop0_io\[7\]
padframe/mprj_pads.io_in\[7\]
padframe/mprj_pads.io_in_3v3\[7\]
padframe/mprj_pads.loop0_io\[8\]
padframe/mprj_pads.loop1_io\[8\]
padframe/mprj_pads.io_in\[8\]
padframe/mprj_pads.io_in_3v3\[8\]
padframe/mprj_pads.analog_noesd_io\[1\]
padframe/mprj_pads.loop0_io\[9\]
padframe/mprj_pads.loop1_io\[9\]
padframe/mprj_pads.io_in\[9\]
padframe/mprj_pads.io_in_3v3\[9\]
padframe/mprj_pads.analog_noesd_io\[2\]
padframe/mprj_pads.loop0_io\[19\]
padframe/mprj_pads.loop1_io\[19\]
padframe/mprj_pads.io_in\[19\]
padframe/mprj_pads.io_in_3v3\[19\]
padframe/mprj_pads.analog_noesd_io\[12\]
padframe/mprj_pads.loop0_io\[29\]
padframe/mprj_pads.loop1_io\[29\]
padframe/mprj_pads.io_in\[29\]
padframe/mprj_pads.io_in_3v3\[29\]
padframe/mprj_pads.analog_noesd_io\[22\]
padframe/mprj_pads.loop1_io\[30\]
padframe/mprj_pads.io_in\[30\]
padframe/mprj_pads.io_in_3v3\[30\]
padframe/mprj_pads.analog_noesd_io\[23\]
padframe/mprj_pads.loop0_io\[31\]
padframe/mprj_pads.loop1_io\[31\]
padframe/mprj_pads.io_in\[31\]
padframe/mprj_pads.io_in_3v3\[31\]
padframe/mprj_pads.analog_noesd_io\[24\]
padframe/mprj_pads.loop0_io\[32\]
padframe/mprj_pads.loop1_io\[32\]
padframe/mprj_pads.io_in\[32\]
padframe/mprj_pads.io_in_3v3\[32\]
padframe/mprj_pads.analog_noesd_io\[25\]
padframe/mprj_pads.loop0_io\[33\]
padframe/mprj_pads.loop1_io\[33\]
padframe/mprj_pads.io_in\[33\]
padframe/mprj_pads.io_in_3v3\[33\]
padframe/mprj_pads.analog_noesd_io\[26\]
padframe/mprj_pads.loop0_io\[34\]
padframe/mprj_pads.loop1_io\[34\]
padframe/mprj_pads.io_in\[34\]
padframe/mprj_pads.io_in_3v3\[34\]
padframe/mprj_pads.analog_noesd_io\[27\]
padframe/mprj_pads.loop0_io\[35\]
padframe/mprj_pads.loop1_io\[35\]
padframe/mprj_pads.io_in_3v3\[35\]
padframe/mprj_pads.analog_noesd_io\[28\]
padframe/mprj_pads.loop0_io\[36\]
padframe/mprj_pads.loop1_io\[36\]
padframe/mprj_pads.io_in\[36\]
padframe/mprj_pads.io_in_3v3\[36\]
padframe/mprj_pads.no_connect_2b\[0\]
padframe/mprj_pads.no_connect_2a\[0\]
padframe/mprj_pads.loop0_io\[37\]
padframe/mprj_pads.loop1_io\[37\]
padframe/mprj_pads.io_in\[37\]
padframe/mprj_pads.no_connect_2b\[1\]
padframe/mprj_pads.no_connect_2a\[1\]
padframe/mprj_pads.loop0_io\[20\]
padframe/mprj_pads.loop1_io\[20\]
padframe/mprj_pads.io_in\[20\]
padframe/mprj_pads.analog_noesd_io\[13\]
padframe/mprj_pads.loop0_io\[21\]
padframe/mprj_pads.loop1_io\[21\]
padframe/mprj_pads.io_in\[21\]
padframe/mprj_pads.io_in_3v3\[21\]
padframe/mprj_pads.analog_noesd_io\[14\]
padframe/mprj_pads.loop0_io\[22\]
padframe/mprj_pads.loop1_io\[22\]
padframe/mprj_pads.io_in\[22\]
padframe/mprj_pads.io_in_3v3\[22\]
padframe/mprj_pads.analog_noesd_io\[15\]
padframe/mprj_pads.loop0_io\[23\]
padframe/mprj_pads.loop1_io\[23\]
padframe/mprj_pads.io_in\[23\]
padframe/mprj_pads.io_in_3v3\[23\]
padframe/mprj_pads.analog_noesd_io\[16\]
padframe/mprj_pads.loop0_io\[24\]
padframe/mprj_pads.loop1_io\[24\]
padframe/mprj_pads.io_in\[24\]
padframe/mprj_pads.io_in_3v3\[24\]
padframe/mprj_pads.analog_noesd_io\[17\]
padframe/mprj_pads.loop0_io\[25\]
padframe/mprj_pads.loop1_io\[25\]
padframe/mprj_pads.io_in\[25\]
padframe/mprj_pads.io_in_3v3\[25\]
padframe/mprj_pads.analog_noesd_io\[18\]
padframe/mprj_pads.loop0_io\[26\]
padframe/mprj_pads.loop1_io\[26\]
padframe/mprj_pads.io_in\[26\]
padframe/mprj_pads.io_in_3v3\[26\]
padframe/mprj_pads.analog_noesd_io\[19\]
padframe/mprj_pads.loop1_io\[27\]
padframe/mprj_pads.io_in\[27\]
padframe/mprj_pads.io_in_3v3\[27\]
padframe/mprj_pads.analog_noesd_io\[20\]
padframe/mprj_pads.loop0_io\[28\]
padframe/mprj_pads.loop1_io\[28\]
padframe/mprj_pads.io_in\[28\]
padframe/mprj_pads.io_in_3v3\[28\]
padframe/mprj_pads.analog_noesd_io\[21\]
padframe/xres_vss_loop
padframe/xresloop
mprj/mprj/net342
mprj/mprj/net343
mprj/mprj/net344
mprj/mprj/net345
mprj/mprj/net346
mprj/mprj/net347
mprj/mprj/net348
mprj/mprj/net349
mprj/mprj/net350
mprj/mprj/net351
mprj/mprj/net352
mprj/mprj/net353
mprj/mprj/net354
mprj/mprj/net355
mprj/mprj/net356
mprj/mprj/net357
mprj/mprj/net358
mprj/mprj/net359
mprj/mprj/net360
mprj/mprj/net361
mprj/mprj/net362
mprj/mprj/net363
mprj/mprj/net364
mprj/mprj/net365
mprj/mprj/net366
mprj/mprj/net367
mprj/mprj/net368
mprj/mprj/net369
mprj/mprj/net370
mprj/mprj/net371
mprj/mprj/net372
mprj/mprj/net373
mprj/mprj/net374
mprj/mprj/net375
mprj/mprj/net376
mprj/mprj/net377
mprj/mprj/net378
mprj/mprj/net379
mprj/mprj/net380
mprj/mprj/net381
mprj/mprj/net382
mprj/mprj/net383
mprj/mprj/net384
mprj/mprj/net385
mprj/mprj/net386
mprj/mprj/net387
mprj/mprj/net388
mprj/mprj/net389
mprj/mprj/net390
mprj/mprj/net391
mprj/mprj/net392
mprj/mprj/net393
mprj/mprj/net394
mprj/mprj/net395
mprj/mprj/net396
mprj/mprj/net397
mprj/mprj/net398
mprj/mprj/net399
mprj/mprj/net400
mprj/mprj/net401
mprj/mprj/net402
mprj/mprj/net403
mprj/mprj/net404
mprj/mprj/net405
mprj/mprj/net406
mprj/mprj/net407
mprj/mprj/net408
mprj/mprj/net409
mprj/mprj/net410
mprj/mprj/net411
mprj/mprj/net412
mprj/mprj/net413
mprj/mprj/net414
mprj/mprj/net415
mprj/mprj/net416
mprj/mprj/net417
mprj/mprj/net418
mprj/mprj/net419
mprj/mprj/net420
mprj/mprj/net421
mprj/mprj/net422
mprj/mprj/net423
mprj/mprj/net424
mprj/mprj/net425
mprj/mprj/net426
mprj/mprj/net427
mprj/mprj/net428
mprj/mprj/net429
mprj/mprj/net430
mprj/mprj/net431
mprj/mprj/net432
mprj/mprj/net433
mprj/mprj/net434
mprj/mprj/net435
mprj/mprj/net436
mprj/mprj/net437
mprj/mprj/net438
mprj/mprj/net439
mprj/mprj/net440
mprj/mprj/net441
mprj/mprj/net442
mprj/mprj/net443
mprj/mprj/net444
mprj/mprj/net445
mprj/mprj/net446
mprj/mprj/net447
mprj/mprj/net448
mprj/mprj/net449
mprj/mprj/net450
mprj/mprj/net451
mprj/mprj/net452
mprj/mprj/net453
mprj/mprj/net454
mprj/mprj/net455
mprj/mprj/net456
mprj/mprj/net457
mprj/mprj/net458
mprj/mprj/net459
mprj/mprj/net460
mprj/mprj/net461
mprj/mprj/net462
mprj/mprj/net463
mprj/mprj/net464
mprj/mprj/net465
mprj/mprj/net466
mprj/mprj/net467
mprj/mprj/net468
mprj/mprj/net469
mprj/mprj/net470
mprj/mprj/net471
mprj/mprj/net472
mprj/mprj/net473
mprj/mprj/net474
mprj/mprj/net475
mprj/mprj/net476
mprj/mprj/net477
mprj/mprj/net478
mprj/mprj/net479
mprj/mprj/net480
mprj/mprj/net481
mprj/mprj/net482
mprj/mprj/net483
mprj/mprj/net341
spare_logic\[0\]/spare_xfq[1]
spare_logic\[0\]/spare_xfq[0]
spare_logic\[0\]/spare_xfqn[1]
spare_logic\[0\]/spare_xfqn[0]
spare_logic\[0\]/spare_xi[3]
spare_logic\[0\]/spare_xi[2]
spare_logic\[0\]/spare_xi[1]
spare_logic\[0\]/spare_xi[0]
spare_logic\[0\]/spare_xib
spare_logic\[0\]/spare_xmx[1]
spare_logic\[0\]/spare_xmx[0]
spare_logic\[0\]/spare_xna[1]
spare_logic\[0\]/spare_xna[0]
spare_logic\[0\]/spare_xno[1]
spare_logic\[0\]/spare_xno[0]
spare_logic\[0\]/spare_xz[26]
spare_logic\[0\]/spare_xz[25]
spare_logic\[0\]/spare_xz[24]
spare_logic\[0\]/spare_xz[23]
spare_logic\[0\]/spare_xz[22]
spare_logic\[0\]/spare_xz[21]
spare_logic\[0\]/spare_xz[20]
spare_logic\[0\]/spare_xz[19]
spare_logic\[0\]/spare_xz[18]
spare_logic\[0\]/spare_xz[17]
spare_logic\[0\]/spare_xz[16]
spare_logic\[0\]/spare_xz[15]
spare_logic\[0\]/spare_xz[14]
spare_logic\[0\]/spare_xz[13]
spare_logic\[0\]/spare_xz[12]
spare_logic\[0\]/spare_xz[11]
spare_logic\[0\]/spare_xz[10]
spare_logic\[0\]/spare_xz[9]
spare_logic\[0\]/spare_xz[8]
spare_logic\[0\]/spare_xz[7]
spare_logic\[0\]/spare_xz[6]
spare_logic\[0\]/spare_xz[5]
spare_logic\[0\]/spare_xz[4]
spare_logic\[0\]/spare_xz[3]
spare_logic\[0\]/spare_xz[2]
spare_logic\[0\]/spare_xz[1]
spare_logic\[0\]/spare_xz[0]
spare_logic\[0\]/spare_logic1\[0\]
spare_logic\[0\]/spare_logic1\[10\]
spare_logic\[0\]/spare_logic1\[11\]
spare_logic\[0\]/spare_logic1\[12\]
spare_logic\[0\]/spare_logic1\[13\]
spare_logic\[0\]/spare_logic1\[14\]
spare_logic\[0\]/spare_logic1\[15\]
spare_logic\[0\]/spare_logic1\[16\]
spare_logic\[0\]/spare_logic1\[17\]
spare_logic\[0\]/spare_logic1\[18\]
spare_logic\[0\]/spare_logic1\[19\]
spare_logic\[0\]/spare_logic1\[1\]
spare_logic\[0\]/spare_logic1\[20\]
spare_logic\[0\]/spare_logic1\[21\]
spare_logic\[0\]/spare_logic1\[22\]
spare_logic\[0\]/spare_logic1\[23\]
spare_logic\[0\]/spare_logic1\[24\]
spare_logic\[0\]/spare_logic1\[25\]
spare_logic\[0\]/spare_logic1\[26\]
spare_logic\[0\]/spare_logic1\[2\]
spare_logic\[0\]/spare_logic1\[3\]
spare_logic\[0\]/spare_logic1\[4\]
spare_logic\[0\]/spare_logic1\[5\]
spare_logic\[0\]/spare_logic1\[6\]
spare_logic\[0\]/spare_logic1\[7\]
spare_logic\[0\]/spare_logic1\[8\]
spare_logic\[0\]/spare_logic1\[9\]
spare_logic\[1\]/spare_xfq[1]
spare_logic\[1\]/spare_xfq[0]
spare_logic\[1\]/spare_xfqn[1]
spare_logic\[1\]/spare_xfqn[0]
spare_logic\[1\]/spare_xi[3]
spare_logic\[1\]/spare_xi[2]
spare_logic\[1\]/spare_xi[1]
spare_logic\[1\]/spare_xi[0]
spare_logic\[1\]/spare_xib
spare_logic\[1\]/spare_xmx[1]
spare_logic\[1\]/spare_xmx[0]
spare_logic\[1\]/spare_xna[1]
spare_logic\[1\]/spare_xna[0]
spare_logic\[1\]/spare_xno[1]
spare_logic\[1\]/spare_xno[0]
spare_logic\[1\]/spare_xz[26]
spare_logic\[1\]/spare_xz[25]
spare_logic\[1\]/spare_xz[24]
spare_logic\[1\]/spare_xz[23]
spare_logic\[1\]/spare_xz[22]
spare_logic\[1\]/spare_xz[21]
spare_logic\[1\]/spare_xz[20]
spare_logic\[1\]/spare_xz[19]
spare_logic\[1\]/spare_xz[18]
spare_logic\[1\]/spare_xz[17]
spare_logic\[1\]/spare_xz[16]
spare_logic\[1\]/spare_xz[15]
spare_logic\[1\]/spare_xz[14]
spare_logic\[1\]/spare_xz[13]
spare_logic\[1\]/spare_xz[12]
spare_logic\[1\]/spare_xz[11]
spare_logic\[1\]/spare_xz[10]
spare_logic\[1\]/spare_xz[9]
spare_logic\[1\]/spare_xz[8]
spare_logic\[1\]/spare_xz[7]
spare_logic\[1\]/spare_xz[6]
spare_logic\[1\]/spare_xz[5]
spare_logic\[1\]/spare_xz[4]
spare_logic\[1\]/spare_xz[3]
spare_logic\[1\]/spare_xz[2]
spare_logic\[1\]/spare_xz[1]
spare_logic\[1\]/spare_xz[0]
spare_logic\[1\]/spare_logic1\[0\]
spare_logic\[1\]/spare_logic1\[10\]
spare_logic\[1\]/spare_logic1\[11\]
spare_logic\[1\]/spare_logic1\[12\]
spare_logic\[1\]/spare_logic1\[13\]
spare_logic\[1\]/spare_logic1\[14\]
spare_logic\[1\]/spare_logic1\[15\]
spare_logic\[1\]/spare_logic1\[16\]
spare_logic\[1\]/spare_logic1\[17\]
spare_logic\[1\]/spare_logic1\[18\]
spare_logic\[1\]/spare_logic1\[19\]
spare_logic\[1\]/spare_logic1\[1\]
spare_logic\[1\]/spare_logic1\[20\]
spare_logic\[1\]/spare_logic1\[21\]
spare_logic\[1\]/spare_logic1\[22\]
spare_logic\[1\]/spare_logic1\[23\]
spare_logic\[1\]/spare_logic1\[24\]
spare_logic\[1\]/spare_logic1\[25\]
spare_logic\[1\]/spare_logic1\[26\]
spare_logic\[1\]/spare_logic1\[2\]
spare_logic\[1\]/spare_logic1\[3\]
spare_logic\[1\]/spare_logic1\[4\]
spare_logic\[1\]/spare_logic1\[5\]
spare_logic\[1\]/spare_logic1\[6\]
spare_logic\[1\]/spare_logic1\[7\]
spare_logic\[1\]/spare_logic1\[8\]
spare_logic\[1\]/spare_logic1\[9\]
spare_logic\[2\]/spare_xfq[1]
spare_logic\[2\]/spare_xfq[0]
spare_logic\[2\]/spare_xfqn[1]
spare_logic\[2\]/spare_xfqn[0]
spare_logic\[2\]/spare_xi[3]
spare_logic\[2\]/spare_xi[2]
spare_logic\[2\]/spare_xi[1]
spare_logic\[2\]/spare_xi[0]
spare_logic\[2\]/spare_xib
spare_logic\[2\]/spare_xmx[1]
spare_logic\[2\]/spare_xmx[0]
spare_logic\[2\]/spare_xna[1]
spare_logic\[2\]/spare_xna[0]
spare_logic\[2\]/spare_xno[1]
spare_logic\[2\]/spare_xno[0]
spare_logic\[2\]/spare_xz[26]
spare_logic\[2\]/spare_xz[25]
spare_logic\[2\]/spare_xz[24]
spare_logic\[2\]/spare_xz[23]
spare_logic\[2\]/spare_xz[22]
spare_logic\[2\]/spare_xz[21]
spare_logic\[2\]/spare_xz[20]
spare_logic\[2\]/spare_xz[19]
spare_logic\[2\]/spare_xz[18]
spare_logic\[2\]/spare_xz[17]
spare_logic\[2\]/spare_xz[16]
spare_logic\[2\]/spare_xz[15]
spare_logic\[2\]/spare_xz[14]
spare_logic\[2\]/spare_xz[13]
spare_logic\[2\]/spare_xz[12]
spare_logic\[2\]/spare_xz[11]
spare_logic\[2\]/spare_xz[10]
spare_logic\[2\]/spare_xz[9]
spare_logic\[2\]/spare_xz[8]
spare_logic\[2\]/spare_xz[7]
spare_logic\[2\]/spare_xz[6]
spare_logic\[2\]/spare_xz[5]
spare_logic\[2\]/spare_xz[4]
spare_logic\[2\]/spare_xz[3]
spare_logic\[2\]/spare_xz[2]
spare_logic\[2\]/spare_xz[1]
spare_logic\[2\]/spare_xz[0]
spare_logic\[2\]/spare_logic1\[0\]
spare_logic\[2\]/spare_logic1\[10\]
spare_logic\[2\]/spare_logic1\[11\]
spare_logic\[2\]/spare_logic1\[12\]
spare_logic\[2\]/spare_logic1\[13\]
spare_logic\[2\]/spare_logic1\[14\]
spare_logic\[2\]/spare_logic1\[15\]
spare_logic\[2\]/spare_logic1\[16\]
spare_logic\[2\]/spare_logic1\[17\]
spare_logic\[2\]/spare_logic1\[18\]
spare_logic\[2\]/spare_logic1\[19\]
spare_logic\[2\]/spare_logic1\[1\]
spare_logic\[2\]/spare_logic1\[20\]
spare_logic\[2\]/spare_logic1\[21\]
spare_logic\[2\]/spare_logic1\[22\]
spare_logic\[2\]/spare_logic1\[23\]
spare_logic\[2\]/spare_logic1\[24\]
spare_logic\[2\]/spare_logic1\[25\]
spare_logic\[2\]/spare_logic1\[26\]
spare_logic\[2\]/spare_logic1\[2\]
spare_logic\[2\]/spare_logic1\[3\]
spare_logic\[2\]/spare_logic1\[4\]
spare_logic\[2\]/spare_logic1\[5\]
spare_logic\[2\]/spare_logic1\[6\]
spare_logic\[2\]/spare_logic1\[7\]
spare_logic\[2\]/spare_logic1\[8\]
spare_logic\[2\]/spare_logic1\[9\]
spare_logic\[3\]/spare_xfq[1]
spare_logic\[3\]/spare_xfq[0]
spare_logic\[3\]/spare_xfqn[1]
spare_logic\[3\]/spare_xfqn[0]
spare_logic\[3\]/spare_xi[3]
spare_logic\[3\]/spare_xi[2]
spare_logic\[3\]/spare_xi[1]
spare_logic\[3\]/spare_xi[0]
spare_logic\[3\]/spare_xib
spare_logic\[3\]/spare_xmx[1]
spare_logic\[3\]/spare_xmx[0]
spare_logic\[3\]/spare_xna[1]
spare_logic\[3\]/spare_xna[0]
spare_logic\[3\]/spare_xno[1]
spare_logic\[3\]/spare_xno[0]
spare_logic\[3\]/spare_xz[26]
spare_logic\[3\]/spare_xz[25]
spare_logic\[3\]/spare_xz[24]
spare_logic\[3\]/spare_xz[23]
spare_logic\[3\]/spare_xz[22]
spare_logic\[3\]/spare_xz[21]
spare_logic\[3\]/spare_xz[20]
spare_logic\[3\]/spare_xz[19]
spare_logic\[3\]/spare_xz[18]
spare_logic\[3\]/spare_xz[17]
spare_logic\[3\]/spare_xz[16]
spare_logic\[3\]/spare_xz[15]
spare_logic\[3\]/spare_xz[14]
spare_logic\[3\]/spare_xz[13]
spare_logic\[3\]/spare_xz[12]
spare_logic\[3\]/spare_xz[11]
spare_logic\[3\]/spare_xz[10]
spare_logic\[3\]/spare_xz[9]
spare_logic\[3\]/spare_xz[8]
spare_logic\[3\]/spare_xz[7]
spare_logic\[3\]/spare_xz[6]
spare_logic\[3\]/spare_xz[5]
spare_logic\[3\]/spare_xz[4]
spare_logic\[3\]/spare_xz[3]
spare_logic\[3\]/spare_xz[2]
spare_logic\[3\]/spare_xz[1]
spare_logic\[3\]/spare_xz[0]
spare_logic\[3\]/spare_logic1\[0\]
spare_logic\[3\]/spare_logic1\[10\]
spare_logic\[3\]/spare_logic1\[11\]
spare_logic\[3\]/spare_logic1\[12\]
spare_logic\[3\]/spare_logic1\[13\]
spare_logic\[3\]/spare_logic1\[14\]
spare_logic\[3\]/spare_logic1\[15\]
spare_logic\[3\]/spare_logic1\[16\]
spare_logic\[3\]/spare_logic1\[17\]
spare_logic\[3\]/spare_logic1\[18\]
spare_logic\[3\]/spare_logic1\[19\]
spare_logic\[3\]/spare_logic1\[1\]
spare_logic\[3\]/spare_logic1\[20\]
spare_logic\[3\]/spare_logic1\[21\]
spare_logic\[3\]/spare_logic1\[22\]
spare_logic\[3\]/spare_logic1\[23\]
spare_logic\[3\]/spare_logic1\[24\]
spare_logic\[3\]/spare_logic1\[25\]
spare_logic\[3\]/spare_logic1\[26\]
spare_logic\[3\]/spare_logic1\[2\]
spare_logic\[3\]/spare_logic1\[3\]
spare_logic\[3\]/spare_logic1\[4\]
spare_logic\[3\]/spare_logic1\[5\]
spare_logic\[3\]/spare_logic1\[6\]
spare_logic\[3\]/spare_logic1\[7\]
spare_logic\[3\]/spare_logic1\[8\]
spare_logic\[3\]/spare_logic1\[9\]
user_id_value/mask_rev[31]
user_id_value/mask_rev[30]
user_id_value/mask_rev[29]
user_id_value/mask_rev[28]
user_id_value/mask_rev[27]
user_id_value/mask_rev[26]
user_id_value/mask_rev[25]
user_id_value/mask_rev[24]
user_id_value/mask_rev[23]
user_id_value/mask_rev[22]
user_id_value/mask_rev[21]
user_id_value/mask_rev[20]
user_id_value/mask_rev[19]
user_id_value/mask_rev[18]
user_id_value/mask_rev[17]
user_id_value/mask_rev[16]
user_id_value/mask_rev[15]
user_id_value/mask_rev[14]
user_id_value/mask_rev[13]
user_id_value/mask_rev[12]
user_id_value/mask_rev[11]
user_id_value/mask_rev[10]
user_id_value/mask_rev[9]
user_id_value/mask_rev[8]
user_id_value/mask_rev[7]
user_id_value/mask_rev[6]
user_id_value/mask_rev[5]
user_id_value/mask_rev[4]
user_id_value/mask_rev[3]
user_id_value/mask_rev[2]
user_id_value/mask_rev[1]
user_id_value/mask_rev[0]
user_id_value/user_proj_id_high\[0\]
user_id_value/user_proj_id_high\[10\]
user_id_value/user_proj_id_high\[11\]
user_id_value/user_proj_id_high\[12\]
user_id_value/user_proj_id_high\[13\]
user_id_value/user_proj_id_high\[14\]
user_id_value/user_proj_id_high\[15\]
user_id_value/user_proj_id_high\[16\]
user_id_value/user_proj_id_high\[17\]
user_id_value/user_proj_id_high\[18\]
user_id_value/user_proj_id_high\[19\]
user_id_value/user_proj_id_high\[1\]
user_id_value/user_proj_id_high\[20\]
user_id_value/user_proj_id_high\[21\]
user_id_value/user_proj_id_high\[22\]
user_id_value/user_proj_id_high\[23\]
user_id_value/user_proj_id_high\[24\]
user_id_value/user_proj_id_high\[25\]
user_id_value/user_proj_id_high\[26\]
user_id_value/user_proj_id_high\[27\]
user_id_value/user_proj_id_high\[28\]
user_id_value/user_proj_id_high\[29\]
user_id_value/user_proj_id_high\[2\]
user_id_value/user_proj_id_high\[30\]
user_id_value/user_proj_id_high\[31\]
user_id_value/user_proj_id_high\[3\]
user_id_value/user_proj_id_high\[4\]
user_id_value/user_proj_id_high\[5\]
user_id_value/user_proj_id_high\[6\]
user_id_value/user_proj_id_high\[7\]
user_id_value/user_proj_id_high\[8\]
user_id_value/user_proj_id_high\[9\]
Found 854 partially unannotated nets.
flash_clkrst_buffers/out_s[7]
padframe/flash_clk_pad/OE_N
flash_clkrst_buffers/out_s[8]
padframe/flash_csb_pad/OUT
flash_clkrst_buffers/out_s[9]
padframe/flash_clk_pad/OUT
flash_clkrst_buffers/out_s[0]
padframe/flash_io1_pad/OUT
flash_clkrst_buffers/out_s[1]
padframe/flash_io0_pad/OUT
flash_clkrst_buffers/out_s[2]
padframe/flash_io1_pad/DM[2]
padframe/flash_io1_pad/DM[1]
padframe/flash_io1_pad/INP_DIS
flash_clkrst_buffers/out_s[3]
padframe/flash_io0_pad/DM[2]
padframe/flash_io0_pad/DM[1]
padframe/flash_io0_pad/INP_DIS
flash_clkrst_buffers/out_s[4]
padframe/flash_io1_pad/DM[0]
padframe/flash_io1_pad/OE_N
flash_clkrst_buffers/out_s[5]
padframe/flash_io0_pad/DM[0]
padframe/flash_io0_pad/OE_N
flash_clkrst_buffers/out_s[6]
padframe/flash_csb_pad/OE_N
gpio_control_bidir_1\[0\]/one
padframe/mprj_pads.area1_io_pad\[0\]/ENABLE_VDDIO
gpio_control_bidir_1\[0\]/pad_gpio_dm[0]
padframe/mprj_pads.area1_io_pad\[0\]/DM[0]
gpio_control_bidir_1\[0\]/pad_gpio_dm[1]
padframe/mprj_pads.area1_io_pad\[0\]/DM[1]
gpio_control_bidir_1\[0\]/pad_gpio_dm[2]
padframe/mprj_pads.area1_io_pad\[0\]/DM[2]
gpio_control_bidir_1\[0\]/pad_gpio_holdover
padframe/mprj_pads.area1_io_pad\[0\]/HLD_OVR
gpio_control_bidir_1\[0\]/pad_gpio_ib_mode_sel
padframe/mprj_pads.area1_io_pad\[0\]/IB_MODE_SEL
gpio_control_bidir_1\[0\]/pad_gpio_inenb
padframe/mprj_pads.area1_io_pad\[0\]/INP_DIS
gpio_control_bidir_1\[0\]/pad_gpio_out
padframe/mprj_pads.area1_io_pad\[0\]/OUT
gpio_control_bidir_1\[0\]/pad_gpio_outenb
padframe/mprj_pads.area1_io_pad\[0\]/OE_N
gpio_control_bidir_1\[0\]/pad_gpio_slow_sel
padframe/mprj_pads.area1_io_pad\[0\]/SLOW
gpio_control_bidir_1\[0\]/pad_gpio_vtrip_sel
padframe/mprj_pads.area1_io_pad\[0\]/VTRIP_SEL
gpio_control_bidir_1\[0\]/pad_gpio_ana_en
padframe/mprj_pads.area1_io_pad\[0\]/ANALOG_EN
gpio_control_bidir_1\[0\]/pad_gpio_ana_pol
padframe/mprj_pads.area1_io_pad\[0\]/ANALOG_POL
gpio_control_bidir_1\[0\]/pad_gpio_ana_sel
padframe/mprj_pads.area1_io_pad\[0\]/ANALOG_SEL
gpio_control_bidir_1\[1\]/one
padframe/mprj_pads.area1_io_pad\[1\]/ENABLE_VDDIO
gpio_control_bidir_1\[1\]/pad_gpio_dm[0]
padframe/mprj_pads.area1_io_pad\[1\]/DM[0]
gpio_control_bidir_1\[1\]/pad_gpio_dm[1]
padframe/mprj_pads.area1_io_pad\[1\]/DM[1]
gpio_control_bidir_1\[1\]/pad_gpio_dm[2]
padframe/mprj_pads.area1_io_pad\[1\]/DM[2]
gpio_control_bidir_1\[1\]/pad_gpio_holdover
padframe/mprj_pads.area1_io_pad\[1\]/HLD_OVR
gpio_control_bidir_1\[1\]/pad_gpio_ib_mode_sel
padframe/mprj_pads.area1_io_pad\[1\]/IB_MODE_SEL
gpio_control_bidir_1\[1\]/pad_gpio_inenb
padframe/mprj_pads.area1_io_pad\[1\]/INP_DIS
gpio_control_bidir_1\[1\]/pad_gpio_out
padframe/mprj_pads.area1_io_pad\[1\]/OUT
gpio_control_bidir_1\[1\]/pad_gpio_outenb
padframe/mprj_pads.area1_io_pad\[1\]/OE_N
gpio_control_bidir_1\[1\]/pad_gpio_slow_sel
padframe/mprj_pads.area1_io_pad\[1\]/SLOW
gpio_control_bidir_1\[1\]/pad_gpio_vtrip_sel
padframe/mprj_pads.area1_io_pad\[1\]/VTRIP_SEL
gpio_control_bidir_1\[1\]/pad_gpio_ana_en
padframe/mprj_pads.area1_io_pad\[1\]/ANALOG_EN
gpio_control_bidir_1\[1\]/pad_gpio_ana_pol
padframe/mprj_pads.area1_io_pad\[1\]/ANALOG_POL
gpio_control_bidir_1\[1\]/pad_gpio_ana_sel
padframe/mprj_pads.area1_io_pad\[1\]/ANALOG_SEL
gpio_control_bidir_2\[0\]/one
padframe/mprj_pads.area2_io_pad\[16\]/ENABLE_VDDIO
gpio_control_bidir_2\[0\]/pad_gpio_dm[0]
padframe/mprj_pads.area2_io_pad\[16\]/DM[0]
gpio_control_bidir_2\[0\]/pad_gpio_dm[1]
padframe/mprj_pads.area2_io_pad\[16\]/DM[1]
gpio_control_bidir_2\[0\]/pad_gpio_dm[2]
padframe/mprj_pads.area2_io_pad\[16\]/DM[2]
gpio_control_bidir_2\[0\]/pad_gpio_holdover
padframe/mprj_pads.area2_io_pad\[16\]/HLD_OVR
gpio_control_bidir_2\[0\]/pad_gpio_ib_mode_sel
padframe/mprj_pads.area2_io_pad\[16\]/IB_MODE_SEL
gpio_control_bidir_2\[0\]/pad_gpio_inenb
padframe/mprj_pads.area2_io_pad\[16\]/INP_DIS
gpio_control_bidir_2\[0\]/pad_gpio_out
padframe/mprj_pads.area2_io_pad\[16\]/OUT
gpio_control_bidir_2\[0\]/pad_gpio_outenb
padframe/mprj_pads.area2_io_pad\[16\]/OE_N
gpio_control_bidir_2\[0\]/pad_gpio_slow_sel
padframe/mprj_pads.area2_io_pad\[16\]/SLOW
gpio_control_bidir_2\[0\]/pad_gpio_vtrip_sel
padframe/mprj_pads.area2_io_pad\[16\]/VTRIP_SEL
gpio_control_bidir_2\[0\]/mgmt_gpio_in
sigbuf/signal_buffers\[89\]/A
gpio_control_bidir_2\[0\]/pad_gpio_ana_en
padframe/mprj_pads.area2_io_pad\[16\]/ANALOG_EN
gpio_control_bidir_2\[0\]/pad_gpio_ana_pol
padframe/mprj_pads.area2_io_pad\[16\]/ANALOG_POL
gpio_control_bidir_2\[0\]/pad_gpio_ana_sel
padframe/mprj_pads.area2_io_pad\[16\]/ANALOG_SEL
gpio_control_bidir_2\[1\]/one
padframe/mprj_pads.area2_io_pad\[17\]/ENABLE_VDDIO
gpio_control_bidir_2\[1\]/pad_gpio_dm[0]
padframe/mprj_pads.area2_io_pad\[17\]/DM[0]
gpio_control_bidir_2\[1\]/pad_gpio_dm[1]
padframe/mprj_pads.area2_io_pad\[17\]/DM[1]
gpio_control_bidir_2\[1\]/pad_gpio_dm[2]
padframe/mprj_pads.area2_io_pad\[17\]/DM[2]
gpio_control_bidir_2\[1\]/pad_gpio_holdover
padframe/mprj_pads.area2_io_pad\[17\]/HLD_OVR
gpio_control_bidir_2\[1\]/pad_gpio_ib_mode_sel
padframe/mprj_pads.area2_io_pad\[17\]/IB_MODE_SEL
gpio_control_bidir_2\[1\]/pad_gpio_inenb
padframe/mprj_pads.area2_io_pad\[17\]/INP_DIS
gpio_control_bidir_2\[1\]/pad_gpio_out
padframe/mprj_pads.area2_io_pad\[17\]/OUT
gpio_control_bidir_2\[1\]/pad_gpio_outenb
padframe/mprj_pads.area2_io_pad\[17\]/OE_N
gpio_control_bidir_2\[1\]/pad_gpio_slow_sel
padframe/mprj_pads.area2_io_pad\[17\]/SLOW
gpio_control_bidir_2\[1\]/pad_gpio_vtrip_sel
padframe/mprj_pads.area2_io_pad\[17\]/VTRIP_SEL
gpio_control_bidir_2\[1\]/mgmt_gpio_in
sigbuf/signal_buffers\[91\]/A
gpio_control_bidir_2\[1\]/pad_gpio_ana_en
padframe/mprj_pads.area2_io_pad\[17\]/ANALOG_EN
gpio_control_bidir_2\[1\]/pad_gpio_ana_pol
padframe/mprj_pads.area2_io_pad\[17\]/ANALOG_POL
gpio_control_bidir_2\[1\]/pad_gpio_ana_sel
padframe/mprj_pads.area2_io_pad\[17\]/ANALOG_SEL
gpio_control_bidir_2\[2\]/one
padframe/mprj_pads.area2_io_pad\[18\]/ENABLE_VDDIO
gpio_control_bidir_2\[2\]/pad_gpio_dm[0]
padframe/mprj_pads.area2_io_pad\[18\]/DM[0]
gpio_control_bidir_2\[2\]/pad_gpio_dm[1]
padframe/mprj_pads.area2_io_pad\[18\]/DM[1]
gpio_control_bidir_2\[2\]/pad_gpio_dm[2]
padframe/mprj_pads.area2_io_pad\[18\]/DM[2]
gpio_control_bidir_2\[2\]/pad_gpio_holdover
padframe/mprj_pads.area2_io_pad\[18\]/HLD_OVR
gpio_control_bidir_2\[2\]/pad_gpio_ib_mode_sel
padframe/mprj_pads.area2_io_pad\[18\]/IB_MODE_SEL
gpio_control_bidir_2\[2\]/pad_gpio_inenb
padframe/mprj_pads.area2_io_pad\[18\]/INP_DIS
gpio_control_bidir_2\[2\]/pad_gpio_out
padframe/mprj_pads.area2_io_pad\[18\]/OUT
gpio_control_bidir_2\[2\]/pad_gpio_outenb
padframe/mprj_pads.area2_io_pad\[18\]/OE_N
gpio_control_bidir_2\[2\]/pad_gpio_slow_sel
padframe/mprj_pads.area2_io_pad\[18\]/SLOW
gpio_control_bidir_2\[2\]/pad_gpio_vtrip_sel
padframe/mprj_pads.area2_io_pad\[18\]/VTRIP_SEL
gpio_control_bidir_2\[2\]/mgmt_gpio_in
sigbuf/signal_buffers\[93\]/A
gpio_control_bidir_2\[2\]/pad_gpio_ana_en
padframe/mprj_pads.area2_io_pad\[18\]/ANALOG_EN
gpio_control_bidir_2\[2\]/pad_gpio_ana_pol
padframe/mprj_pads.area2_io_pad\[18\]/ANALOG_POL
gpio_control_bidir_2\[2\]/pad_gpio_ana_sel
padframe/mprj_pads.area2_io_pad\[18\]/ANALOG_SEL
gpio_control_in_1\[0\]/one
padframe/mprj_pads.area1_io_pad\[8\]/ENABLE_VDDIO
gpio_control_in_1\[0\]/pad_gpio_dm[0]
padframe/mprj_pads.area1_io_pad\[8\]/DM[0]
gpio_control_in_1\[0\]/pad_gpio_dm[1]
padframe/mprj_pads.area1_io_pad\[8\]/DM[1]
gpio_control_in_1\[0\]/pad_gpio_dm[2]
padframe/mprj_pads.area1_io_pad\[8\]/DM[2]
gpio_control_in_1\[0\]/pad_gpio_holdover
padframe/mprj_pads.area1_io_pad\[8\]/HLD_OVR
gpio_control_in_1\[0\]/pad_gpio_ib_mode_sel
padframe/mprj_pads.area1_io_pad\[8\]/IB_MODE_SEL
gpio_control_in_1\[0\]/pad_gpio_inenb
padframe/mprj_pads.area1_io_pad\[8\]/INP_DIS
gpio_control_in_1\[0\]/pad_gpio_out
padframe/mprj_pads.area1_io_pad\[8\]/OUT
gpio_control_in_1\[0\]/pad_gpio_outenb
padframe/mprj_pads.area1_io_pad\[8\]/OE_N
gpio_control_in_1\[0\]/pad_gpio_slow_sel
padframe/mprj_pads.area1_io_pad\[8\]/SLOW
gpio_control_in_1\[0\]/pad_gpio_vtrip_sel
padframe/mprj_pads.area1_io_pad\[8\]/VTRIP_SEL
gpio_control_in_1\[0\]/mgmt_gpio_in
sigbuf/signal_buffers\[1\]/A
gpio_control_in_1\[0\]/pad_gpio_ana_en
padframe/mprj_pads.area1_io_pad\[8\]/ANALOG_EN
gpio_control_in_1\[0\]/pad_gpio_ana_pol
padframe/mprj_pads.area1_io_pad\[8\]/ANALOG_POL
gpio_control_in_1\[0\]/pad_gpio_ana_sel
padframe/mprj_pads.area1_io_pad\[8\]/ANALOG_SEL
gpio_control_in_1\[10\]/one
padframe/mprj_pads.area1_io_pad\[18\]/ENABLE_VDDIO
gpio_control_in_1\[10\]/pad_gpio_dm[0]
padframe/mprj_pads.area1_io_pad\[18\]/DM[0]
gpio_control_in_1\[10\]/pad_gpio_dm[1]
padframe/mprj_pads.area1_io_pad\[18\]/DM[1]
gpio_control_in_1\[10\]/pad_gpio_dm[2]
padframe/mprj_pads.area1_io_pad\[18\]/DM[2]
gpio_control_in_1\[10\]/pad_gpio_holdover
padframe/mprj_pads.area1_io_pad\[18\]/HLD_OVR
gpio_control_in_1\[10\]/pad_gpio_ib_mode_sel
padframe/mprj_pads.area1_io_pad\[18\]/IB_MODE_SEL
gpio_control_in_1\[10\]/pad_gpio_inenb
padframe/mprj_pads.area1_io_pad\[18\]/INP_DIS
gpio_control_in_1\[10\]/pad_gpio_out
padframe/mprj_pads.area1_io_pad\[18\]/OUT
gpio_control_in_1\[10\]/pad_gpio_outenb
padframe/mprj_pads.area1_io_pad\[18\]/OE_N
gpio_control_in_1\[10\]/pad_gpio_slow_sel
padframe/mprj_pads.area1_io_pad\[18\]/SLOW
gpio_control_in_1\[10\]/pad_gpio_vtrip_sel
padframe/mprj_pads.area1_io_pad\[18\]/VTRIP_SEL
gpio_control_in_1\[10\]/mgmt_gpio_in
sigbuf/signal_buffers\[19\]/A
gpio_control_in_1\[10\]/pad_gpio_ana_en
padframe/mprj_pads.area1_io_pad\[18\]/ANALOG_EN
gpio_control_in_1\[10\]/pad_gpio_ana_pol
padframe/mprj_pads.area1_io_pad\[18\]/ANALOG_POL
gpio_control_in_1\[10\]/pad_gpio_ana_sel
padframe/mprj_pads.area1_io_pad\[18\]/ANALOG_SEL
gpio_control_in_1\[1\]/one
padframe/mprj_pads.area1_io_pad\[9\]/ENABLE_VDDIO
gpio_control_in_1\[1\]/pad_gpio_dm[0]
padframe/mprj_pads.area1_io_pad\[9\]/DM[0]
gpio_control_in_1\[1\]/pad_gpio_dm[1]
padframe/mprj_pads.area1_io_pad\[9\]/DM[1]
gpio_control_in_1\[1\]/pad_gpio_dm[2]
padframe/mprj_pads.area1_io_pad\[9\]/DM[2]
gpio_control_in_1\[1\]/pad_gpio_holdover
padframe/mprj_pads.area1_io_pad\[9\]/HLD_OVR
gpio_control_in_1\[1\]/pad_gpio_ib_mode_sel
padframe/mprj_pads.area1_io_pad\[9\]/IB_MODE_SEL
gpio_control_in_1\[1\]/pad_gpio_inenb
padframe/mprj_pads.area1_io_pad\[9\]/INP_DIS
gpio_control_in_1\[1\]/pad_gpio_out
padframe/mprj_pads.area1_io_pad\[9\]/OUT
gpio_control_in_1\[1\]/pad_gpio_outenb
padframe/mprj_pads.area1_io_pad\[9\]/OE_N
gpio_control_in_1\[1\]/pad_gpio_slow_sel
padframe/mprj_pads.area1_io_pad\[9\]/SLOW
gpio_control_in_1\[1\]/pad_gpio_vtrip_sel
padframe/mprj_pads.area1_io_pad\[9\]/VTRIP_SEL
gpio_control_in_1\[1\]/mgmt_gpio_in
sigbuf/signal_buffers\[2\]/A
gpio_control_in_1\[1\]/pad_gpio_ana_en
padframe/mprj_pads.area1_io_pad\[9\]/ANALOG_EN
gpio_control_in_1\[1\]/pad_gpio_ana_pol
padframe/mprj_pads.area1_io_pad\[9\]/ANALOG_POL
gpio_control_in_1\[1\]/pad_gpio_ana_sel
padframe/mprj_pads.area1_io_pad\[9\]/ANALOG_SEL
gpio_control_in_1\[2\]/one
padframe/mprj_pads.area1_io_pad\[10\]/ENABLE_VDDIO
gpio_control_in_1\[2\]/pad_gpio_dm[0]
padframe/mprj_pads.area1_io_pad\[10\]/DM[0]
gpio_control_in_1\[2\]/pad_gpio_dm[1]
padframe/mprj_pads.area1_io_pad\[10\]/DM[1]
gpio_control_in_1\[2\]/pad_gpio_dm[2]
padframe/mprj_pads.area1_io_pad\[10\]/DM[2]
gpio_control_in_1\[2\]/pad_gpio_holdover
padframe/mprj_pads.area1_io_pad\[10\]/HLD_OVR
gpio_control_in_1\[2\]/pad_gpio_ib_mode_sel
padframe/mprj_pads.area1_io_pad\[10\]/IB_MODE_SEL
gpio_control_in_1\[2\]/pad_gpio_inenb
padframe/mprj_pads.area1_io_pad\[10\]/INP_DIS
gpio_control_in_1\[2\]/pad_gpio_out
padframe/mprj_pads.area1_io_pad\[10\]/OUT
gpio_control_in_1\[2\]/pad_gpio_outenb
padframe/mprj_pads.area1_io_pad\[10\]/OE_N
gpio_control_in_1\[2\]/pad_gpio_slow_sel
padframe/mprj_pads.area1_io_pad\[10\]/SLOW
gpio_control_in_1\[2\]/pad_gpio_vtrip_sel
padframe/mprj_pads.area1_io_pad\[10\]/VTRIP_SEL
gpio_control_in_1\[2\]/mgmt_gpio_in
sigbuf/signal_buffers\[3\]/A
gpio_control_in_1\[2\]/pad_gpio_ana_en
padframe/mprj_pads.area1_io_pad\[10\]/ANALOG_EN
gpio_control_in_1\[2\]/pad_gpio_ana_pol
padframe/mprj_pads.area1_io_pad\[10\]/ANALOG_POL
gpio_control_in_1\[2\]/pad_gpio_ana_sel
padframe/mprj_pads.area1_io_pad\[10\]/ANALOG_SEL
gpio_control_in_1\[3\]/one
padframe/mprj_pads.area1_io_pad\[11\]/ENABLE_VDDIO
gpio_control_in_1\[3\]/pad_gpio_dm[0]
padframe/mprj_pads.area1_io_pad\[11\]/DM[0]
gpio_control_in_1\[3\]/pad_gpio_dm[1]
padframe/mprj_pads.area1_io_pad\[11\]/DM[1]
gpio_control_in_1\[3\]/pad_gpio_dm[2]
padframe/mprj_pads.area1_io_pad\[11\]/DM[2]
gpio_control_in_1\[3\]/pad_gpio_holdover
padframe/mprj_pads.area1_io_pad\[11\]/HLD_OVR
gpio_control_in_1\[3\]/pad_gpio_ib_mode_sel
padframe/mprj_pads.area1_io_pad\[11\]/IB_MODE_SEL
gpio_control_in_1\[3\]/pad_gpio_inenb
padframe/mprj_pads.area1_io_pad\[11\]/INP_DIS
gpio_control_in_1\[3\]/pad_gpio_out
padframe/mprj_pads.area1_io_pad\[11\]/OUT
gpio_control_in_1\[3\]/pad_gpio_outenb
padframe/mprj_pads.area1_io_pad\[11\]/OE_N
gpio_control_in_1\[3\]/pad_gpio_slow_sel
padframe/mprj_pads.area1_io_pad\[11\]/SLOW
gpio_control_in_1\[3\]/pad_gpio_vtrip_sel
padframe/mprj_pads.area1_io_pad\[11\]/VTRIP_SEL
gpio_control_in_1\[3\]/mgmt_gpio_in
sigbuf/signal_buffers\[4\]/A
gpio_control_in_1\[3\]/pad_gpio_ana_en
padframe/mprj_pads.area1_io_pad\[11\]/ANALOG_EN
gpio_control_in_1\[3\]/pad_gpio_ana_pol
padframe/mprj_pads.area1_io_pad\[11\]/ANALOG_POL
gpio_control_in_1\[3\]/pad_gpio_ana_sel
padframe/mprj_pads.area1_io_pad\[11\]/ANALOG_SEL
gpio_control_in_1\[4\]/one
padframe/mprj_pads.area1_io_pad\[12\]/ENABLE_VDDIO
gpio_control_in_1\[4\]/pad_gpio_dm[0]
padframe/mprj_pads.area1_io_pad\[12\]/DM[0]
gpio_control_in_1\[4\]/pad_gpio_dm[1]
padframe/mprj_pads.area1_io_pad\[12\]/DM[1]
gpio_control_in_1\[4\]/pad_gpio_dm[2]
padframe/mprj_pads.area1_io_pad\[12\]/DM[2]
gpio_control_in_1\[4\]/pad_gpio_holdover
padframe/mprj_pads.area1_io_pad\[12\]/HLD_OVR
gpio_control_in_1\[4\]/pad_gpio_ib_mode_sel
padframe/mprj_pads.area1_io_pad\[12\]/IB_MODE_SEL
gpio_control_in_1\[4\]/pad_gpio_inenb
padframe/mprj_pads.area1_io_pad\[12\]/INP_DIS
gpio_control_in_1\[4\]/pad_gpio_out
padframe/mprj_pads.area1_io_pad\[12\]/OUT
gpio_control_in_1\[4\]/pad_gpio_outenb
padframe/mprj_pads.area1_io_pad\[12\]/OE_N
gpio_control_in_1\[4\]/pad_gpio_slow_sel
padframe/mprj_pads.area1_io_pad\[12\]/SLOW
gpio_control_in_1\[4\]/pad_gpio_vtrip_sel
padframe/mprj_pads.area1_io_pad\[12\]/VTRIP_SEL
gpio_control_in_1\[4\]/mgmt_gpio_in
sigbuf/signal_buffers\[5\]/A
gpio_control_in_1\[4\]/pad_gpio_ana_en
padframe/mprj_pads.area1_io_pad\[12\]/ANALOG_EN
gpio_control_in_1\[4\]/pad_gpio_ana_pol
padframe/mprj_pads.area1_io_pad\[12\]/ANALOG_POL
gpio_control_in_1\[4\]/pad_gpio_ana_sel
padframe/mprj_pads.area1_io_pad\[12\]/ANALOG_SEL
gpio_control_in_1\[5\]/one
padframe/mprj_pads.area1_io_pad\[13\]/ENABLE_VDDIO
gpio_control_in_1\[5\]/pad_gpio_dm[0]
padframe/mprj_pads.area1_io_pad\[13\]/DM[0]
gpio_control_in_1\[5\]/pad_gpio_dm[1]
padframe/mprj_pads.area1_io_pad\[13\]/DM[1]
gpio_control_in_1\[5\]/pad_gpio_dm[2]
padframe/mprj_pads.area1_io_pad\[13\]/DM[2]
gpio_control_in_1\[5\]/pad_gpio_holdover
padframe/mprj_pads.area1_io_pad\[13\]/HLD_OVR
gpio_control_in_1\[5\]/pad_gpio_ib_mode_sel
padframe/mprj_pads.area1_io_pad\[13\]/IB_MODE_SEL
gpio_control_in_1\[5\]/pad_gpio_inenb
padframe/mprj_pads.area1_io_pad\[13\]/INP_DIS
gpio_control_in_1\[5\]/pad_gpio_out
padframe/mprj_pads.area1_io_pad\[13\]/OUT
gpio_control_in_1\[5\]/pad_gpio_outenb
padframe/mprj_pads.area1_io_pad\[13\]/OE_N
gpio_control_in_1\[5\]/pad_gpio_slow_sel
padframe/mprj_pads.area1_io_pad\[13\]/SLOW
gpio_control_in_1\[5\]/pad_gpio_vtrip_sel
padframe/mprj_pads.area1_io_pad\[13\]/VTRIP_SEL
gpio_control_in_1\[5\]/mgmt_gpio_in
sigbuf/signal_buffers\[6\]/A
gpio_control_in_1\[5\]/pad_gpio_ana_en
padframe/mprj_pads.area1_io_pad\[13\]/ANALOG_EN
gpio_control_in_1\[5\]/pad_gpio_ana_pol
padframe/mprj_pads.area1_io_pad\[13\]/ANALOG_POL
gpio_control_in_1\[5\]/pad_gpio_ana_sel
padframe/mprj_pads.area1_io_pad\[13\]/ANALOG_SEL
gpio_control_in_1\[6\]/one
padframe/mprj_pads.area1_io_pad\[14\]/ENABLE_VDDIO
gpio_control_in_1\[6\]/pad_gpio_dm[0]
padframe/mprj_pads.area1_io_pad\[14\]/DM[0]
gpio_control_in_1\[6\]/pad_gpio_dm[1]
padframe/mprj_pads.area1_io_pad\[14\]/DM[1]
gpio_control_in_1\[6\]/pad_gpio_dm[2]
padframe/mprj_pads.area1_io_pad\[14\]/DM[2]
gpio_control_in_1\[6\]/pad_gpio_holdover
padframe/mprj_pads.area1_io_pad\[14\]/HLD_OVR
gpio_control_in_1\[6\]/pad_gpio_ib_mode_sel
padframe/mprj_pads.area1_io_pad\[14\]/IB_MODE_SEL
gpio_control_in_1\[6\]/pad_gpio_inenb
padframe/mprj_pads.area1_io_pad\[14\]/INP_DIS
gpio_control_in_1\[6\]/pad_gpio_out
padframe/mprj_pads.area1_io_pad\[14\]/OUT
gpio_control_in_1\[6\]/pad_gpio_outenb
padframe/mprj_pads.area1_io_pad\[14\]/OE_N
gpio_control_in_1\[6\]/pad_gpio_slow_sel
padframe/mprj_pads.area1_io_pad\[14\]/SLOW
gpio_control_in_1\[6\]/pad_gpio_vtrip_sel
padframe/mprj_pads.area1_io_pad\[14\]/VTRIP_SEL
gpio_control_in_1\[6\]/mgmt_gpio_in
sigbuf/signal_buffers\[8\]/A
gpio_control_in_1\[6\]/pad_gpio_ana_en
padframe/mprj_pads.area1_io_pad\[14\]/ANALOG_EN
gpio_control_in_1\[6\]/pad_gpio_ana_pol
padframe/mprj_pads.area1_io_pad\[14\]/ANALOG_POL
gpio_control_in_1\[6\]/pad_gpio_ana_sel
padframe/mprj_pads.area1_io_pad\[14\]/ANALOG_SEL
gpio_control_in_1\[7\]/one
padframe/mprj_pads.area1_io_pad\[15\]/ENABLE_VDDIO
gpio_control_in_1\[7\]/pad_gpio_dm[0]
padframe/mprj_pads.area1_io_pad\[15\]/DM[0]
gpio_control_in_1\[7\]/pad_gpio_dm[1]
padframe/mprj_pads.area1_io_pad\[15\]/DM[1]
gpio_control_in_1\[7\]/pad_gpio_dm[2]
padframe/mprj_pads.area1_io_pad\[15\]/DM[2]
gpio_control_in_1\[7\]/pad_gpio_holdover
padframe/mprj_pads.area1_io_pad\[15\]/HLD_OVR
gpio_control_in_1\[7\]/pad_gpio_ib_mode_sel
padframe/mprj_pads.area1_io_pad\[15\]/IB_MODE_SEL
gpio_control_in_1\[7\]/pad_gpio_inenb
padframe/mprj_pads.area1_io_pad\[15\]/INP_DIS
gpio_control_in_1\[7\]/pad_gpio_out
padframe/mprj_pads.area1_io_pad\[15\]/OUT
gpio_control_in_1\[7\]/pad_gpio_outenb
padframe/mprj_pads.area1_io_pad\[15\]/OE_N
gpio_control_in_1\[7\]/pad_gpio_slow_sel
padframe/mprj_pads.area1_io_pad\[15\]/SLOW
gpio_control_in_1\[7\]/pad_gpio_vtrip_sel
padframe/mprj_pads.area1_io_pad\[15\]/VTRIP_SEL
gpio_control_in_1\[7\]/mgmt_gpio_in
sigbuf/signal_buffers\[10\]/A
gpio_control_in_1\[7\]/pad_gpio_ana_en
padframe/mprj_pads.area1_io_pad\[15\]/ANALOG_EN
gpio_control_in_1\[7\]/pad_gpio_ana_pol
padframe/mprj_pads.area1_io_pad\[15\]/ANALOG_POL
gpio_control_in_1\[7\]/pad_gpio_ana_sel
padframe/mprj_pads.area1_io_pad\[15\]/ANALOG_SEL
gpio_control_in_1\[8\]/one
padframe/mprj_pads.area1_io_pad\[16\]/ENABLE_VDDIO
gpio_control_in_1\[8\]/pad_gpio_dm[0]
padframe/mprj_pads.area1_io_pad\[16\]/DM[0]
gpio_control_in_1\[8\]/pad_gpio_dm[1]
padframe/mprj_pads.area1_io_pad\[16\]/DM[1]
gpio_control_in_1\[8\]/pad_gpio_dm[2]
padframe/mprj_pads.area1_io_pad\[16\]/DM[2]
gpio_control_in_1\[8\]/pad_gpio_holdover
padframe/mprj_pads.area1_io_pad\[16\]/HLD_OVR
gpio_control_in_1\[8\]/pad_gpio_ib_mode_sel
padframe/mprj_pads.area1_io_pad\[16\]/IB_MODE_SEL
gpio_control_in_1\[8\]/pad_gpio_inenb
padframe/mprj_pads.area1_io_pad\[16\]/INP_DIS
gpio_control_in_1\[8\]/pad_gpio_out
padframe/mprj_pads.area1_io_pad\[16\]/OUT
gpio_control_in_1\[8\]/pad_gpio_outenb
padframe/mprj_pads.area1_io_pad\[16\]/OE_N
gpio_control_in_1\[8\]/pad_gpio_slow_sel
padframe/mprj_pads.area1_io_pad\[16\]/SLOW
gpio_control_in_1\[8\]/pad_gpio_vtrip_sel
padframe/mprj_pads.area1_io_pad\[16\]/VTRIP_SEL
gpio_control_in_1\[8\]/mgmt_gpio_in
sigbuf/signal_buffers\[13\]/A
gpio_control_in_1\[8\]/pad_gpio_ana_en
padframe/mprj_pads.area1_io_pad\[16\]/ANALOG_EN
gpio_control_in_1\[8\]/pad_gpio_ana_pol
padframe/mprj_pads.area1_io_pad\[16\]/ANALOG_POL
gpio_control_in_1\[8\]/pad_gpio_ana_sel
padframe/mprj_pads.area1_io_pad\[16\]/ANALOG_SEL
gpio_control_in_1\[9\]/one
padframe/mprj_pads.area1_io_pad\[17\]/ENABLE_VDDIO
gpio_control_in_1\[9\]/pad_gpio_dm[0]
padframe/mprj_pads.area1_io_pad\[17\]/DM[0]
gpio_control_in_1\[9\]/pad_gpio_dm[1]
padframe/mprj_pads.area1_io_pad\[17\]/DM[1]
gpio_control_in_1\[9\]/pad_gpio_dm[2]
padframe/mprj_pads.area1_io_pad\[17\]/DM[2]
gpio_control_in_1\[9\]/pad_gpio_holdover
padframe/mprj_pads.area1_io_pad\[17\]/HLD_OVR
gpio_control_in_1\[9\]/pad_gpio_ib_mode_sel
padframe/mprj_pads.area1_io_pad\[17\]/IB_MODE_SEL
gpio_control_in_1\[9\]/pad_gpio_inenb
padframe/mprj_pads.area1_io_pad\[17\]/INP_DIS
gpio_control_in_1\[9\]/pad_gpio_out
padframe/mprj_pads.area1_io_pad\[17\]/OUT
gpio_control_in_1\[9\]/pad_gpio_outenb
padframe/mprj_pads.area1_io_pad\[17\]/OE_N
gpio_control_in_1\[9\]/pad_gpio_slow_sel
padframe/mprj_pads.area1_io_pad\[17\]/SLOW
gpio_control_in_1\[9\]/pad_gpio_vtrip_sel
padframe/mprj_pads.area1_io_pad\[17\]/VTRIP_SEL
gpio_control_in_1\[9\]/mgmt_gpio_in
sigbuf/signal_buffers\[16\]/A
gpio_control_in_1\[9\]/pad_gpio_ana_en
padframe/mprj_pads.area1_io_pad\[17\]/ANALOG_EN
gpio_control_in_1\[9\]/pad_gpio_ana_pol
padframe/mprj_pads.area1_io_pad\[17\]/ANALOG_POL
gpio_control_in_1\[9\]/pad_gpio_ana_sel
padframe/mprj_pads.area1_io_pad\[17\]/ANALOG_SEL
gpio_control_in_1a\[0\]/one
padframe/mprj_pads.area1_io_pad\[2\]/ENABLE_VDDIO
gpio_control_in_1a\[0\]/pad_gpio_dm[0]
padframe/mprj_pads.area1_io_pad\[2\]/DM[0]
gpio_control_in_1a\[0\]/pad_gpio_dm[1]
padframe/mprj_pads.area1_io_pad\[2\]/DM[1]
gpio_control_in_1a\[0\]/pad_gpio_dm[2]
padframe/mprj_pads.area1_io_pad\[2\]/DM[2]
gpio_control_in_1a\[0\]/pad_gpio_holdover
padframe/mprj_pads.area1_io_pad\[2\]/HLD_OVR
gpio_control_in_1a\[0\]/pad_gpio_ib_mode_sel
padframe/mprj_pads.area1_io_pad\[2\]/IB_MODE_SEL
gpio_control_in_1a\[0\]/pad_gpio_inenb
padframe/mprj_pads.area1_io_pad\[2\]/INP_DIS
gpio_control_in_1a\[0\]/pad_gpio_out
padframe/mprj_pads.area1_io_pad\[2\]/OUT
gpio_control_in_1a\[0\]/pad_gpio_outenb
padframe/mprj_pads.area1_io_pad\[2\]/OE_N
gpio_control_in_1a\[0\]/pad_gpio_slow_sel
padframe/mprj_pads.area1_io_pad\[2\]/SLOW
gpio_control_in_1a\[0\]/pad_gpio_vtrip_sel
padframe/mprj_pads.area1_io_pad\[2\]/VTRIP_SEL
gpio_control_in_1a\[0\]/pad_gpio_ana_en
padframe/mprj_pads.area1_io_pad\[2\]/ANALOG_EN
gpio_control_in_1a\[0\]/pad_gpio_ana_pol
padframe/mprj_pads.area1_io_pad\[2\]/ANALOG_POL
gpio_control_in_1a\[0\]/pad_gpio_ana_sel
padframe/mprj_pads.area1_io_pad\[2\]/ANALOG_SEL
gpio_control_in_1a\[1\]/one
padframe/mprj_pads.area1_io_pad\[3\]/ENABLE_VDDIO
gpio_control_in_1a\[1\]/pad_gpio_dm[0]
padframe/mprj_pads.area1_io_pad\[3\]/DM[0]
gpio_control_in_1a\[1\]/pad_gpio_dm[1]
padframe/mprj_pads.area1_io_pad\[3\]/DM[1]
gpio_control_in_1a\[1\]/pad_gpio_dm[2]
padframe/mprj_pads.area1_io_pad\[3\]/DM[2]
gpio_control_in_1a\[1\]/pad_gpio_holdover
padframe/mprj_pads.area1_io_pad\[3\]/HLD_OVR
gpio_control_in_1a\[1\]/pad_gpio_ib_mode_sel
padframe/mprj_pads.area1_io_pad\[3\]/IB_MODE_SEL
gpio_control_in_1a\[1\]/pad_gpio_inenb
padframe/mprj_pads.area1_io_pad\[3\]/INP_DIS
gpio_control_in_1a\[1\]/pad_gpio_out
padframe/mprj_pads.area1_io_pad\[3\]/OUT
gpio_control_in_1a\[1\]/pad_gpio_outenb
padframe/mprj_pads.area1_io_pad\[3\]/OE_N
gpio_control_in_1a\[1\]/pad_gpio_slow_sel
padframe/mprj_pads.area1_io_pad\[3\]/SLOW
gpio_control_in_1a\[1\]/pad_gpio_vtrip_sel
padframe/mprj_pads.area1_io_pad\[3\]/VTRIP_SEL
gpio_control_in_1a\[1\]/pad_gpio_ana_en
padframe/mprj_pads.area1_io_pad\[3\]/ANALOG_EN
gpio_control_in_1a\[1\]/pad_gpio_ana_pol
padframe/mprj_pads.area1_io_pad\[3\]/ANALOG_POL
gpio_control_in_1a\[1\]/pad_gpio_ana_sel
padframe/mprj_pads.area1_io_pad\[3\]/ANALOG_SEL
gpio_control_in_1a\[2\]/one
padframe/mprj_pads.area1_io_pad\[4\]/ENABLE_VDDIO
gpio_control_in_1a\[2\]/pad_gpio_dm[0]
padframe/mprj_pads.area1_io_pad\[4\]/DM[0]
gpio_control_in_1a\[2\]/pad_gpio_dm[1]
padframe/mprj_pads.area1_io_pad\[4\]/DM[1]
gpio_control_in_1a\[2\]/pad_gpio_dm[2]
padframe/mprj_pads.area1_io_pad\[4\]/DM[2]
gpio_control_in_1a\[2\]/pad_gpio_holdover
padframe/mprj_pads.area1_io_pad\[4\]/HLD_OVR
gpio_control_in_1a\[2\]/pad_gpio_ib_mode_sel
padframe/mprj_pads.area1_io_pad\[4\]/IB_MODE_SEL
gpio_control_in_1a\[2\]/pad_gpio_inenb
padframe/mprj_pads.area1_io_pad\[4\]/INP_DIS
gpio_control_in_1a\[2\]/pad_gpio_out
padframe/mprj_pads.area1_io_pad\[4\]/OUT
gpio_control_in_1a\[2\]/pad_gpio_outenb
padframe/mprj_pads.area1_io_pad\[4\]/OE_N
gpio_control_in_1a\[2\]/pad_gpio_slow_sel
padframe/mprj_pads.area1_io_pad\[4\]/SLOW
gpio_control_in_1a\[2\]/pad_gpio_vtrip_sel
padframe/mprj_pads.area1_io_pad\[4\]/VTRIP_SEL
gpio_control_in_1a\[2\]/pad_gpio_ana_en
padframe/mprj_pads.area1_io_pad\[4\]/ANALOG_EN
gpio_control_in_1a\[2\]/pad_gpio_ana_pol
padframe/mprj_pads.area1_io_pad\[4\]/ANALOG_POL
gpio_control_in_1a\[2\]/pad_gpio_ana_sel
padframe/mprj_pads.area1_io_pad\[4\]/ANALOG_SEL
gpio_control_in_1a\[3\]/one
padframe/mprj_pads.area1_io_pad\[5\]/ENABLE_VDDIO
gpio_control_in_1a\[3\]/pad_gpio_dm[0]
padframe/mprj_pads.area1_io_pad\[5\]/DM[0]
gpio_control_in_1a\[3\]/pad_gpio_dm[1]
padframe/mprj_pads.area1_io_pad\[5\]/DM[1]
gpio_control_in_1a\[3\]/pad_gpio_dm[2]
padframe/mprj_pads.area1_io_pad\[5\]/DM[2]
gpio_control_in_1a\[3\]/pad_gpio_holdover
padframe/mprj_pads.area1_io_pad\[5\]/HLD_OVR
gpio_control_in_1a\[3\]/pad_gpio_ib_mode_sel
padframe/mprj_pads.area1_io_pad\[5\]/IB_MODE_SEL
gpio_control_in_1a\[3\]/pad_gpio_inenb
padframe/mprj_pads.area1_io_pad\[5\]/INP_DIS
gpio_control_in_1a\[3\]/pad_gpio_out
padframe/mprj_pads.area1_io_pad\[5\]/OUT
gpio_control_in_1a\[3\]/pad_gpio_outenb
padframe/mprj_pads.area1_io_pad\[5\]/OE_N
gpio_control_in_1a\[3\]/pad_gpio_slow_sel
padframe/mprj_pads.area1_io_pad\[5\]/SLOW
gpio_control_in_1a\[3\]/pad_gpio_vtrip_sel
padframe/mprj_pads.area1_io_pad\[5\]/VTRIP_SEL
gpio_control_in_1a\[3\]/pad_gpio_ana_en
padframe/mprj_pads.area1_io_pad\[5\]/ANALOG_EN
gpio_control_in_1a\[3\]/pad_gpio_ana_pol
padframe/mprj_pads.area1_io_pad\[5\]/ANALOG_POL
gpio_control_in_1a\[3\]/pad_gpio_ana_sel
padframe/mprj_pads.area1_io_pad\[5\]/ANALOG_SEL
gpio_control_in_1a\[4\]/one
padframe/mprj_pads.area1_io_pad\[6\]/ENABLE_VDDIO
gpio_control_in_1a\[4\]/pad_gpio_dm[0]
padframe/mprj_pads.area1_io_pad\[6\]/DM[0]
gpio_control_in_1a\[4\]/pad_gpio_dm[1]
padframe/mprj_pads.area1_io_pad\[6\]/DM[1]
gpio_control_in_1a\[4\]/pad_gpio_dm[2]
padframe/mprj_pads.area1_io_pad\[6\]/DM[2]
gpio_control_in_1a\[4\]/pad_gpio_holdover
padframe/mprj_pads.area1_io_pad\[6\]/HLD_OVR
gpio_control_in_1a\[4\]/pad_gpio_ib_mode_sel
padframe/mprj_pads.area1_io_pad\[6\]/IB_MODE_SEL
gpio_control_in_1a\[4\]/pad_gpio_inenb
padframe/mprj_pads.area1_io_pad\[6\]/INP_DIS
gpio_control_in_1a\[4\]/pad_gpio_out
padframe/mprj_pads.area1_io_pad\[6\]/OUT
gpio_control_in_1a\[4\]/pad_gpio_outenb
padframe/mprj_pads.area1_io_pad\[6\]/OE_N
gpio_control_in_1a\[4\]/pad_gpio_slow_sel
padframe/mprj_pads.area1_io_pad\[6\]/SLOW
gpio_control_in_1a\[4\]/pad_gpio_vtrip_sel
padframe/mprj_pads.area1_io_pad\[6\]/VTRIP_SEL
gpio_control_in_1a\[4\]/pad_gpio_ana_en
padframe/mprj_pads.area1_io_pad\[6\]/ANALOG_EN
gpio_control_in_1a\[4\]/pad_gpio_ana_pol
padframe/mprj_pads.area1_io_pad\[6\]/ANALOG_POL
gpio_control_in_1a\[4\]/pad_gpio_ana_sel
padframe/mprj_pads.area1_io_pad\[6\]/ANALOG_SEL
gpio_control_in_1a\[5\]/one
padframe/mprj_pads.area1_io_pad\[7\]/ENABLE_VDDIO
gpio_control_in_1a\[5\]/pad_gpio_dm[0]
padframe/mprj_pads.area1_io_pad\[7\]/DM[0]
gpio_control_in_1a\[5\]/pad_gpio_dm[1]
padframe/mprj_pads.area1_io_pad\[7\]/DM[1]
gpio_control_in_1a\[5\]/pad_gpio_dm[2]
padframe/mprj_pads.area1_io_pad\[7\]/DM[2]
gpio_control_in_1a\[5\]/pad_gpio_holdover
padframe/mprj_pads.area1_io_pad\[7\]/HLD_OVR
gpio_control_in_1a\[5\]/pad_gpio_ib_mode_sel
padframe/mprj_pads.area1_io_pad\[7\]/IB_MODE_SEL
gpio_control_in_1a\[5\]/pad_gpio_inenb
padframe/mprj_pads.area1_io_pad\[7\]/INP_DIS
gpio_control_in_1a\[5\]/pad_gpio_out
padframe/mprj_pads.area1_io_pad\[7\]/OUT
gpio_control_in_1a\[5\]/pad_gpio_outenb
padframe/mprj_pads.area1_io_pad\[7\]/OE_N
gpio_control_in_1a\[5\]/pad_gpio_slow_sel
padframe/mprj_pads.area1_io_pad\[7\]/SLOW
gpio_control_in_1a\[5\]/pad_gpio_vtrip_sel
padframe/mprj_pads.area1_io_pad\[7\]/VTRIP_SEL
gpio_control_in_1a\[5\]/mgmt_gpio_in
sigbuf/signal_buffers\[0\]/A
gpio_control_in_1a\[5\]/pad_gpio_ana_en
padframe/mprj_pads.area1_io_pad\[7\]/ANALOG_EN
gpio_control_in_1a\[5\]/pad_gpio_ana_pol
padframe/mprj_pads.area1_io_pad\[7\]/ANALOG_POL
gpio_control_in_1a\[5\]/pad_gpio_ana_sel
padframe/mprj_pads.area1_io_pad\[7\]/ANALOG_SEL
gpio_control_in_2\[0\]/one
padframe/mprj_pads.area2_io_pad\[0\]/ENABLE_VDDIO
gpio_control_in_2\[0\]/pad_gpio_dm[0]
padframe/mprj_pads.area2_io_pad\[0\]/DM[0]
gpio_control_in_2\[0\]/pad_gpio_dm[1]
padframe/mprj_pads.area2_io_pad\[0\]/DM[1]
gpio_control_in_2\[0\]/pad_gpio_dm[2]
padframe/mprj_pads.area2_io_pad\[0\]/DM[2]
gpio_control_in_2\[0\]/pad_gpio_holdover
padframe/mprj_pads.area2_io_pad\[0\]/HLD_OVR
gpio_control_in_2\[0\]/pad_gpio_ib_mode_sel
padframe/mprj_pads.area2_io_pad\[0\]/IB_MODE_SEL
gpio_control_in_2\[0\]/pad_gpio_inenb
padframe/mprj_pads.area2_io_pad\[0\]/INP_DIS
gpio_control_in_2\[0\]/pad_gpio_out
padframe/mprj_pads.area2_io_pad\[0\]/OUT
gpio_control_in_2\[0\]/pad_gpio_outenb
padframe/mprj_pads.area2_io_pad\[0\]/OE_N
gpio_control_in_2\[0\]/pad_gpio_slow_sel
padframe/mprj_pads.area2_io_pad\[0\]/SLOW
gpio_control_in_2\[0\]/pad_gpio_vtrip_sel
padframe/mprj_pads.area2_io_pad\[0\]/VTRIP_SEL
gpio_control_in_2\[0\]/mgmt_gpio_in
sigbuf/signal_buffers\[23\]/A
gpio_control_in_2\[0\]/pad_gpio_ana_en
padframe/mprj_pads.area2_io_pad\[0\]/ANALOG_EN
gpio_control_in_2\[0\]/pad_gpio_ana_pol
padframe/mprj_pads.area2_io_pad\[0\]/ANALOG_POL
gpio_control_in_2\[0\]/pad_gpio_ana_sel
padframe/mprj_pads.area2_io_pad\[0\]/ANALOG_SEL
gpio_control_in_2\[10\]/one
padframe/mprj_pads.area2_io_pad\[10\]/ENABLE_VDDIO
gpio_control_in_2\[10\]/pad_gpio_dm[0]
padframe/mprj_pads.area2_io_pad\[10\]/DM[0]
gpio_control_in_2\[10\]/pad_gpio_dm[1]
padframe/mprj_pads.area2_io_pad\[10\]/DM[1]
gpio_control_in_2\[10\]/pad_gpio_dm[2]
padframe/mprj_pads.area2_io_pad\[10\]/DM[2]
gpio_control_in_2\[10\]/pad_gpio_holdover
padframe/mprj_pads.area2_io_pad\[10\]/HLD_OVR
gpio_control_in_2\[10\]/pad_gpio_ib_mode_sel
padframe/mprj_pads.area2_io_pad\[10\]/IB_MODE_SEL
gpio_control_in_2\[10\]/pad_gpio_inenb
padframe/mprj_pads.area2_io_pad\[10\]/INP_DIS
gpio_control_in_2\[10\]/pad_gpio_out
padframe/mprj_pads.area2_io_pad\[10\]/OUT
gpio_control_in_2\[10\]/pad_gpio_outenb
padframe/mprj_pads.area2_io_pad\[10\]/OE_N
gpio_control_in_2\[10\]/pad_gpio_slow_sel
padframe/mprj_pads.area2_io_pad\[10\]/SLOW
gpio_control_in_2\[10\]/pad_gpio_vtrip_sel
padframe/mprj_pads.area2_io_pad\[10\]/VTRIP_SEL
gpio_control_in_2\[10\]/mgmt_gpio_in
sigbuf/signal_buffers\[71\]/A
gpio_control_in_2\[10\]/pad_gpio_ana_en
padframe/mprj_pads.area2_io_pad\[10\]/ANALOG_EN
gpio_control_in_2\[10\]/pad_gpio_ana_pol
padframe/mprj_pads.area2_io_pad\[10\]/ANALOG_POL
gpio_control_in_2\[10\]/pad_gpio_ana_sel
padframe/mprj_pads.area2_io_pad\[10\]/ANALOG_SEL
gpio_control_in_2\[11\]/one
padframe/mprj_pads.area2_io_pad\[11\]/ENABLE_VDDIO
gpio_control_in_2\[11\]/pad_gpio_dm[0]
padframe/mprj_pads.area2_io_pad\[11\]/DM[0]
gpio_control_in_2\[11\]/pad_gpio_dm[1]
padframe/mprj_pads.area2_io_pad\[11\]/DM[1]
gpio_control_in_2\[11\]/pad_gpio_dm[2]
padframe/mprj_pads.area2_io_pad\[11\]/DM[2]
gpio_control_in_2\[11\]/pad_gpio_holdover
padframe/mprj_pads.area2_io_pad\[11\]/HLD_OVR
gpio_control_in_2\[11\]/pad_gpio_ib_mode_sel
padframe/mprj_pads.area2_io_pad\[11\]/IB_MODE_SEL
gpio_control_in_2\[11\]/pad_gpio_inenb
padframe/mprj_pads.area2_io_pad\[11\]/INP_DIS
gpio_control_in_2\[11\]/pad_gpio_out
padframe/mprj_pads.area2_io_pad\[11\]/OUT
gpio_control_in_2\[11\]/pad_gpio_outenb
padframe/mprj_pads.area2_io_pad\[11\]/OE_N
gpio_control_in_2\[11\]/pad_gpio_slow_sel
padframe/mprj_pads.area2_io_pad\[11\]/SLOW
gpio_control_in_2\[11\]/pad_gpio_vtrip_sel
padframe/mprj_pads.area2_io_pad\[11\]/VTRIP_SEL
gpio_control_in_2\[11\]/mgmt_gpio_in
sigbuf/signal_buffers\[75\]/A
gpio_control_in_2\[11\]/pad_gpio_ana_en
padframe/mprj_pads.area2_io_pad\[11\]/ANALOG_EN
gpio_control_in_2\[11\]/pad_gpio_ana_pol
padframe/mprj_pads.area2_io_pad\[11\]/ANALOG_POL
gpio_control_in_2\[11\]/pad_gpio_ana_sel
padframe/mprj_pads.area2_io_pad\[11\]/ANALOG_SEL
gpio_control_in_2\[12\]/one
padframe/mprj_pads.area2_io_pad\[12\]/ENABLE_VDDIO
gpio_control_in_2\[12\]/pad_gpio_dm[0]
padframe/mprj_pads.area2_io_pad\[12\]/DM[0]
gpio_control_in_2\[12\]/pad_gpio_dm[1]
padframe/mprj_pads.area2_io_pad\[12\]/DM[1]
gpio_control_in_2\[12\]/pad_gpio_dm[2]
padframe/mprj_pads.area2_io_pad\[12\]/DM[2]
gpio_control_in_2\[12\]/pad_gpio_holdover
padframe/mprj_pads.area2_io_pad\[12\]/HLD_OVR
gpio_control_in_2\[12\]/pad_gpio_ib_mode_sel
padframe/mprj_pads.area2_io_pad\[12\]/IB_MODE_SEL
gpio_control_in_2\[12\]/pad_gpio_inenb
padframe/mprj_pads.area2_io_pad\[12\]/INP_DIS
gpio_control_in_2\[12\]/pad_gpio_out
padframe/mprj_pads.area2_io_pad\[12\]/OUT
gpio_control_in_2\[12\]/pad_gpio_outenb
padframe/mprj_pads.area2_io_pad\[12\]/OE_N
gpio_control_in_2\[12\]/pad_gpio_slow_sel
padframe/mprj_pads.area2_io_pad\[12\]/SLOW
gpio_control_in_2\[12\]/pad_gpio_vtrip_sel
padframe/mprj_pads.area2_io_pad\[12\]/VTRIP_SEL
gpio_control_in_2\[12\]/mgmt_gpio_in
sigbuf/signal_buffers\[78\]/A
gpio_control_in_2\[12\]/pad_gpio_ana_en
padframe/mprj_pads.area2_io_pad\[12\]/ANALOG_EN
gpio_control_in_2\[12\]/pad_gpio_ana_pol
padframe/mprj_pads.area2_io_pad\[12\]/ANALOG_POL
gpio_control_in_2\[12\]/pad_gpio_ana_sel
padframe/mprj_pads.area2_io_pad\[12\]/ANALOG_SEL
gpio_control_in_2\[13\]/one
padframe/mprj_pads.area2_io_pad\[13\]/ENABLE_VDDIO
gpio_control_in_2\[13\]/pad_gpio_dm[0]
padframe/mprj_pads.area2_io_pad\[13\]/DM[0]
gpio_control_in_2\[13\]/pad_gpio_dm[1]
padframe/mprj_pads.area2_io_pad\[13\]/DM[1]
gpio_control_in_2\[13\]/pad_gpio_dm[2]
padframe/mprj_pads.area2_io_pad\[13\]/DM[2]
gpio_control_in_2\[13\]/pad_gpio_holdover
padframe/mprj_pads.area2_io_pad\[13\]/HLD_OVR
gpio_control_in_2\[13\]/pad_gpio_ib_mode_sel
padframe/mprj_pads.area2_io_pad\[13\]/IB_MODE_SEL
gpio_control_in_2\[13\]/pad_gpio_inenb
padframe/mprj_pads.area2_io_pad\[13\]/INP_DIS
gpio_control_in_2\[13\]/pad_gpio_out
padframe/mprj_pads.area2_io_pad\[13\]/OUT
gpio_control_in_2\[13\]/pad_gpio_outenb
padframe/mprj_pads.area2_io_pad\[13\]/OE_N
gpio_control_in_2\[13\]/pad_gpio_slow_sel
padframe/mprj_pads.area2_io_pad\[13\]/SLOW
gpio_control_in_2\[13\]/pad_gpio_vtrip_sel
padframe/mprj_pads.area2_io_pad\[13\]/VTRIP_SEL
gpio_control_in_2\[13\]/mgmt_gpio_in
sigbuf/signal_buffers\[81\]/A
gpio_control_in_2\[13\]/pad_gpio_ana_en
padframe/mprj_pads.area2_io_pad\[13\]/ANALOG_EN
gpio_control_in_2\[13\]/pad_gpio_ana_pol
padframe/mprj_pads.area2_io_pad\[13\]/ANALOG_POL
gpio_control_in_2\[13\]/pad_gpio_ana_sel
padframe/mprj_pads.area2_io_pad\[13\]/ANALOG_SEL
gpio_control_in_2\[14\]/one
padframe/mprj_pads.area2_io_pad\[14\]/ENABLE_VDDIO
gpio_control_in_2\[14\]/pad_gpio_dm[0]
padframe/mprj_pads.area2_io_pad\[14\]/DM[0]
gpio_control_in_2\[14\]/pad_gpio_dm[1]
padframe/mprj_pads.area2_io_pad\[14\]/DM[1]
gpio_control_in_2\[14\]/pad_gpio_dm[2]
padframe/mprj_pads.area2_io_pad\[14\]/DM[2]
gpio_control_in_2\[14\]/pad_gpio_holdover
padframe/mprj_pads.area2_io_pad\[14\]/HLD_OVR
gpio_control_in_2\[14\]/pad_gpio_ib_mode_sel
padframe/mprj_pads.area2_io_pad\[14\]/IB_MODE_SEL
gpio_control_in_2\[14\]/pad_gpio_inenb
padframe/mprj_pads.area2_io_pad\[14\]/INP_DIS
gpio_control_in_2\[14\]/pad_gpio_out
padframe/mprj_pads.area2_io_pad\[14\]/OUT
gpio_control_in_2\[14\]/pad_gpio_outenb
padframe/mprj_pads.area2_io_pad\[14\]/OE_N
gpio_control_in_2\[14\]/pad_gpio_slow_sel
padframe/mprj_pads.area2_io_pad\[14\]/SLOW
gpio_control_in_2\[14\]/pad_gpio_vtrip_sel
padframe/mprj_pads.area2_io_pad\[14\]/VTRIP_SEL
gpio_control_in_2\[14\]/mgmt_gpio_in
sigbuf/signal_buffers\[84\]/A
gpio_control_in_2\[14\]/pad_gpio_ana_en
padframe/mprj_pads.area2_io_pad\[14\]/ANALOG_EN
gpio_control_in_2\[14\]/pad_gpio_ana_pol
padframe/mprj_pads.area2_io_pad\[14\]/ANALOG_POL
gpio_control_in_2\[14\]/pad_gpio_ana_sel
padframe/mprj_pads.area2_io_pad\[14\]/ANALOG_SEL
gpio_control_in_2\[15\]/one
padframe/mprj_pads.area2_io_pad\[15\]/ENABLE_VDDIO
gpio_control_in_2\[15\]/pad_gpio_dm[0]
padframe/mprj_pads.area2_io_pad\[15\]/DM[0]
gpio_control_in_2\[15\]/pad_gpio_dm[1]
padframe/mprj_pads.area2_io_pad\[15\]/DM[1]
gpio_control_in_2\[15\]/pad_gpio_dm[2]
padframe/mprj_pads.area2_io_pad\[15\]/DM[2]
gpio_control_in_2\[15\]/pad_gpio_holdover
padframe/mprj_pads.area2_io_pad\[15\]/HLD_OVR
gpio_control_in_2\[15\]/pad_gpio_ib_mode_sel
padframe/mprj_pads.area2_io_pad\[15\]/IB_MODE_SEL
gpio_control_in_2\[15\]/pad_gpio_inenb
padframe/mprj_pads.area2_io_pad\[15\]/INP_DIS
gpio_control_in_2\[15\]/pad_gpio_out
padframe/mprj_pads.area2_io_pad\[15\]/OUT
gpio_control_in_2\[15\]/pad_gpio_outenb
padframe/mprj_pads.area2_io_pad\[15\]/OE_N
gpio_control_in_2\[15\]/pad_gpio_slow_sel
padframe/mprj_pads.area2_io_pad\[15\]/SLOW
gpio_control_in_2\[15\]/pad_gpio_vtrip_sel
padframe/mprj_pads.area2_io_pad\[15\]/VTRIP_SEL
gpio_control_in_2\[15\]/mgmt_gpio_in
sigbuf/signal_buffers\[87\]/A
gpio_control_in_2\[15\]/pad_gpio_ana_en
padframe/mprj_pads.area2_io_pad\[15\]/ANALOG_EN
gpio_control_in_2\[15\]/pad_gpio_ana_pol
padframe/mprj_pads.area2_io_pad\[15\]/ANALOG_POL
gpio_control_in_2\[15\]/pad_gpio_ana_sel
padframe/mprj_pads.area2_io_pad\[15\]/ANALOG_SEL
gpio_control_in_2\[1\]/one
padframe/mprj_pads.area2_io_pad\[1\]/ENABLE_VDDIO
gpio_control_in_2\[1\]/pad_gpio_dm[0]
padframe/mprj_pads.area2_io_pad\[1\]/DM[0]
gpio_control_in_2\[1\]/pad_gpio_dm[1]
padframe/mprj_pads.area2_io_pad\[1\]/DM[1]
gpio_control_in_2\[1\]/pad_gpio_dm[2]
padframe/mprj_pads.area2_io_pad\[1\]/DM[2]
gpio_control_in_2\[1\]/pad_gpio_holdover
padframe/mprj_pads.area2_io_pad\[1\]/HLD_OVR
gpio_control_in_2\[1\]/pad_gpio_ib_mode_sel
padframe/mprj_pads.area2_io_pad\[1\]/IB_MODE_SEL
gpio_control_in_2\[1\]/pad_gpio_inenb
padframe/mprj_pads.area2_io_pad\[1\]/INP_DIS
gpio_control_in_2\[1\]/pad_gpio_out
padframe/mprj_pads.area2_io_pad\[1\]/OUT
gpio_control_in_2\[1\]/pad_gpio_outenb
padframe/mprj_pads.area2_io_pad\[1\]/OE_N
gpio_control_in_2\[1\]/pad_gpio_slow_sel
padframe/mprj_pads.area2_io_pad\[1\]/SLOW
gpio_control_in_2\[1\]/pad_gpio_vtrip_sel
padframe/mprj_pads.area2_io_pad\[1\]/VTRIP_SEL
gpio_control_in_2\[1\]/mgmt_gpio_in
sigbuf/signal_buffers\[29\]/A
gpio_control_in_2\[1\]/pad_gpio_ana_en
padframe/mprj_pads.area2_io_pad\[1\]/ANALOG_EN
gpio_control_in_2\[1\]/pad_gpio_ana_pol
padframe/mprj_pads.area2_io_pad\[1\]/ANALOG_POL
gpio_control_in_2\[1\]/pad_gpio_ana_sel
padframe/mprj_pads.area2_io_pad\[1\]/ANALOG_SEL
gpio_control_in_2\[2\]/one
padframe/mprj_pads.area2_io_pad\[2\]/ENABLE_VDDIO
gpio_control_in_2\[2\]/pad_gpio_dm[0]
padframe/mprj_pads.area2_io_pad\[2\]/DM[0]
gpio_control_in_2\[2\]/pad_gpio_dm[1]
padframe/mprj_pads.area2_io_pad\[2\]/DM[1]
gpio_control_in_2\[2\]/pad_gpio_dm[2]
padframe/mprj_pads.area2_io_pad\[2\]/DM[2]
gpio_control_in_2\[2\]/pad_gpio_holdover
padframe/mprj_pads.area2_io_pad\[2\]/HLD_OVR
gpio_control_in_2\[2\]/pad_gpio_ib_mode_sel
padframe/mprj_pads.area2_io_pad\[2\]/IB_MODE_SEL
gpio_control_in_2\[2\]/pad_gpio_inenb
padframe/mprj_pads.area2_io_pad\[2\]/INP_DIS
gpio_control_in_2\[2\]/pad_gpio_out
padframe/mprj_pads.area2_io_pad\[2\]/OUT
gpio_control_in_2\[2\]/pad_gpio_outenb
padframe/mprj_pads.area2_io_pad\[2\]/OE_N
gpio_control_in_2\[2\]/pad_gpio_slow_sel
padframe/mprj_pads.area2_io_pad\[2\]/SLOW
gpio_control_in_2\[2\]/pad_gpio_vtrip_sel
padframe/mprj_pads.area2_io_pad\[2\]/VTRIP_SEL
gpio_control_in_2\[2\]/mgmt_gpio_in
sigbuf/signal_buffers\[35\]/A
gpio_control_in_2\[2\]/pad_gpio_ana_en
padframe/mprj_pads.area2_io_pad\[2\]/ANALOG_EN
gpio_control_in_2\[2\]/pad_gpio_ana_pol
padframe/mprj_pads.area2_io_pad\[2\]/ANALOG_POL
gpio_control_in_2\[2\]/pad_gpio_ana_sel
padframe/mprj_pads.area2_io_pad\[2\]/ANALOG_SEL
gpio_control_in_2\[3\]/one
padframe/mprj_pads.area2_io_pad\[3\]/ENABLE_VDDIO
gpio_control_in_2\[3\]/pad_gpio_dm[0]
padframe/mprj_pads.area2_io_pad\[3\]/DM[0]
gpio_control_in_2\[3\]/pad_gpio_dm[1]
padframe/mprj_pads.area2_io_pad\[3\]/DM[1]
gpio_control_in_2\[3\]/pad_gpio_dm[2]
padframe/mprj_pads.area2_io_pad\[3\]/DM[2]
gpio_control_in_2\[3\]/pad_gpio_holdover
padframe/mprj_pads.area2_io_pad\[3\]/HLD_OVR
gpio_control_in_2\[3\]/pad_gpio_ib_mode_sel
padframe/mprj_pads.area2_io_pad\[3\]/IB_MODE_SEL
gpio_control_in_2\[3\]/pad_gpio_inenb
padframe/mprj_pads.area2_io_pad\[3\]/INP_DIS
gpio_control_in_2\[3\]/pad_gpio_out
padframe/mprj_pads.area2_io_pad\[3\]/OUT
gpio_control_in_2\[3\]/pad_gpio_outenb
padframe/mprj_pads.area2_io_pad\[3\]/OE_N
gpio_control_in_2\[3\]/pad_gpio_slow_sel
padframe/mprj_pads.area2_io_pad\[3\]/SLOW
gpio_control_in_2\[3\]/pad_gpio_vtrip_sel
padframe/mprj_pads.area2_io_pad\[3\]/VTRIP_SEL
gpio_control_in_2\[3\]/mgmt_gpio_in
sigbuf/signal_buffers\[41\]/A
gpio_control_in_2\[3\]/pad_gpio_ana_en
padframe/mprj_pads.area2_io_pad\[3\]/ANALOG_EN
gpio_control_in_2\[3\]/pad_gpio_ana_pol
padframe/mprj_pads.area2_io_pad\[3\]/ANALOG_POL
gpio_control_in_2\[3\]/pad_gpio_ana_sel
padframe/mprj_pads.area2_io_pad\[3\]/ANALOG_SEL
gpio_control_in_2\[4\]/one
padframe/mprj_pads.area2_io_pad\[4\]/ENABLE_VDDIO
gpio_control_in_2\[4\]/pad_gpio_dm[0]
padframe/mprj_pads.area2_io_pad\[4\]/DM[0]
gpio_control_in_2\[4\]/pad_gpio_dm[1]
padframe/mprj_pads.area2_io_pad\[4\]/DM[1]
gpio_control_in_2\[4\]/pad_gpio_dm[2]
padframe/mprj_pads.area2_io_pad\[4\]/DM[2]
gpio_control_in_2\[4\]/pad_gpio_holdover
padframe/mprj_pads.area2_io_pad\[4\]/HLD_OVR
gpio_control_in_2\[4\]/pad_gpio_ib_mode_sel
padframe/mprj_pads.area2_io_pad\[4\]/IB_MODE_SEL
gpio_control_in_2\[4\]/pad_gpio_inenb
padframe/mprj_pads.area2_io_pad\[4\]/INP_DIS
gpio_control_in_2\[4\]/pad_gpio_out
padframe/mprj_pads.area2_io_pad\[4\]/OUT
gpio_control_in_2\[4\]/pad_gpio_outenb
padframe/mprj_pads.area2_io_pad\[4\]/OE_N
gpio_control_in_2\[4\]/pad_gpio_slow_sel
padframe/mprj_pads.area2_io_pad\[4\]/SLOW
gpio_control_in_2\[4\]/pad_gpio_vtrip_sel
padframe/mprj_pads.area2_io_pad\[4\]/VTRIP_SEL
gpio_control_in_2\[4\]/mgmt_gpio_in
sigbuf/signal_buffers\[46\]/A
gpio_control_in_2\[4\]/pad_gpio_ana_en
padframe/mprj_pads.area2_io_pad\[4\]/ANALOG_EN
gpio_control_in_2\[4\]/pad_gpio_ana_pol
padframe/mprj_pads.area2_io_pad\[4\]/ANALOG_POL
gpio_control_in_2\[4\]/pad_gpio_ana_sel
padframe/mprj_pads.area2_io_pad\[4\]/ANALOG_SEL
gpio_control_in_2\[5\]/one
padframe/mprj_pads.area2_io_pad\[5\]/ENABLE_VDDIO
gpio_control_in_2\[5\]/pad_gpio_dm[0]
padframe/mprj_pads.area2_io_pad\[5\]/DM[0]
gpio_control_in_2\[5\]/pad_gpio_dm[1]
padframe/mprj_pads.area2_io_pad\[5\]/DM[1]
gpio_control_in_2\[5\]/pad_gpio_dm[2]
padframe/mprj_pads.area2_io_pad\[5\]/DM[2]
gpio_control_in_2\[5\]/pad_gpio_holdover
padframe/mprj_pads.area2_io_pad\[5\]/HLD_OVR
gpio_control_in_2\[5\]/pad_gpio_ib_mode_sel
padframe/mprj_pads.area2_io_pad\[5\]/IB_MODE_SEL
gpio_control_in_2\[5\]/pad_gpio_inenb
padframe/mprj_pads.area2_io_pad\[5\]/INP_DIS
gpio_control_in_2\[5\]/pad_gpio_out
padframe/mprj_pads.area2_io_pad\[5\]/OUT
gpio_control_in_2\[5\]/pad_gpio_outenb
padframe/mprj_pads.area2_io_pad\[5\]/OE_N
gpio_control_in_2\[5\]/pad_gpio_slow_sel
padframe/mprj_pads.area2_io_pad\[5\]/SLOW
gpio_control_in_2\[5\]/pad_gpio_vtrip_sel
padframe/mprj_pads.area2_io_pad\[5\]/VTRIP_SEL
gpio_control_in_2\[5\]/mgmt_gpio_in
sigbuf/signal_buffers\[51\]/A
gpio_control_in_2\[5\]/pad_gpio_ana_en
padframe/mprj_pads.area2_io_pad\[5\]/ANALOG_EN
gpio_control_in_2\[5\]/pad_gpio_ana_pol
padframe/mprj_pads.area2_io_pad\[5\]/ANALOG_POL
gpio_control_in_2\[5\]/pad_gpio_ana_sel
padframe/mprj_pads.area2_io_pad\[5\]/ANALOG_SEL
gpio_control_in_2\[6\]/one
padframe/mprj_pads.area2_io_pad\[6\]/ENABLE_VDDIO
gpio_control_in_2\[6\]/pad_gpio_dm[0]
padframe/mprj_pads.area2_io_pad\[6\]/DM[0]
gpio_control_in_2\[6\]/pad_gpio_dm[1]
padframe/mprj_pads.area2_io_pad\[6\]/DM[1]
gpio_control_in_2\[6\]/pad_gpio_dm[2]
padframe/mprj_pads.area2_io_pad\[6\]/DM[2]
gpio_control_in_2\[6\]/pad_gpio_holdover
padframe/mprj_pads.area2_io_pad\[6\]/HLD_OVR
gpio_control_in_2\[6\]/pad_gpio_ib_mode_sel
padframe/mprj_pads.area2_io_pad\[6\]/IB_MODE_SEL
gpio_control_in_2\[6\]/pad_gpio_inenb
padframe/mprj_pads.area2_io_pad\[6\]/INP_DIS
gpio_control_in_2\[6\]/pad_gpio_out
padframe/mprj_pads.area2_io_pad\[6\]/OUT
gpio_control_in_2\[6\]/pad_gpio_outenb
padframe/mprj_pads.area2_io_pad\[6\]/OE_N
gpio_control_in_2\[6\]/pad_gpio_slow_sel
padframe/mprj_pads.area2_io_pad\[6\]/SLOW
gpio_control_in_2\[6\]/pad_gpio_vtrip_sel
padframe/mprj_pads.area2_io_pad\[6\]/VTRIP_SEL
gpio_control_in_2\[6\]/mgmt_gpio_in
sigbuf/signal_buffers\[55\]/A
gpio_control_in_2\[6\]/pad_gpio_ana_en
padframe/mprj_pads.area2_io_pad\[6\]/ANALOG_EN
gpio_control_in_2\[6\]/pad_gpio_ana_pol
padframe/mprj_pads.area2_io_pad\[6\]/ANALOG_POL
gpio_control_in_2\[6\]/pad_gpio_ana_sel
padframe/mprj_pads.area2_io_pad\[6\]/ANALOG_SEL
gpio_control_in_2\[7\]/one
padframe/mprj_pads.area2_io_pad\[7\]/ENABLE_VDDIO
gpio_control_in_2\[7\]/pad_gpio_dm[0]
padframe/mprj_pads.area2_io_pad\[7\]/DM[0]
gpio_control_in_2\[7\]/pad_gpio_dm[1]
padframe/mprj_pads.area2_io_pad\[7\]/DM[1]
gpio_control_in_2\[7\]/pad_gpio_dm[2]
padframe/mprj_pads.area2_io_pad\[7\]/DM[2]
gpio_control_in_2\[7\]/pad_gpio_holdover
padframe/mprj_pads.area2_io_pad\[7\]/HLD_OVR
gpio_control_in_2\[7\]/pad_gpio_ib_mode_sel
padframe/mprj_pads.area2_io_pad\[7\]/IB_MODE_SEL
gpio_control_in_2\[7\]/pad_gpio_inenb
padframe/mprj_pads.area2_io_pad\[7\]/INP_DIS
gpio_control_in_2\[7\]/pad_gpio_out
padframe/mprj_pads.area2_io_pad\[7\]/OUT
gpio_control_in_2\[7\]/pad_gpio_outenb
padframe/mprj_pads.area2_io_pad\[7\]/OE_N
gpio_control_in_2\[7\]/pad_gpio_slow_sel
padframe/mprj_pads.area2_io_pad\[7\]/SLOW
gpio_control_in_2\[7\]/pad_gpio_vtrip_sel
padframe/mprj_pads.area2_io_pad\[7\]/VTRIP_SEL
gpio_control_in_2\[7\]/mgmt_gpio_in
sigbuf/signal_buffers\[59\]/A
gpio_control_in_2\[7\]/pad_gpio_ana_en
padframe/mprj_pads.area2_io_pad\[7\]/ANALOG_EN
gpio_control_in_2\[7\]/pad_gpio_ana_pol
padframe/mprj_pads.area2_io_pad\[7\]/ANALOG_POL
gpio_control_in_2\[7\]/pad_gpio_ana_sel
padframe/mprj_pads.area2_io_pad\[7\]/ANALOG_SEL
gpio_control_in_2\[8\]/one
gpio_control_in_2\[8\]/ANTENNA_input1_A/DIODE
gpio_control_in_2\[8\]/input1/A
padframe/mprj_pads.area2_io_pad\[8\]/ENABLE_VDDIO
gpio_control_in_2\[8\]/pad_gpio_dm[0]
padframe/mprj_pads.area2_io_pad\[8\]/DM[0]
gpio_control_in_2\[8\]/pad_gpio_dm[1]
padframe/mprj_pads.area2_io_pad\[8\]/DM[1]
gpio_control_in_2\[8\]/pad_gpio_dm[2]
padframe/mprj_pads.area2_io_pad\[8\]/DM[2]
gpio_control_in_2\[8\]/pad_gpio_holdover
padframe/mprj_pads.area2_io_pad\[8\]/HLD_OVR
gpio_control_in_2\[8\]/pad_gpio_ib_mode_sel
padframe/mprj_pads.area2_io_pad\[8\]/IB_MODE_SEL
gpio_control_in_2\[8\]/pad_gpio_inenb
padframe/mprj_pads.area2_io_pad\[8\]/INP_DIS
gpio_control_in_2\[8\]/pad_gpio_out
padframe/mprj_pads.area2_io_pad\[8\]/OUT
gpio_control_in_2\[8\]/pad_gpio_outenb
padframe/mprj_pads.area2_io_pad\[8\]/OE_N
gpio_control_in_2\[8\]/pad_gpio_slow_sel
padframe/mprj_pads.area2_io_pad\[8\]/SLOW
gpio_control_in_2\[8\]/pad_gpio_vtrip_sel
padframe/mprj_pads.area2_io_pad\[8\]/VTRIP_SEL
gpio_control_in_2\[8\]/mgmt_gpio_in
sigbuf/signal_buffers\[63\]/A
gpio_control_in_2\[8\]/pad_gpio_ana_en
padframe/mprj_pads.area2_io_pad\[8\]/ANALOG_EN
gpio_control_in_2\[8\]/pad_gpio_ana_pol
padframe/mprj_pads.area2_io_pad\[8\]/ANALOG_POL
gpio_control_in_2\[8\]/pad_gpio_ana_sel
padframe/mprj_pads.area2_io_pad\[8\]/ANALOG_SEL
gpio_control_in_2\[9\]/one
padframe/mprj_pads.area2_io_pad\[9\]/ENABLE_VDDIO
gpio_control_in_2\[9\]/pad_gpio_dm[0]
padframe/mprj_pads.area2_io_pad\[9\]/DM[0]
gpio_control_in_2\[9\]/pad_gpio_dm[1]
padframe/mprj_pads.area2_io_pad\[9\]/DM[1]
gpio_control_in_2\[9\]/pad_gpio_dm[2]
padframe/mprj_pads.area2_io_pad\[9\]/DM[2]
gpio_control_in_2\[9\]/pad_gpio_holdover
padframe/mprj_pads.area2_io_pad\[9\]/HLD_OVR
gpio_control_in_2\[9\]/pad_gpio_ib_mode_sel
padframe/mprj_pads.area2_io_pad\[9\]/IB_MODE_SEL
gpio_control_in_2\[9\]/pad_gpio_inenb
padframe/mprj_pads.area2_io_pad\[9\]/INP_DIS
gpio_control_in_2\[9\]/pad_gpio_out
padframe/mprj_pads.area2_io_pad\[9\]/OUT
gpio_control_in_2\[9\]/pad_gpio_outenb
padframe/mprj_pads.area2_io_pad\[9\]/OE_N
gpio_control_in_2\[9\]/pad_gpio_slow_sel
padframe/mprj_pads.area2_io_pad\[9\]/SLOW
gpio_control_in_2\[9\]/pad_gpio_vtrip_sel
padframe/mprj_pads.area2_io_pad\[9\]/VTRIP_SEL
gpio_control_in_2\[9\]/mgmt_gpio_in
sigbuf/signal_buffers\[67\]/A
gpio_control_in_2\[9\]/pad_gpio_ana_en
padframe/mprj_pads.area2_io_pad\[9\]/ANALOG_EN
gpio_control_in_2\[9\]/pad_gpio_ana_pol
padframe/mprj_pads.area2_io_pad\[9\]/ANALOG_POL
gpio_control_in_2\[9\]/pad_gpio_ana_sel
padframe/mprj_pads.area2_io_pad\[9\]/ANALOG_SEL
housekeeping/mgmt_gpio_out[14]
sigbuf/signal_buffers\[103\]/A
housekeeping/mgmt_gpio_out[15]
sigbuf/signal_buffers\[105\]/A
housekeeping/mgmt_gpio_out[9]
sigbuf/signal_buffers\[97\]/A
housekeeping/mgmt_gpio_oeb[35]
sigbuf/signal_buffers\[190\]/A
housekeeping/mgmt_gpio_oeb[36]
sigbuf/signal_buffers\[192\]/A
housekeeping/mgmt_gpio_oeb[37]
sigbuf/signal_buffers\[194\]/A
housekeeping/mgmt_gpio_out[10]
sigbuf/signal_buffers\[98\]/A
housekeeping/mgmt_gpio_out[11]
sigbuf/signal_buffers\[99\]/A
housekeeping/mgmt_gpio_out[12]
sigbuf/signal_buffers\[100\]/A
housekeeping/mgmt_gpio_out[13]
sigbuf/signal_buffers\[101\]/A
housekeeping/mgmt_gpio_out[16]
sigbuf/signal_buffers\[108\]/A
housekeeping/mgmt_gpio_out[17]
sigbuf/signal_buffers\[111\]/A
housekeeping/mgmt_gpio_out[18]
sigbuf/signal_buffers\[114\]/A
housekeeping/mgmt_gpio_out[19]
sigbuf/signal_buffers\[118\]/A
housekeeping/mgmt_gpio_out[20]
sigbuf/signal_buffers\[124\]/A
housekeeping/mgmt_gpio_out[21]
sigbuf/signal_buffers\[130\]/A
housekeeping/mgmt_gpio_out[22]
sigbuf/signal_buffers\[136\]/A
housekeeping/mgmt_gpio_out[23]
sigbuf/signal_buffers\[141\]/A
housekeeping/mgmt_gpio_out[24]
sigbuf/signal_buffers\[146\]/A
housekeeping/mgmt_gpio_out[25]
sigbuf/signal_buffers\[150\]/A
housekeeping/mgmt_gpio_out[26]
sigbuf/signal_buffers\[154\]/A
housekeeping/mgmt_gpio_out[27]
sigbuf/signal_buffers\[158\]/A
housekeeping/mgmt_gpio_out[28]
sigbuf/signal_buffers\[162\]/A
housekeeping/mgmt_gpio_out[29]
sigbuf/signal_buffers\[166\]/A
housekeeping/mgmt_gpio_out[30]
sigbuf/signal_buffers\[170\]/A
housekeeping/mgmt_gpio_out[31]
sigbuf/signal_buffers\[173\]/A
housekeeping/mgmt_gpio_out[32]
sigbuf/signal_buffers\[176\]/A
housekeeping/mgmt_gpio_out[33]
sigbuf/signal_buffers\[179\]/A
housekeeping/mgmt_gpio_out[34]
sigbuf/signal_buffers\[182\]/A
housekeeping/mgmt_gpio_out[35]
sigbuf/signal_buffers\[184\]/A
housekeeping/mgmt_gpio_out[36]
sigbuf/signal_buffers\[186\]/A
housekeeping/mgmt_gpio_out[37]
sigbuf/signal_buffers\[188\]/A
housekeeping/mgmt_gpio_out[7]
sigbuf/signal_buffers\[95\]/A
housekeeping/mgmt_gpio_out[8]
sigbuf/signal_buffers\[96\]/A
mgmt_buffers/la_data_in_core[0]
mprj/mprj/input1/A
mprj/mprj/ANTENNA_input1_A/DIODE
mgmt_buffers/la_data_in_core[10]
mprj/mprj/input2/A
mprj/mprj/ANTENNA_input2_A/DIODE
mgmt_buffers/la_data_in_core[11]
mprj/mprj/input3/A
mprj/mprj/ANTENNA_input3_A/DIODE
mgmt_buffers/la_data_in_core[12]
mprj/mprj/input4/A
mprj/mprj/ANTENNA_input4_A/DIODE
mgmt_buffers/la_data_in_core[13]
mprj/mprj/input5/A
mprj/mprj/ANTENNA_input5_A/DIODE
mgmt_buffers/la_data_in_core[14]
mprj/mprj/input6/A
mprj/mprj/ANTENNA_input6_A/DIODE
mgmt_buffers/la_data_in_core[15]
mprj/mprj/input7/A
mprj/mprj/ANTENNA_input7_A/DIODE
mgmt_buffers/la_data_in_core[16]
mprj/mprj/input8/A
mprj/mprj/ANTENNA_input8_A/DIODE
mgmt_buffers/la_data_in_core[17]
mprj/mprj/input9/A
mprj/mprj/ANTENNA_input9_A/DIODE
mgmt_buffers/la_data_in_core[18]
mprj/mprj/input10/A
mprj/mprj/ANTENNA_input10_A/DIODE
mgmt_buffers/la_data_in_core[19]
mprj/mprj/input11/A
mprj/mprj/ANTENNA_input11_A/DIODE
mgmt_buffers/la_data_in_core[1]
mprj/mprj/input12/A
mprj/mprj/ANTENNA_input12_A/DIODE
mgmt_buffers/la_data_in_core[20]
mprj/mprj/input13/A
mprj/mprj/ANTENNA_input13_A/DIODE
mgmt_buffers/la_data_in_core[21]
mprj/mprj/input14/A
mprj/mprj/ANTENNA_input14_A/DIODE
mgmt_buffers/la_data_in_core[22]
mprj/mprj/input15/A
mprj/mprj/ANTENNA_input15_A/DIODE
mgmt_buffers/la_data_in_core[23]
mprj/mprj/input16/A
mprj/mprj/ANTENNA_input16_A/DIODE
mgmt_buffers/la_data_in_core[24]
mprj/mprj/input17/A
mprj/mprj/ANTENNA_input17_A/DIODE
mgmt_buffers/la_data_in_core[25]
mprj/mprj/input18/A
mprj/mprj/ANTENNA_input18_A/DIODE
mgmt_buffers/la_data_in_core[26]
mprj/mprj/input19/A
mprj/mprj/ANTENNA_input19_A/DIODE
mgmt_buffers/la_data_in_core[27]
mprj/mprj/input20/A
mprj/mprj/ANTENNA_input20_A/DIODE
mgmt_buffers/la_data_in_core[28]
mprj/mprj/input21/A
mprj/mprj/ANTENNA_input21_A/DIODE
mgmt_buffers/la_data_in_core[29]
mprj/mprj/input22/A
mprj/mprj/ANTENNA_input22_A/DIODE
mgmt_buffers/la_data_in_core[30]
mprj/mprj/input23/A
mprj/mprj/ANTENNA_input23_A/DIODE
mgmt_buffers/la_data_in_core[31]
mprj/mprj/input24/A
mprj/mprj/ANTENNA_input24_A/DIODE
mgmt_buffers/la_data_in_core[32]
mprj/mprj/input25/A
mprj/mprj/ANTENNA_input25_A/DIODE
mgmt_buffers/la_data_in_core[33]
mprj/mprj/input26/A
mprj/mprj/ANTENNA_input26_A/DIODE
mgmt_buffers/la_data_in_core[34]
mprj/mprj/input27/A
mprj/mprj/ANTENNA_input27_A/DIODE
mgmt_buffers/la_data_in_core[35]
mprj/mprj/input28/A
mprj/mprj/ANTENNA_input28_A/DIODE
mgmt_buffers/la_data_in_core[36]
mprj/mprj/input29/A
mprj/mprj/ANTENNA_input29_A/DIODE
mgmt_buffers/la_data_in_core[37]
mprj/mprj/input30/A
mprj/mprj/ANTENNA_input30_A/DIODE
mgmt_buffers/la_data_in_core[38]
mprj/mprj/input31/A
mprj/mprj/ANTENNA_input31_A/DIODE
mgmt_buffers/la_data_in_core[39]
mprj/mprj/input32/A
mprj/mprj/ANTENNA_input32_A/DIODE
mgmt_buffers/la_data_in_core[40]
mprj/mprj/input33/A
mprj/mprj/ANTENNA_input33_A/DIODE
mgmt_buffers/la_data_in_core[41]
mprj/mprj/input34/A
mprj/mprj/ANTENNA_input34_A/DIODE
mgmt_buffers/la_data_in_core[42]
mprj/mprj/input35/A
mprj/mprj/ANTENNA_input35_A/DIODE
mgmt_buffers/la_data_in_core[43]
mprj/mprj/input36/A
mprj/mprj/ANTENNA_input36_A/DIODE
mgmt_buffers/la_data_in_core[44]
mprj/mprj/input37/A
mprj/mprj/ANTENNA_input37_A/DIODE
mgmt_buffers/la_data_in_core[45]
mprj/mprj/input38/A
mprj/mprj/ANTENNA_input38_A/DIODE
mgmt_buffers/la_data_in_core[46]
mprj/mprj/input39/A
mprj/mprj/ANTENNA_input39_A/DIODE
mgmt_buffers/la_data_in_core[47]
mprj/mprj/input40/A
mprj/mprj/ANTENNA_input40_A/DIODE
mgmt_buffers/la_data_in_core[48]
mprj/mprj/input41/A
mprj/mprj/ANTENNA_input41_A/DIODE
mgmt_buffers/la_data_in_core[49]
mprj/mprj/input42/A
mprj/mprj/ANTENNA_input42_A/DIODE
mgmt_buffers/la_data_in_core[50]
mprj/mprj/input43/A
mprj/mprj/ANTENNA_input43_A/DIODE
mgmt_buffers/la_data_in_core[51]
mprj/mprj/input44/A
mprj/mprj/ANTENNA_input44_A/DIODE
mgmt_buffers/la_data_in_core[52]
mprj/mprj/input45/A
mprj/mprj/ANTENNA_input45_A/DIODE
mgmt_buffers/la_data_in_core[53]
mprj/mprj/input46/A
mprj/mprj/ANTENNA_input46_A/DIODE
mgmt_buffers/la_data_in_core[54]
mprj/mprj/input47/A
mprj/mprj/ANTENNA_input47_A/DIODE
mgmt_buffers/la_data_in_core[55]
mprj/mprj/input48/A
mprj/mprj/ANTENNA_input48_A/DIODE
mgmt_buffers/la_data_in_core[56]
mprj/mprj/input49/A
mprj/mprj/ANTENNA_input49_A/DIODE
mgmt_buffers/la_data_in_core[57]
mprj/mprj/input50/A
mprj/mprj/ANTENNA_input50_A/DIODE
mgmt_buffers/la_data_in_core[58]
mprj/mprj/input51/A
mprj/mprj/ANTENNA_input51_A/DIODE
mgmt_buffers/la_data_in_core[59]
mprj/mprj/input52/A
mprj/mprj/ANTENNA_input52_A/DIODE
mgmt_buffers/la_data_in_core[60]
mprj/mprj/input53/A
mprj/mprj/ANTENNA_input53_A/DIODE
mgmt_buffers/la_data_in_core[61]
mprj/mprj/input54/A
mprj/mprj/ANTENNA_input54_A/DIODE
mgmt_buffers/la_data_in_core[62]
mprj/mprj/input55/A
mprj/mprj/ANTENNA_input55_A/DIODE
mgmt_buffers/la_data_in_core[63]
mprj/mprj/input56/A
mprj/mprj/ANTENNA_input56_A/DIODE
mgmt_buffers/la_data_in_core[64]
mprj/mprj/input57/A
mprj/mprj/ANTENNA_input57_A/DIODE
mgmt_buffers/la_data_in_core[65]
mprj/mprj/input58/A
mprj/mprj/ANTENNA_input58_A/DIODE
mgmt_buffers/la_data_in_core[66]
mprj/mprj/input59/A
mprj/mprj/ANTENNA_input59_A/DIODE
mgmt_buffers/la_data_in_core[67]
mprj/mprj/input60/A
mprj/mprj/ANTENNA_input60_A/DIODE
mgmt_buffers/la_data_in_core[68]
mprj/mprj/input61/A
mprj/mprj/ANTENNA_input61_A/DIODE
mgmt_buffers/la_data_in_core[69]
mprj/mprj/input62/A
mprj/mprj/ANTENNA_input62_A/DIODE
mgmt_buffers/la_data_in_core[70]
mprj/mprj/input63/A
mprj/mprj/ANTENNA_input63_A/DIODE
mgmt_buffers/la_data_in_core[71]
mprj/mprj/input64/A
mprj/mprj/ANTENNA_input64_A/DIODE
mgmt_buffers/la_data_in_core[72]
mprj/mprj/input65/A
mprj/mprj/ANTENNA_input65_A/DIODE
mgmt_buffers/la_data_in_core[73]
mprj/mprj/input66/A
mprj/mprj/ANTENNA_input66_A/DIODE
mgmt_buffers/la_data_in_core[74]
mprj/mprj/input67/A
mprj/mprj/ANTENNA_input67_A/DIODE
mgmt_buffers/la_data_in_core[75]
mprj/mprj/input68/A
mprj/mprj/ANTENNA_input68_A/DIODE
mgmt_buffers/la_data_in_core[76]
mprj/mprj/input69/A
mprj/mprj/ANTENNA_input69_A/DIODE
mgmt_buffers/la_data_in_core[77]
mprj/mprj/input70/A
mprj/mprj/ANTENNA_input70_A/DIODE
mgmt_buffers/la_data_in_core[78]
mprj/mprj/input71/A
mprj/mprj/ANTENNA_input71_A/DIODE
mgmt_buffers/la_data_in_core[79]
mprj/mprj/input72/A
mprj/mprj/ANTENNA_input72_A/DIODE
mgmt_buffers/la_data_in_core[80]
mprj/mprj/input73/A
mprj/mprj/ANTENNA_input73_A/DIODE
mgmt_buffers/la_data_in_core[81]
mprj/mprj/input74/A
mprj/mprj/ANTENNA_input74_A/DIODE
mgmt_buffers/la_data_in_core[82]
mprj/mprj/input75/A
mprj/mprj/ANTENNA_input75_A/DIODE
mgmt_buffers/la_data_in_core[83]
mprj/mprj/input76/A
mprj/mprj/ANTENNA_input76_A/DIODE
mgmt_buffers/la_data_in_core[84]
mprj/mprj/input77/A
mprj/mprj/ANTENNA_input77_A/DIODE
mgmt_buffers/la_data_in_core[85]
mprj/mprj/input78/A
mprj/mprj/ANTENNA_input78_A/DIODE
mgmt_buffers/la_data_in_core[86]
mprj/mprj/input79/A
mprj/mprj/ANTENNA_input79_A/DIODE
mgmt_buffers/la_data_in_core[87]
mprj/mprj/input80/A
mprj/mprj/ANTENNA_input80_A/DIODE
mgmt_buffers/la_data_in_core[88]
mprj/mprj/input81/A
mprj/mprj/ANTENNA_input81_A/DIODE
mgmt_buffers/la_data_in_core[89]
mprj/mprj/input82/A
mprj/mprj/ANTENNA_input82_A/DIODE
mgmt_buffers/la_data_in_core[8]
mprj/mprj/input83/A
mprj/mprj/ANTENNA_input83_A/DIODE
mgmt_buffers/la_data_in_core[90]
mprj/mprj/input84/A
mprj/mprj/ANTENNA_input84_A/DIODE
mgmt_buffers/la_data_in_core[91]
mprj/mprj/input85/A
mprj/mprj/ANTENNA_input85_A/DIODE
mgmt_buffers/la_data_in_core[92]
mprj/mprj/input86/A
mprj/mprj/ANTENNA_input86_A/DIODE
mgmt_buffers/la_data_in_core[93]
mprj/mprj/input87/A
mprj/mprj/ANTENNA_input87_A/DIODE
mgmt_buffers/la_data_in_core[94]
mprj/mprj/input88/A
mprj/mprj/ANTENNA_input88_A/DIODE
mgmt_buffers/la_data_in_core[95]
mprj/mprj/input89/A
mprj/mprj/ANTENNA_input89_A/DIODE
mgmt_buffers/la_data_in_core[9]
mprj/mprj/input90/A
mprj/mprj/ANTENNA_input90_A/DIODE
mgmt_buffers/la_oenb_core[0]
mprj/mprj/input91/A
mprj/mprj/ANTENNA_input91_A/DIODE
mgmt_buffers/la_oenb_core[10]
mprj/mprj/input92/A
mprj/mprj/ANTENNA_input92_A/DIODE
mgmt_buffers/la_oenb_core[11]
mprj/mprj/input93/A
mprj/mprj/ANTENNA_input93_A/DIODE
mgmt_buffers/la_oenb_core[12]
mprj/mprj/input94/A
mprj/mprj/ANTENNA_input94_A/DIODE
mgmt_buffers/la_oenb_core[13]
mprj/mprj/input95/A
mprj/mprj/ANTENNA_input95_A/DIODE
mgmt_buffers/la_oenb_core[14]
mprj/mprj/input96/A
mprj/mprj/ANTENNA_input96_A/DIODE
mgmt_buffers/la_oenb_core[15]
mprj/mprj/input97/A
mprj/mprj/ANTENNA_input97_A/DIODE
mgmt_buffers/la_oenb_core[16]
mprj/mprj/input98/A
mprj/mprj/ANTENNA_input98_A/DIODE
mgmt_buffers/la_oenb_core[17]
mprj/mprj/input99/A
mprj/mprj/ANTENNA_input99_A/DIODE
mgmt_buffers/la_oenb_core[18]
mprj/mprj/input100/A
mprj/mprj/ANTENNA_input100_A/DIODE
mgmt_buffers/la_oenb_core[19]
mprj/mprj/input101/A
mprj/mprj/ANTENNA_input101_A/DIODE
mgmt_buffers/la_oenb_core[1]
mprj/mprj/input102/A
mprj/mprj/ANTENNA_input102_A/DIODE
mgmt_buffers/la_oenb_core[20]
mprj/mprj/input103/A
mprj/mprj/ANTENNA_input103_A/DIODE
mgmt_buffers/la_oenb_core[21]
mprj/mprj/input104/A
mprj/mprj/ANTENNA_input104_A/DIODE
mgmt_buffers/la_oenb_core[22]
mprj/mprj/input105/A
mprj/mprj/ANTENNA_input105_A/DIODE
mgmt_buffers/la_oenb_core[23]
mprj/mprj/input106/A
mprj/mprj/ANTENNA_input106_A/DIODE
mgmt_buffers/la_oenb_core[24]
mprj/mprj/input107/A
mprj/mprj/ANTENNA_input107_A/DIODE
mgmt_buffers/la_oenb_core[25]
mprj/mprj/input108/A
mprj/mprj/ANTENNA_input108_A/DIODE
mgmt_buffers/la_oenb_core[26]
mprj/mprj/input109/A
mprj/mprj/ANTENNA_input109_A/DIODE
mgmt_buffers/la_oenb_core[27]
mprj/mprj/input110/A
mprj/mprj/ANTENNA_input110_A/DIODE
mgmt_buffers/la_oenb_core[28]
mprj/mprj/input111/A
mprj/mprj/ANTENNA_input111_A/DIODE
mgmt_buffers/la_oenb_core[29]
mprj/mprj/input112/A
mprj/mprj/ANTENNA_input112_A/DIODE
mgmt_buffers/la_oenb_core[30]
mprj/mprj/input113/A
mprj/mprj/ANTENNA_input113_A/DIODE
mgmt_buffers/la_oenb_core[31]
mprj/mprj/input114/A
mprj/mprj/ANTENNA_input114_A/DIODE
mgmt_buffers/la_oenb_core[32]
mprj/mprj/input115/A
mprj/mprj/ANTENNA_input115_A/DIODE
mgmt_buffers/la_oenb_core[33]
mprj/mprj/input116/A
mprj/mprj/ANTENNA_input116_A/DIODE
mgmt_buffers/la_oenb_core[34]
mprj/mprj/input117/A
mprj/mprj/ANTENNA_input117_A/DIODE
mgmt_buffers/la_oenb_core[35]
mprj/mprj/input118/A
mprj/mprj/ANTENNA_input118_A/DIODE
mgmt_buffers/la_oenb_core[36]
mprj/mprj/input119/A
mprj/mprj/ANTENNA_input119_A/DIODE
mgmt_buffers/la_oenb_core[37]
mprj/mprj/input120/A
mprj/mprj/ANTENNA_input120_A/DIODE
mgmt_buffers/la_oenb_core[38]
mprj/mprj/input121/A
mprj/mprj/ANTENNA_input121_A/DIODE
mgmt_buffers/la_oenb_core[39]
mprj/mprj/input122/A
mprj/mprj/ANTENNA_input122_A/DIODE
mgmt_buffers/la_oenb_core[40]
mprj/mprj/input123/A
mprj/mprj/ANTENNA_input123_A/DIODE
mgmt_buffers/la_oenb_core[41]
mprj/mprj/input124/A
mprj/mprj/ANTENNA_input124_A/DIODE
mgmt_buffers/la_oenb_core[42]
mprj/mprj/input125/A
mprj/mprj/ANTENNA_input125_A/DIODE
mgmt_buffers/la_oenb_core[43]
mprj/mprj/input126/A
mprj/mprj/ANTENNA_input126_A/DIODE
mgmt_buffers/la_oenb_core[44]
mprj/mprj/input127/A
mprj/mprj/ANTENNA_input127_A/DIODE
mgmt_buffers/la_oenb_core[45]
mprj/mprj/input128/A
mprj/mprj/ANTENNA_input128_A/DIODE
mgmt_buffers/la_oenb_core[46]
mprj/mprj/input129/A
mprj/mprj/ANTENNA_input129_A/DIODE
mgmt_buffers/la_oenb_core[47]
mprj/mprj/input130/A
mprj/mprj/ANTENNA_input130_A/DIODE
mgmt_buffers/la_oenb_core[48]
mprj/mprj/input131/A
mprj/mprj/ANTENNA_input131_A/DIODE
mgmt_buffers/la_oenb_core[49]
mprj/mprj/input132/A
mprj/mprj/ANTENNA_input132_A/DIODE
mgmt_buffers/la_oenb_core[50]
mprj/mprj/input133/A
mprj/mprj/ANTENNA_input133_A/DIODE
mgmt_buffers/la_oenb_core[51]
mprj/mprj/input134/A
mprj/mprj/ANTENNA_input134_A/DIODE
mgmt_buffers/la_oenb_core[52]
mprj/mprj/input135/A
mprj/mprj/ANTENNA_input135_A/DIODE
mgmt_buffers/la_oenb_core[53]
mprj/mprj/input136/A
mprj/mprj/ANTENNA_input136_A/DIODE
mgmt_buffers/la_oenb_core[54]
mprj/mprj/input137/A
mprj/mprj/ANTENNA_input137_A/DIODE
mgmt_buffers/la_oenb_core[55]
mprj/mprj/input138/A
mprj/mprj/ANTENNA_input138_A/DIODE
mgmt_buffers/la_oenb_core[56]
mprj/mprj/input139/A
mprj/mprj/ANTENNA_input139_A/DIODE
mgmt_buffers/la_oenb_core[57]
mprj/mprj/input140/A
mprj/mprj/ANTENNA_input140_A/DIODE
mgmt_buffers/la_oenb_core[58]
mprj/mprj/input141/A
mprj/mprj/ANTENNA_input141_A/DIODE
mgmt_buffers/la_oenb_core[59]
mprj/mprj/input142/A
mprj/mprj/ANTENNA_input142_A/DIODE
mgmt_buffers/la_oenb_core[60]
mprj/mprj/input143/A
mprj/mprj/ANTENNA_input143_A/DIODE
mgmt_buffers/la_oenb_core[61]
mprj/mprj/input144/A
mprj/mprj/ANTENNA_input144_A/DIODE
mgmt_buffers/la_oenb_core[62]
mprj/mprj/input145/A
mprj/mprj/ANTENNA_input145_A/DIODE
mgmt_buffers/la_oenb_core[63]
mprj/mprj/input146/A
mprj/mprj/ANTENNA_input146_A/DIODE
mgmt_buffers/la_oenb_core[64]
mprj/mprj/input147/A
mprj/mprj/ANTENNA_input147_A/DIODE
mgmt_buffers/la_oenb_core[65]
mprj/mprj/input148/A
mprj/mprj/ANTENNA_input148_A/DIODE
mgmt_buffers/la_oenb_core[66]
mprj/mprj/input149/A
mprj/mprj/ANTENNA_input149_A/DIODE
mgmt_buffers/la_oenb_core[67]
mprj/mprj/input150/A
mprj/mprj/ANTENNA_input150_A/DIODE
mgmt_buffers/la_oenb_core[68]
mprj/mprj/input151/A
mprj/mprj/ANTENNA_input151_A/DIODE
mgmt_buffers/la_oenb_core[69]
mprj/mprj/input152/A
mprj/mprj/ANTENNA_input152_A/DIODE
mgmt_buffers/la_oenb_core[70]
mprj/mprj/input153/A
mprj/mprj/ANTENNA_input153_A/DIODE
mgmt_buffers/la_oenb_core[71]
mprj/mprj/input154/A
mprj/mprj/ANTENNA_input154_A/DIODE
mgmt_buffers/la_oenb_core[72]
mprj/mprj/input155/A
mprj/mprj/ANTENNA_input155_A/DIODE
mgmt_buffers/la_oenb_core[73]
mprj/mprj/input156/A
mprj/mprj/ANTENNA_input156_A/DIODE
mgmt_buffers/la_oenb_core[74]
mprj/mprj/input157/A
mprj/mprj/ANTENNA_input157_A/DIODE
mgmt_buffers/la_oenb_core[75]
mprj/mprj/input158/A
mprj/mprj/ANTENNA_input158_A/DIODE
mgmt_buffers/la_oenb_core[76]
mprj/mprj/input159/A
mprj/mprj/ANTENNA_input159_A/DIODE
mgmt_buffers/la_oenb_core[77]
mprj/mprj/input160/A
mprj/mprj/ANTENNA_input160_A/DIODE
mgmt_buffers/la_oenb_core[78]
mprj/mprj/input161/A
mprj/mprj/ANTENNA_input161_A/DIODE
mgmt_buffers/la_oenb_core[79]
mprj/mprj/input162/A
mprj/mprj/ANTENNA_input162_A/DIODE
mgmt_buffers/la_oenb_core[80]
mprj/mprj/input163/A
mprj/mprj/ANTENNA_input163_A/DIODE
mgmt_buffers/la_oenb_core[81]
mprj/mprj/input164/A
mprj/mprj/ANTENNA_input164_A/DIODE
mgmt_buffers/la_oenb_core[82]
mprj/mprj/input165/A
mprj/mprj/ANTENNA_input165_A/DIODE
mgmt_buffers/la_oenb_core[83]
mprj/mprj/input166/A
mprj/mprj/ANTENNA_input166_A/DIODE
mgmt_buffers/la_oenb_core[84]
mprj/mprj/input167/A
mprj/mprj/ANTENNA_input167_A/DIODE
mgmt_buffers/la_oenb_core[85]
mprj/mprj/input168/A
mprj/mprj/ANTENNA_input168_A/DIODE
mgmt_buffers/la_oenb_core[86]
mprj/mprj/input169/A
mprj/mprj/ANTENNA_input169_A/DIODE
mgmt_buffers/la_oenb_core[87]
mprj/mprj/input170/A
mprj/mprj/ANTENNA_input170_A/DIODE
mgmt_buffers/la_oenb_core[88]
mprj/mprj/input171/A
mprj/mprj/ANTENNA_input171_A/DIODE
mgmt_buffers/la_oenb_core[89]
mprj/mprj/input172/A
mprj/mprj/ANTENNA_input172_A/DIODE
mgmt_buffers/la_oenb_core[8]
mprj/mprj/input173/A
mprj/mprj/ANTENNA_input173_A/DIODE
mgmt_buffers/la_oenb_core[90]
mprj/mprj/input174/A
mprj/mprj/ANTENNA_input174_A/DIODE
mgmt_buffers/la_oenb_core[91]
mprj/mprj/input175/A
mprj/mprj/ANTENNA_input175_A/DIODE
mgmt_buffers/la_oenb_core[92]
mprj/mprj/input176/A
mprj/mprj/ANTENNA_input176_A/DIODE
mgmt_buffers/la_oenb_core[93]
mprj/mprj/input177/A
mprj/mprj/ANTENNA_input177_A/DIODE
mgmt_buffers/la_oenb_core[94]
mprj/mprj/input178/A
mprj/mprj/ANTENNA_input178_A/DIODE
mgmt_buffers/la_oenb_core[95]
mprj/mprj/input179/A
mprj/mprj/ANTENNA_input179_A/DIODE
mgmt_buffers/la_oenb_core[9]
mprj/mprj/input180/A
mprj/mprj/ANTENNA_input180_A/DIODE
mgmt_buffers/mprj_adr_o_user[0]
mprj/mprj/input182/A
mprj/mprj/ANTENNA_input182_A/DIODE
mgmt_buffers/mprj_adr_o_user[10]
mprj/mprj/input183/A
mprj/mprj/ANTENNA_input183_A/DIODE
mgmt_buffers/mprj_adr_o_user[11]
mprj/mprj/input184/A
mprj/mprj/ANTENNA_input184_A/DIODE
mgmt_buffers/mprj_adr_o_user[12]
mprj/mprj/input185/A
mprj/mprj/ANTENNA_input185_A/DIODE
mgmt_buffers/mprj_adr_o_user[13]
mprj/mprj/input186/A
mprj/mprj/ANTENNA_input186_A/DIODE
mgmt_buffers/mprj_adr_o_user[14]
mprj/mprj/input187/A
mprj/mprj/ANTENNA_input187_A/DIODE
mgmt_buffers/mprj_adr_o_user[15]
mprj/mprj/input188/A
mprj/mprj/ANTENNA_input188_A/DIODE
mgmt_buffers/mprj_adr_o_user[16]
mprj/mprj/input189/A
mprj/mprj/ANTENNA_input189_A/DIODE
mgmt_buffers/mprj_adr_o_user[17]
mprj/mprj/input190/A
mprj/mprj/ANTENNA_input190_A/DIODE
mgmt_buffers/mprj_adr_o_user[18]
mprj/mprj/input191/A
mprj/mprj/ANTENNA_input191_A/DIODE
mgmt_buffers/mprj_adr_o_user[19]
mprj/mprj/input192/A
mprj/mprj/ANTENNA_input192_A/DIODE
mgmt_buffers/mprj_adr_o_user[1]
mprj/mprj/input193/A
mprj/mprj/ANTENNA_input193_A/DIODE
mgmt_buffers/mprj_adr_o_user[20]
mprj/mprj/input194/A
mprj/mprj/ANTENNA_input194_A/DIODE
mgmt_buffers/mprj_adr_o_user[21]
mprj/mprj/input195/A
mprj/mprj/ANTENNA_input195_A/DIODE
mgmt_buffers/mprj_adr_o_user[22]
mprj/mprj/input196/A
mprj/mprj/ANTENNA_input196_A/DIODE
mgmt_buffers/mprj_adr_o_user[23]
mprj/mprj/input197/A
mprj/mprj/ANTENNA_input197_A/DIODE
mgmt_buffers/mprj_adr_o_user[2]
mprj/mprj/input198/A
mprj/mprj/ANTENNA_input198_A/DIODE
mgmt_buffers/mprj_adr_o_user[3]
mprj/mprj/input199/A
mprj/mprj/ANTENNA_input199_A/DIODE
mgmt_buffers/mprj_adr_o_user[4]
mprj/mprj/input200/A
mprj/mprj/ANTENNA_input200_A/DIODE
mgmt_buffers/mprj_adr_o_user[5]
mprj/mprj/input201/A
mprj/mprj/ANTENNA_input201_A/DIODE
mgmt_buffers/mprj_adr_o_user[6]
mprj/mprj/input202/A
mprj/mprj/ANTENNA_input202_A/DIODE
mgmt_buffers/mprj_adr_o_user[7]
mprj/mprj/input203/A
mprj/mprj/ANTENNA_input203_A/DIODE
mgmt_buffers/mprj_adr_o_user[8]
mprj/mprj/input204/A
mprj/mprj/ANTENNA_input204_A/DIODE
mgmt_buffers/mprj_adr_o_user[9]
mprj/mprj/input205/A
mprj/mprj/ANTENNA_input205_A/DIODE
mgmt_buffers/mprj_cyc_o_user
mprj/mprj/input206/A
mprj/mprj/ANTENNA_input206_A/DIODE
mgmt_buffers/mprj_dat_o_user[0]
mprj/mprj/input207/A
mprj/mprj/ANTENNA_input207_A/DIODE
mgmt_buffers/mprj_dat_o_user[10]
mprj/mprj/input208/A
mprj/mprj/ANTENNA_input208_A/DIODE
mgmt_buffers/mprj_dat_o_user[11]
mprj/mprj/input209/A
mprj/mprj/ANTENNA_input209_A/DIODE
mgmt_buffers/mprj_dat_o_user[12]
mprj/mprj/input210/A
mprj/mprj/ANTENNA_input210_A/DIODE
mgmt_buffers/mprj_dat_o_user[13]
mprj/mprj/input211/A
mprj/mprj/ANTENNA_input211_A/DIODE
mgmt_buffers/mprj_dat_o_user[14]
mprj/mprj/input212/A
mprj/mprj/ANTENNA_input212_A/DIODE
mgmt_buffers/mprj_dat_o_user[15]
mprj/mprj/input213/A
mprj/mprj/ANTENNA_input213_A/DIODE
mgmt_buffers/mprj_dat_o_user[16]
mprj/mprj/input214/A
mprj/mprj/ANTENNA_input214_A/DIODE
mgmt_buffers/mprj_dat_o_user[17]
mprj/mprj/input215/A
mprj/mprj/ANTENNA_input215_A/DIODE
mgmt_buffers/mprj_dat_o_user[18]
mprj/mprj/input216/A
mprj/mprj/ANTENNA_input216_A/DIODE
mgmt_buffers/mprj_dat_o_user[19]
mprj/mprj/input217/A
mprj/mprj/ANTENNA_input217_A/DIODE
mgmt_buffers/mprj_dat_o_user[1]
mprj/mprj/input218/A
mprj/mprj/ANTENNA_input218_A/DIODE
mgmt_buffers/mprj_dat_o_user[20]
mprj/mprj/input219/A
mprj/mprj/ANTENNA_input219_A/DIODE
mgmt_buffers/mprj_dat_o_user[21]
mprj/mprj/input220/A
mprj/mprj/ANTENNA_input220_A/DIODE
mgmt_buffers/mprj_dat_o_user[22]
mprj/mprj/input221/A
mprj/mprj/ANTENNA_input221_A/DIODE
mgmt_buffers/mprj_dat_o_user[23]
mprj/mprj/input222/A
mprj/mprj/ANTENNA_input222_A/DIODE
mgmt_buffers/mprj_dat_o_user[24]
mprj/mprj/input223/A
mprj/mprj/ANTENNA_input223_A/DIODE
mgmt_buffers/mprj_dat_o_user[25]
mprj/mprj/input224/A
mprj/mprj/ANTENNA_input224_A/DIODE
mgmt_buffers/mprj_dat_o_user[26]
mprj/mprj/input225/A
mprj/mprj/ANTENNA_input225_A/DIODE
mgmt_buffers/mprj_dat_o_user[27]
mprj/mprj/input226/A
mprj/mprj/ANTENNA_input226_A/DIODE
mgmt_buffers/mprj_dat_o_user[28]
mprj/mprj/input227/A
mprj/mprj/ANTENNA_input227_A/DIODE
mgmt_buffers/mprj_dat_o_user[29]
mprj/mprj/input228/A
mprj/mprj/ANTENNA_input228_A/DIODE
mgmt_buffers/mprj_dat_o_user[2]
mprj/mprj/input229/A
mprj/mprj/ANTENNA_input229_A/DIODE
mgmt_buffers/mprj_dat_o_user[30]
mprj/mprj/input230/A
mprj/mprj/ANTENNA_input230_A/DIODE
mgmt_buffers/mprj_dat_o_user[31]
mprj/mprj/input231/A
mprj/mprj/ANTENNA_input231_A/DIODE
mgmt_buffers/mprj_dat_o_user[3]
mprj/mprj/input232/A
mprj/mprj/ANTENNA_input232_A/DIODE
mgmt_buffers/mprj_dat_o_user[4]
mprj/mprj/input233/A
mprj/mprj/ANTENNA_input233_A/DIODE
mgmt_buffers/mprj_dat_o_user[5]
mprj/mprj/input234/A
mprj/mprj/ANTENNA_input234_A/DIODE
mgmt_buffers/mprj_dat_o_user[6]
mprj/mprj/input235/A
mprj/mprj/ANTENNA_input235_A/DIODE
mgmt_buffers/mprj_dat_o_user[7]
mprj/mprj/input236/A
mprj/mprj/ANTENNA_input236_A/DIODE
mgmt_buffers/mprj_dat_o_user[8]
mprj/mprj/input237/A
mprj/mprj/ANTENNA_input237_A/DIODE
mgmt_buffers/mprj_dat_o_user[9]
mprj/mprj/input238/A
mprj/mprj/ANTENNA_input238_A/DIODE
mgmt_buffers/mprj_stb_o_user
mprj/mprj/input239/A
mprj/mprj/ANTENNA_input239_A/DIODE
mgmt_buffers/mprj_we_o_user
mprj/mprj/input240/A
mprj/mprj/ANTENNA_input240_A/DIODE
mgmt_buffers/user_clock
mprj/mprj/_106650_/A1
mprj/mprj/ANTENNA__106650__A1/DIODE
mgmt_buffers/user_reset
mprj/mprj/input181/A
mprj/mprj/ANTENNA_input181_A/DIODE
soc/gpio_inenb_pad
padframe/gpio_pad/INP_DIS
soc/gpio_mode0_pad
padframe/gpio_pad/DM[0]
soc/gpio_mode1_pad
padframe/gpio_pad/DM[2]
padframe/gpio_pad/DM[1]
soc/gpio_out_pad
padframe/gpio_pad/OUT
soc/gpio_outenb_pad
padframe/gpio_pad/OE_N
soc/por_l_out
padframe/clock_pad/INP_DIS