blob: 4da28c23d72d0f63d19ac1be1ced6c86ec5046af [file] [log] [blame]
/root/microwatt_mpw7/lib/Microwatt_FP_DFFRFile.lib
/root/microwatt_mpw7/lib/RAM32_1RW1R.lib
/root/microwatt_mpw7/lib/RAM512.lib
/root/microwatt_mpw7/lib/multiply_add_64x64.lib
/root/microwatt_mpw7/lib/user_project_wrapper.lib
/root/microwatt_mpw7/openlane/Microwatt_FP_DFFRFile/base.sdc
/root/microwatt_mpw7/openlane/Microwatt_FP_DFFRFile/config.json
/root/microwatt_mpw7/openlane/multiply_add_64x64/config.json
/root/microwatt_mpw7/openlane/multiply_add_64x64/src/multiply_add_64x64.v
/root/microwatt_mpw7/openlane/multiply_add_64x64/src/gf180mcu/multiply_add_64x64.v
/root/microwatt_mpw7/openlane/user_proj_example/config.json
/root/microwatt_mpw7/openlane/user_project_wrapper/base.sdc
/root/microwatt_mpw7/openlane/user_project_wrapper/config.json
/root/microwatt_mpw7/openlane/user_project_wrapper/scripts/layout.py
/root/microwatt_mpw7/scripts/tape-out.sh
/root/microwatt_mpw7/sdc/Microwatt_FP_DFFRFile.sdc
/root/microwatt_mpw7/sdc/RAM32_1RW1R.sdc
/root/microwatt_mpw7/sdc/RAM512.sdc
/root/microwatt_mpw7/sdc/multiply_add_64x64.sdc
/root/microwatt_mpw7/sdc/user_project_wrapper.sdc
/root/microwatt_mpw7/sdf/Microwatt_FP_DFFRFile.sdf
/root/microwatt_mpw7/sdf/RAM32_1RW1R.sdf
/root/microwatt_mpw7/sdf/RAM512.sdf
/root/microwatt_mpw7/sdf/multiply_add_64x64.sdf
/root/microwatt_mpw7/sdf/user_project_wrapper.sdf
/root/microwatt_mpw7/sdf/multicorner/max/user_project_wrapper.ff.sdf
/root/microwatt_mpw7/sdf/multicorner/max/user_project_wrapper.ss.sdf
/root/microwatt_mpw7/sdf/multicorner/max/user_project_wrapper.tt.sdf
/root/microwatt_mpw7/sdf/multicorner/min/user_project_wrapper.ff.sdf
/root/microwatt_mpw7/sdf/multicorner/min/user_project_wrapper.ss.sdf
/root/microwatt_mpw7/sdf/multicorner/min/user_project_wrapper.tt.sdf
/root/microwatt_mpw7/sdf/multicorner/nom/user_project_wrapper.ff.sdf
/root/microwatt_mpw7/sdf/multicorner/nom/user_project_wrapper.ss.sdf
/root/microwatt_mpw7/sdf/multicorner/nom/user_project_wrapper.tt.sdf
/root/microwatt_mpw7/signoff/Microwatt_FP_DFFRFile/openlane-signoff/spef/Microwatt_FP_DFFRFile.max.spef
/root/microwatt_mpw7/signoff/Microwatt_FP_DFFRFile/openlane-signoff/spef/Microwatt_FP_DFFRFile.min.spef
/root/microwatt_mpw7/signoff/Microwatt_FP_DFFRFile/openlane-signoff/spef/Microwatt_FP_DFFRFile.nom.spef
/root/microwatt_mpw7/signoff/multiply_add_64x64/openlane-signoff/spef/multiply_add_64x64.max.spef
/root/microwatt_mpw7/signoff/multiply_add_64x64/openlane-signoff/spef/multiply_add_64x64.min.spef
/root/microwatt_mpw7/signoff/multiply_add_64x64/openlane-signoff/spef/multiply_add_64x64.nom.spef
/root/microwatt_mpw7/spef/Microwatt_FP_DFFRFile.spef
/root/microwatt_mpw7/spef/RAM32_1RW1R.spef
/root/microwatt_mpw7/spef/multiply_add_64x64.spef
/root/microwatt_mpw7/spef/RAM512.spef
/root/microwatt_mpw7/spef/user_project_wrapper.spef
/root/microwatt_mpw7/spef/multicorner/user_project_wrapper.max.spef
/root/microwatt_mpw7/spef/multicorner/user_project_wrapper.min.spef
/root/microwatt_mpw7/spef/multicorner/user_project_wrapper.nom.spef
/root/microwatt_mpw7/verilog/dv/microwatt/microwatt.lds
/root/microwatt_mpw7/verilog/dv/microwatt/include/console.h
/root/microwatt_mpw7/verilog/dv/microwatt/include/io.h
/root/microwatt_mpw7/verilog/dv/microwatt/include/microwatt_soc.h
/root/microwatt_mpw7/verilog/dv/microwatt/include/microwatt_util.h
/root/microwatt_mpw7/verilog/dv/microwatt/jtag/jtag_tb.v
/root/microwatt_mpw7/verilog/dv/microwatt/jtag/microwatt.c
/root/microwatt_mpw7/verilog/dv/microwatt/lib/console.c
/root/microwatt_mpw7/verilog/dv/microwatt/lib/head.S
/root/microwatt_mpw7/verilog/dv/microwatt/memory_test/hash.h
/root/microwatt_mpw7/verilog/dv/microwatt/memory_test/memory_test_tb.v
/root/microwatt_mpw7/verilog/dv/microwatt/memory_test/microwatt.c
/root/microwatt_mpw7/verilog/dv/microwatt/minimal/head.S
/root/microwatt_mpw7/verilog/dv/microwatt/minimal/minimal_tb.v
/root/microwatt_mpw7/verilog/dv/microwatt/multiply/microwatt.c
/root/microwatt_mpw7/verilog/dv/microwatt/multiply/multiply_tb.v
/root/microwatt_mpw7/verilog/dv/microwatt/simplebus_micropython/bin2hex.py
/root/microwatt_mpw7/verilog/dv/microwatt/simplebus_micropython/microwatt.c
/root/microwatt_mpw7/verilog/dv/microwatt/simplebus_micropython/simplebus_micropython_tb.v
/root/microwatt_mpw7/verilog/dv/microwatt/simplebus_minimal/microwatt.c
/root/microwatt_mpw7/verilog/dv/microwatt/simplebus_minimal/simplebus_minimal_tb.v
/root/microwatt_mpw7/verilog/dv/microwatt/spi_flash/gen_hash.c
/root/microwatt_mpw7/verilog/dv/microwatt/spi_flash/hash.h
/root/microwatt_mpw7/verilog/dv/microwatt/spi_flash/lfsr32.c
/root/microwatt_mpw7/verilog/dv/microwatt/spi_flash/lfsr32.h
/root/microwatt_mpw7/verilog/dv/microwatt/spi_flash/microwatt.c
/root/microwatt_mpw7/verilog/dv/microwatt/spi_flash/spi_flash_tb.v
/root/microwatt_mpw7/verilog/dv/microwatt/uart/microwatt.c
/root/microwatt_mpw7/verilog/dv/microwatt/uart/uart_tb.v
/root/microwatt_mpw7/verilog/dv/vip/RAM32_1RW1R.v
/root/microwatt_mpw7/verilog/dv/vip/RAM512.v
/root/microwatt_mpw7/verilog/dv/vip/multiply_add_64x64.v
/root/microwatt_mpw7/verilog/dv/vip/spiflash_microwatt.v
/root/microwatt_mpw7/verilog/dv/vip/tbuart_microwatt.v
/root/microwatt_mpw7/verilog/includes/includes.gl+sdf.caravel_user_project
/root/microwatt_mpw7/verilog/includes/includes.gl.caravel
/root/microwatt_mpw7/verilog/includes/includes.gl.caravel_user_project
/root/microwatt_mpw7/verilog/includes/includes.rtl.caravel_user_project
/root/microwatt_mpw7/verilog/rtl/Microwatt_FP_DFFRFile.v
/root/microwatt_mpw7/verilog/rtl/microwatt.v
/root/microwatt_mpw7/verilog/rtl/raminfr.v
/root/microwatt_mpw7/verilog/rtl/simplebus_host.v
/root/microwatt_mpw7/verilog/rtl/tap_top.v
/root/microwatt_mpw7/verilog/rtl/uart_defines.v
/root/microwatt_mpw7/verilog/rtl/uart_receiver.v
/root/microwatt_mpw7/verilog/rtl/uart_regs.v
/root/microwatt_mpw7/verilog/rtl/uart_rfifo.v
/root/microwatt_mpw7/verilog/rtl/uart_sync_flops.v
/root/microwatt_mpw7/verilog/rtl/uart_tfifo.v
/root/microwatt_mpw7/verilog/rtl/uart_top.v
/root/microwatt_mpw7/verilog/rtl/uart_transmitter.v
/root/microwatt_mpw7/verilog/rtl/uart_wb.v
/root/microwatt_mpw7/verilog/rtl/wrapper/Microwatt_FP_DFFRFile.v
/root/microwatt_mpw7/verilog/rtl/wrapper/RAM32_1RW1R.v
/root/microwatt_mpw7/verilog/rtl/wrapper/RAM512.v
/root/microwatt_mpw7/verilog/rtl/wrapper/multiply_add_64x64.v