blob: a77b263f09913f7fa8a79ab37dcb7a119787fa75 [file] [log] [blame]
/root/riscduino_qcore_folk/Makefile
/root/riscduino_qcore_folk/run_regress
/root/riscduino_qcore_folk/gds/.magicrc
/root/riscduino_qcore_folk/hacks/patch/pdngen.patch
/root/riscduino_qcore_folk/hacks/patch/resizer.patch
/root/riscduino_qcore_folk/hacks/src/OpenROAD/PdnGen.tcl
/root/riscduino_qcore_folk/hacks/src/OpenROAD/Resizer.cc
/root/riscduino_qcore_folk/hacks/src/OpenSTA/network/ConcreteNetwork.cc
/root/riscduino_qcore_folk/hacks/src/OpenSTA/tcl/NetworkEdit.tcl
/root/riscduino_qcore_folk/hacks/src/OpenSTA/tcl/Sta.tcl
/root/riscduino_qcore_folk/hacks/src/openlane/io_place.py
/root/riscduino_qcore_folk/hacks/src/openlane/synth.tcl
/root/riscduino_qcore_folk/hacks/src/openlane/synth_top.tcl
/root/riscduino_qcore_folk/lib/sky130_sram_2kbyte_1rw1r_32x512_8_TT_1p8V_25C.lib
/root/riscduino_qcore_folk/openlane/Makefile
/root/riscduino_qcore_folk/openlane/Read.me
/root/riscduino_qcore_folk/openlane/clk_skew_adjust/config.tcl
/root/riscduino_qcore_folk/openlane/pinmux/base.sdc
/root/riscduino_qcore_folk/openlane/pinmux/config.tcl
/root/riscduino_qcore_folk/openlane/qspim_top/base.sdc
/root/riscduino_qcore_folk/openlane/qspim_top/config.tcl
/root/riscduino_qcore_folk/openlane/qspim_top/pdn.tcl
/root/riscduino_qcore_folk/openlane/qspim_top/sta.tcl
/root/riscduino_qcore_folk/openlane/sar_adc/config.tcl
/root/riscduino_qcore_folk/openlane/sar_adc/interactive.tcl
/root/riscduino_qcore_folk/openlane/sar_adc/pdn.tcl
/root/riscduino_qcore_folk/openlane/uart_i2cm_usb_spi_top/base.sdc
/root/riscduino_qcore_folk/openlane/uart_i2cm_usb_spi_top/config.tcl
/root/riscduino_qcore_folk/openlane/uart_i2cm_usb_spi_top/pdn.tcl
/root/riscduino_qcore_folk/openlane/uart_i2cm_usb_spi_top/sta.tcl
/root/riscduino_qcore_folk/openlane/user_project_wrapper/base.sdc
/root/riscduino_qcore_folk/openlane/user_project_wrapper/config.tcl
/root/riscduino_qcore_folk/openlane/user_project_wrapper/gen_pdn.tcl
/root/riscduino_qcore_folk/openlane/user_project_wrapper/interactive.mpw4.tcl
/root/riscduino_qcore_folk/openlane/user_project_wrapper/interactive.tcl
/root/riscduino_qcore_folk/openlane/user_project_wrapper/mod.tcl
/root/riscduino_qcore_folk/openlane/user_project_wrapper/pdn_cfg.tcl
/root/riscduino_qcore_folk/openlane/user_project_wrapper/sta.tcl
/root/riscduino_qcore_folk/openlane/wb_host/base.sdc
/root/riscduino_qcore_folk/openlane/wb_host/config.tcl
/root/riscduino_qcore_folk/openlane/wb_interconnect/base.sdc
/root/riscduino_qcore_folk/openlane/wb_interconnect/config.tcl
/root/riscduino_qcore_folk/openlane/wb_interconnect/pdn.tcl
/root/riscduino_qcore_folk/openlane/wb_interconnect/sta.tcl
/root/riscduino_qcore_folk/openlane/ycr4_iconnect/base.sdc
/root/riscduino_qcore_folk/openlane/ycr4_iconnect/config.tcl
/root/riscduino_qcore_folk/openlane/ycr4_iconnect/drc_exclude.cells
/root/riscduino_qcore_folk/openlane/ycr_core_top/base.sdc
/root/riscduino_qcore_folk/openlane/ycr_core_top/config.tcl
/root/riscduino_qcore_folk/openlane/ycr_intf/base.sdc
/root/riscduino_qcore_folk/openlane/ycr_intf/config.tcl
/root/riscduino_qcore_folk/spef/digital_pll.spef
/root/riscduino_qcore_folk/spef/pinmux.spef
/root/riscduino_qcore_folk/spef/qspim_top.spef
/root/riscduino_qcore_folk/spef/uart_i2c_usb_spi_top.spef
/root/riscduino_qcore_folk/spef/user_project_wrapper.spef
/root/riscduino_qcore_folk/spef/wb_host.spef
/root/riscduino_qcore_folk/spef/wb_interconnect.spef
/root/riscduino_qcore_folk/spef/ycr4_iconnect.spef
/root/riscduino_qcore_folk/spef/ycr_core_top.spef
/root/riscduino_qcore_folk/spef/ycr_intf.spef
/root/riscduino_qcore_folk/sta/Makefile
/root/riscduino_qcore_folk/sta/base.sdc
/root/riscduino_qcore_folk/sta/run_sta
/root/riscduino_qcore_folk/sta/scripts/caravel_timing.tcl
/root/riscduino_qcore_folk/sta/scripts/or_write_verilog.tcl
/root/riscduino_qcore_folk/sta/scripts/sta.tcl
/root/riscduino_qcore_folk/sta/scripts/ycr_core_timing.tcl
/root/riscduino_qcore_folk/sta/sdc/caravel.sdc
/root/riscduino_qcore_folk/sta/sdc/ycr_core_top.sdc
/root/riscduino_qcore_folk/verilog/dv/Makefile
/root/riscduino_qcore_folk/verilog/dv/agents/test_control.v
/root/riscduino_qcore_folk/verilog/dv/agents/uart_agent.v
/root/riscduino_qcore_folk/verilog/dv/agents/uart_master_tasks.sv
/root/riscduino_qcore_folk/verilog/dv/agents/usb_agents.v
/root/riscduino_qcore_folk/verilog/dv/bfm/usb1d_defines.v
/root/riscduino_qcore_folk/verilog/dv/bfm/usbd_files.v
/root/riscduino_qcore_folk/verilog/dv/bfm/usb_device/core/usb1d_core.v
/root/riscduino_qcore_folk/verilog/dv/bfm/usb_device/core/usb1d_crc16.v
/root/riscduino_qcore_folk/verilog/dv/bfm/usb_device/core/usb1d_crc5.v
/root/riscduino_qcore_folk/verilog/dv/bfm/usb_device/core/usb1d_fifo2.v
/root/riscduino_qcore_folk/verilog/dv/bfm/usb_device/core/usb1d_generic_dpram.v
/root/riscduino_qcore_folk/verilog/dv/bfm/usb_device/core/usb1d_generic_fifo.v
/root/riscduino_qcore_folk/verilog/dv/bfm/usb_device/core/usb1d_idma.v
/root/riscduino_qcore_folk/verilog/dv/bfm/usb_device/core/usb1d_pa.v
/root/riscduino_qcore_folk/verilog/dv/bfm/usb_device/core/usb1d_pd.v
/root/riscduino_qcore_folk/verilog/dv/bfm/usb_device/core/usb1d_pe.v
/root/riscduino_qcore_folk/verilog/dv/bfm/usb_device/core/usb1d_pl.v
/root/riscduino_qcore_folk/verilog/dv/bfm/usb_device/core/usb1d_rom1.v
/root/riscduino_qcore_folk/verilog/dv/bfm/usb_device/core/usb1d_sync_fifo.v
/root/riscduino_qcore_folk/verilog/dv/bfm/usb_device/core/usb1d_utmi_if.v
/root/riscduino_qcore_folk/verilog/dv/bfm/usb_device/phy/usb1d_phy.v
/root/riscduino_qcore_folk/verilog/dv/bfm/usb_device/phy/usb1d_rx_phy.v
/root/riscduino_qcore_folk/verilog/dv/bfm/usb_device/phy/usb1d_tx_phy.v
/root/riscduino_qcore_folk/verilog/dv/bfm/usb_device/top/usb1d_top.v
/root/riscduino_qcore_folk/verilog/dv/c_func/inc/pwm.h
/root/riscduino_qcore_folk/verilog/dv/c_func/inc/user_reg_map.h
/root/riscduino_qcore_folk/verilog/dv/firmware/common.mk
/root/riscduino_qcore_folk/verilog/dv/firmware/common_bthread.c
/root/riscduino_qcore_folk/verilog/dv/firmware/common_bthread.h
/root/riscduino_qcore_folk/verilog/dv/firmware/common_misc.h
/root/riscduino_qcore_folk/verilog/dv/firmware/crt.S
/root/riscduino_qcore_folk/verilog/dv/firmware/crt_tcm.S
/root/riscduino_qcore_folk/verilog/dv/firmware/csr.h
/root/riscduino_qcore_folk/verilog/dv/firmware/link.ld
/root/riscduino_qcore_folk/verilog/dv/firmware/link_tcm.ld
/root/riscduino_qcore_folk/verilog/dv/firmware/reloc.h
/root/riscduino_qcore_folk/verilog/dv/firmware/riscv_csr_encoding.h
/root/riscduino_qcore_folk/verilog/dv/firmware/riscv_macros.h
/root/riscduino_qcore_folk/verilog/dv/firmware/sc_print.c
/root/riscduino_qcore_folk/verilog/dv/firmware/sc_print.h
/root/riscduino_qcore_folk/verilog/dv/firmware/sc_test.h
/root/riscduino_qcore_folk/verilog/dv/firmware/ycr1_specific.h
/root/riscduino_qcore_folk/verilog/dv/model/i2c_slave_model.v
/root/riscduino_qcore_folk/verilog/dv/model/is62wvs1288.v
/root/riscduino_qcore_folk/verilog/dv/model/s25fl256s.sv
/root/riscduino_qcore_folk/verilog/dv/model/spiram.v
/root/riscduino_qcore_folk/verilog/dv/risc_boot/Makefile
/root/riscduino_qcore_folk/verilog/dv/risc_boot/risc_boot.c
/root/riscduino_qcore_folk/verilog/dv/risc_boot/risc_boot_tb.v
/root/riscduino_qcore_folk/verilog/dv/risc_boot/run_iverilog
/root/riscduino_qcore_folk/verilog/dv/risc_boot/user_uart.c
/root/riscduino_qcore_folk/verilog/dv/riscv_regress/Makefile
/root/riscduino_qcore_folk/verilog/dv/riscv_regress/riscv_runtests.sv
/root/riscduino_qcore_folk/verilog/dv/riscv_regress/run_iverilog
/root/riscduino_qcore_folk/verilog/dv/riscv_regress/user_risc_regress_tb.v
/root/riscduino_qcore_folk/verilog/dv/riscv_regress/tests/benchmarks/coremark/Makefile
/root/riscduino_qcore_folk/verilog/dv/riscv_regress/tests/benchmarks/coremark/core_portme.c
/root/riscduino_qcore_folk/verilog/dv/riscv_regress/tests/benchmarks/coremark/core_portme.h
/root/riscduino_qcore_folk/verilog/dv/riscv_regress/tests/benchmarks/dhrystone21/Makefile
/root/riscduino_qcore_folk/verilog/dv/riscv_regress/tests/benchmarks/dhrystone21/dhry.h
/root/riscduino_qcore_folk/verilog/dv/riscv_regress/tests/benchmarks/dhrystone21/dhry_1.c
/root/riscduino_qcore_folk/verilog/dv/riscv_regress/tests/benchmarks/dhrystone21/dhry_2.c
/root/riscduino_qcore_folk/verilog/dv/riscv_regress/tests/hello/Makefile
/root/riscduino_qcore_folk/verilog/dv/riscv_regress/tests/hello/hello.c
/root/riscduino_qcore_folk/verilog/dv/riscv_regress/tests/isr_sample/Makefile
/root/riscduino_qcore_folk/verilog/dv/riscv_regress/tests/isr_sample/isr_sample.S
/root/riscduino_qcore_folk/verilog/dv/riscv_regress/tests/isr_sample/timer.h
/root/riscduino_qcore_folk/verilog/dv/riscv_regress/tests/riscv_compliance/Makefile
/root/riscduino_qcore_folk/verilog/dv/riscv_regress/tests/riscv_compliance/aw_test_macros.h
/root/riscduino_qcore_folk/verilog/dv/riscv_regress/tests/riscv_compliance/compliance_io.h
/root/riscduino_qcore_folk/verilog/dv/riscv_regress/tests/riscv_compliance/compliance_test.h
/root/riscduino_qcore_folk/verilog/dv/riscv_regress/tests/riscv_compliance/riscv_test.h
/root/riscduino_qcore_folk/verilog/dv/riscv_regress/tests/riscv_compliance/riscv_test_macros.h
/root/riscduino_qcore_folk/verilog/dv/riscv_regress/tests/riscv_compliance/test_macros.h
/root/riscduino_qcore_folk/verilog/dv/riscv_regress/tests/riscv_isa/Makefile
/root/riscduino_qcore_folk/verilog/dv/riscv_regress/tests/riscv_isa/riscv_test.h
/root/riscduino_qcore_folk/verilog/dv/riscv_regress/tests/riscv_isa/rv32_tests.inc
/root/riscduino_qcore_folk/verilog/dv/riscv_regress/tests/riscv_isa/test_macros.h
/root/riscduino_qcore_folk/verilog/dv/uart_master/Makefile
/root/riscduino_qcore_folk/verilog/dv/uart_master/run_verilog
/root/riscduino_qcore_folk/verilog/dv/uart_master/uart_master.c
/root/riscduino_qcore_folk/verilog/dv/uart_master/uart_master_tb.v
/root/riscduino_qcore_folk/verilog/dv/user_basic/Makefile
/root/riscduino_qcore_folk/verilog/dv/user_basic/user_basic_tb.v
/root/riscduino_qcore_folk/verilog/dv/user_i2cm/Makefile
/root/riscduino_qcore_folk/verilog/dv/user_i2cm/run_iverilog
/root/riscduino_qcore_folk/verilog/dv/user_i2cm/user_i2cm_tb.v
/root/riscduino_qcore_folk/verilog/dv/user_i2cm/user_uart.c
/root/riscduino_qcore_folk/verilog/dv/user_mcore/Makefile
/root/riscduino_qcore_folk/verilog/dv/user_mcore/user_mcore.c
/root/riscduino_qcore_folk/verilog/dv/user_mcore/user_mcore_tb.v
/root/riscduino_qcore_folk/verilog/dv/user_pwm/Makefile
/root/riscduino_qcore_folk/verilog/dv/user_pwm/user_pwm_tb.v
/root/riscduino_qcore_folk/verilog/dv/user_qspi/Makefile
/root/riscduino_qcore_folk/verilog/dv/user_qspi/run_iverilog
/root/riscduino_qcore_folk/verilog/dv/user_qspi/user_qspi_tb.v
/root/riscduino_qcore_folk/verilog/dv/user_qspi/user_risc_boot.c
/root/riscduino_qcore_folk/verilog/dv/user_risc_boot/Makefile
/root/riscduino_qcore_folk/verilog/dv/user_risc_boot/run_iverilog
/root/riscduino_qcore_folk/verilog/dv/user_risc_boot/user_risc_boot.c
/root/riscduino_qcore_folk/verilog/dv/user_risc_boot/user_risc_boot_tb.v
/root/riscduino_qcore_folk/verilog/dv/user_risc_boot/user_uart.c
/root/riscduino_qcore_folk/verilog/dv/user_sram_exec/Makefile
/root/riscduino_qcore_folk/verilog/dv/user_sram_exec/user_sram_exec.c
/root/riscduino_qcore_folk/verilog/dv/user_sram_exec/user_sram_exec_tb.v
/root/riscduino_qcore_folk/verilog/dv/user_sspi/Makefile
/root/riscduino_qcore_folk/verilog/dv/user_sspi/sspi_task.v
/root/riscduino_qcore_folk/verilog/dv/user_sspi/user_sspi_tb.v
/root/riscduino_qcore_folk/verilog/dv/user_timer/Makefile
/root/riscduino_qcore_folk/verilog/dv/user_timer/user_timer_tb.v
/root/riscduino_qcore_folk/verilog/dv/user_uart/Makefile
/root/riscduino_qcore_folk/verilog/dv/user_uart/run_iverilog
/root/riscduino_qcore_folk/verilog/dv/user_uart/user_uart.c
/root/riscduino_qcore_folk/verilog/dv/user_uart/user_uart_tb.v
/root/riscduino_qcore_folk/verilog/dv/user_uart1/Makefile
/root/riscduino_qcore_folk/verilog/dv/user_uart1/run_iverilog
/root/riscduino_qcore_folk/verilog/dv/user_uart1/user_uart.c
/root/riscduino_qcore_folk/verilog/dv/user_uart1/user_uart1_tb.v
/root/riscduino_qcore_folk/verilog/dv/user_uart_master/Makefile
/root/riscduino_qcore_folk/verilog/dv/user_uart_master/run_iverilog
/root/riscduino_qcore_folk/verilog/dv/user_uart_master/user_uart.c
/root/riscduino_qcore_folk/verilog/dv/user_uart_master/user_uart_master_tb.v
/root/riscduino_qcore_folk/verilog/dv/user_usb/Makefile
/root/riscduino_qcore_folk/verilog/dv/user_usb/user_usb_tb.v
/root/riscduino_qcore_folk/verilog/dv/user_usb/tests/usb_test1.v
/root/riscduino_qcore_folk/verilog/dv/user_usb/tests/usb_test2.v
/root/riscduino_qcore_folk/verilog/dv/user_usb/tests/usb_test3.v
/root/riscduino_qcore_folk/verilog/dv/vpi/system/system.c
/root/riscduino_qcore_folk/verilog/dv/wb_port/Makefile
/root/riscduino_qcore_folk/verilog/dv/wb_port/run_verilog
/root/riscduino_qcore_folk/verilog/dv/wb_port/wb_port.c
/root/riscduino_qcore_folk/verilog/dv/wb_port/wb_port_tb.v
/root/riscduino_qcore_folk/verilog/includes/includes.gl.caravel_user_project
/root/riscduino_qcore_folk/verilog/includes/includes.gl.lib
/root/riscduino_qcore_folk/verilog/includes/includes.rtl.caravel_user_project
/root/riscduino_qcore_folk/verilog/includes/includes.rtl.lib
/root/riscduino_qcore_folk/verilog/rtl/uprj_netlists.v
/root/riscduino_qcore_folk/verilog/rtl/user_project_wrapper.v
/root/riscduino_qcore_folk/verilog/rtl/user_reg_map.v
/root/riscduino_qcore_folk/verilog/rtl/clk_skew_adjust/src/clk_skew_adjust.gv
/root/riscduino_qcore_folk/verilog/rtl/clk_skew_adjust/src/clk_skew_adjust.v
/root/riscduino_qcore_folk/verilog/rtl/clk_skew_adjust/synth/Makefile
/root/riscduino_qcore_folk/verilog/rtl/clk_skew_adjust/synth/synth.tcl
/root/riscduino_qcore_folk/verilog/rtl/digital_core/filelist_rtl.f
/root/riscduino_qcore_folk/verilog/rtl/digital_core/run_modelsim
/root/riscduino_qcore_folk/verilog/rtl/digital_core/src/digital_core.sv
/root/riscduino_qcore_folk/verilog/rtl/digital_core/src/glbl_cfg.sv
/root/riscduino_qcore_folk/verilog/rtl/digital_pll/src/digital_pll.v
/root/riscduino_qcore_folk/verilog/rtl/digital_pll/src/digital_pll_controller.v
/root/riscduino_qcore_folk/verilog/rtl/digital_pll/src/ring_osc2x13.v
/root/riscduino_qcore_folk/verilog/rtl/i2cm/src/core/i2cm_bit_ctrl.v
/root/riscduino_qcore_folk/verilog/rtl/i2cm/src/core/i2cm_byte_ctrl.v
/root/riscduino_qcore_folk/verilog/rtl/i2cm/src/core/i2cm_top.v
/root/riscduino_qcore_folk/verilog/rtl/i2cm/src/includes/i2cm_defines.v
/root/riscduino_qcore_folk/verilog/rtl/lib/async_fifo.sv
/root/riscduino_qcore_folk/verilog/rtl/lib/async_fifo_th.sv
/root/riscduino_qcore_folk/verilog/rtl/lib/async_reg_bus.sv
/root/riscduino_qcore_folk/verilog/rtl/lib/async_wb.sv
/root/riscduino_qcore_folk/verilog/rtl/lib/clk_buf.v
/root/riscduino_qcore_folk/verilog/rtl/lib/clk_ctl.v
/root/riscduino_qcore_folk/verilog/rtl/lib/clk_skew_adjust.gv
/root/riscduino_qcore_folk/verilog/rtl/lib/ctech_cells.sv
/root/riscduino_qcore_folk/verilog/rtl/lib/double_sync_high.v
/root/riscduino_qcore_folk/verilog/rtl/lib/double_sync_low.v
/root/riscduino_qcore_folk/verilog/rtl/lib/pulse_gen_type1.sv
/root/riscduino_qcore_folk/verilog/rtl/lib/pulse_gen_type2.sv
/root/riscduino_qcore_folk/verilog/rtl/lib/registers.v
/root/riscduino_qcore_folk/verilog/rtl/lib/reset_sync.sv
/root/riscduino_qcore_folk/verilog/rtl/lib/ser_inf_32b.sv
/root/riscduino_qcore_folk/verilog/rtl/lib/ser_shift.sv
/root/riscduino_qcore_folk/verilog/rtl/lib/sync_fifo.sv
/root/riscduino_qcore_folk/verilog/rtl/lib/sync_fifo2.sv
/root/riscduino_qcore_folk/verilog/rtl/lib/sync_wbb.sv
/root/riscduino_qcore_folk/verilog/rtl/lib/wb_interface.v
/root/riscduino_qcore_folk/verilog/rtl/lib/wb_stagging.sv
/root/riscduino_qcore_folk/verilog/rtl/mbist/run_iverilog
/root/riscduino_qcore_folk/verilog/rtl/mbist/run_verilator
/root/riscduino_qcore_folk/verilog/rtl/mbist/include/mbist_def.svh
/root/riscduino_qcore_folk/verilog/rtl/mbist/src/core/mbist_addr_gen.sv
/root/riscduino_qcore_folk/verilog/rtl/mbist/src/core/mbist_data_cmp.sv
/root/riscduino_qcore_folk/verilog/rtl/mbist/src/core/mbist_fsm.sv
/root/riscduino_qcore_folk/verilog/rtl/mbist/src/core/mbist_mem_wrapper.sv
/root/riscduino_qcore_folk/verilog/rtl/mbist/src/core/mbist_mux.sv
/root/riscduino_qcore_folk/verilog/rtl/mbist/src/core/mbist_op_sel.sv
/root/riscduino_qcore_folk/verilog/rtl/mbist/src/core/mbist_pat_sel.sv
/root/riscduino_qcore_folk/verilog/rtl/mbist/src/core/mbist_repair_addr.sv
/root/riscduino_qcore_folk/verilog/rtl/mbist/src/core/mbist_sti_sel.sv
/root/riscduino_qcore_folk/verilog/rtl/mbist/src/top/mbist_top.sv
/root/riscduino_qcore_folk/verilog/rtl/mbist/src/top/mbist_top1.sv
/root/riscduino_qcore_folk/verilog/rtl/mbist/src/top/mbist_top2.sv
/root/riscduino_qcore_folk/verilog/rtl/mbist_wrapper/src/mbist_wb.sv
/root/riscduino_qcore_folk/verilog/rtl/mbist_wrapper/src/mbist_wrapper.sv
/root/riscduino_qcore_folk/verilog/rtl/pinmux/src/gpio_control.sv
/root/riscduino_qcore_folk/verilog/rtl/pinmux/src/gpio_intr.sv
/root/riscduino_qcore_folk/verilog/rtl/pinmux/src/pinmux.sv
/root/riscduino_qcore_folk/verilog/rtl/pinmux/src/pinmux_reg.sv
/root/riscduino_qcore_folk/verilog/rtl/pinmux/src/pwm.sv
/root/riscduino_qcore_folk/verilog/rtl/pinmux/src/timer.sv
/root/riscduino_qcore_folk/verilog/rtl/qspim/Makefile
/root/riscduino_qcore_folk/verilog/rtl/qspim/lib/clk_skew_adjust.gv
/root/riscduino_qcore_folk/verilog/rtl/qspim/lib/ctech_cells.sv
/root/riscduino_qcore_folk/verilog/rtl/qspim/lib/reset_sync.sv
/root/riscduino_qcore_folk/verilog/rtl/qspim/model/cy15b104qs.v
/root/riscduino_qcore_folk/verilog/rtl/qspim/model/s25fl256s.sv
/root/riscduino_qcore_folk/verilog/rtl/qspim/model/spiram.v
/root/riscduino_qcore_folk/verilog/rtl/qspim/src/filelist.f
/root/riscduino_qcore_folk/verilog/rtl/qspim/src/qspim_clkgen.sv
/root/riscduino_qcore_folk/verilog/rtl/qspim/src/qspim_ctrl.sv
/root/riscduino_qcore_folk/verilog/rtl/qspim/src/qspim_fifo.sv
/root/riscduino_qcore_folk/verilog/rtl/qspim/src/qspim_if.sv
/root/riscduino_qcore_folk/verilog/rtl/qspim/src/qspim_regs.sv
/root/riscduino_qcore_folk/verilog/rtl/qspim/src/qspim_rx.sv
/root/riscduino_qcore_folk/verilog/rtl/qspim/src/qspim_top.sv
/root/riscduino_qcore_folk/verilog/rtl/qspim/src/qspim_tx.sv
/root/riscduino_qcore_folk/verilog/rtl/qspim/synth/Makefile
/root/riscduino_qcore_folk/verilog/rtl/qspim/synth/synth.tcl
/root/riscduino_qcore_folk/verilog/rtl/qspim/tb/Makefile
/root/riscduino_qcore_folk/verilog/rtl/qspim/tb/run_iverilog
/root/riscduino_qcore_folk/verilog/rtl/qspim/tb/tb_top.v
/root/riscduino_qcore_folk/verilog/rtl/sar_adc/ACMP.sv
/root/riscduino_qcore_folk/verilog/rtl/sar_adc/ACMP_HVL.v
/root/riscduino_qcore_folk/verilog/rtl/sar_adc/DAC_8BIT.v
/root/riscduino_qcore_folk/verilog/rtl/sar_adc/SAR.sv
/root/riscduino_qcore_folk/verilog/rtl/sar_adc/adc_reg.sv
/root/riscduino_qcore_folk/verilog/rtl/sar_adc/sar_adc.sv
/root/riscduino_qcore_folk/verilog/rtl/sram_macros/sky130_sram_2kbyte_1rw1r_32x512_8.v
/root/riscduino_qcore_folk/verilog/rtl/sspim/src/filelist_spi.f
/root/riscduino_qcore_folk/verilog/rtl/sspim/src/sspim_cfg.sv
/root/riscduino_qcore_folk/verilog/rtl/sspim/src/sspim_ctl.sv
/root/riscduino_qcore_folk/verilog/rtl/sspim/src/sspim_if.sv
/root/riscduino_qcore_folk/verilog/rtl/sspim/src/sspim_top.sv
/root/riscduino_qcore_folk/verilog/rtl/uart/src/uart_cfg.sv
/root/riscduino_qcore_folk/verilog/rtl/uart/src/uart_core.sv
/root/riscduino_qcore_folk/verilog/rtl/uart/src/uart_rxfsm.sv
/root/riscduino_qcore_folk/verilog/rtl/uart/src/uart_txfsm.sv
/root/riscduino_qcore_folk/verilog/rtl/uart2wb/src/run_verilog
/root/riscduino_qcore_folk/verilog/rtl/uart2wb/src/uart2_core.sv
/root/riscduino_qcore_folk/verilog/rtl/uart2wb/src/uart2wb.sv
/root/riscduino_qcore_folk/verilog/rtl/uart2wb/src/uart_msg_handler.v
/root/riscduino_qcore_folk/verilog/rtl/uart_i2c/src/uart_i2c_top.sv
/root/riscduino_qcore_folk/verilog/rtl/uart_i2c_usb/src/uart_i2c_usb.sv
/root/riscduino_qcore_folk/verilog/rtl/uart_i2c_usb_spi/src/uart_i2c_usb_spi.sv
/root/riscduino_qcore_folk/verilog/rtl/usb1_host/src/filelist.f
/root/riscduino_qcore_folk/verilog/rtl/usb1_host/src/core/usbh_core.sv
/root/riscduino_qcore_folk/verilog/rtl/usb1_host/src/core/usbh_crc16.sv
/root/riscduino_qcore_folk/verilog/rtl/usb1_host/src/core/usbh_crc5.sv
/root/riscduino_qcore_folk/verilog/rtl/usb1_host/src/core/usbh_fifo.sv
/root/riscduino_qcore_folk/verilog/rtl/usb1_host/src/core/usbh_sie.sv
/root/riscduino_qcore_folk/verilog/rtl/usb1_host/src/includes/usbh_host_defs.v
/root/riscduino_qcore_folk/verilog/rtl/usb1_host/src/phy/usb_fs_phy.v
/root/riscduino_qcore_folk/verilog/rtl/usb1_host/src/phy/usb_transceiver.v
/root/riscduino_qcore_folk/verilog/rtl/usb1_host/src/top/usb1_host.sv
/root/riscduino_qcore_folk/verilog/rtl/wb_host/src/wb_host.sv
/root/riscduino_qcore_folk/verilog/rtl/wb_interconnect/src/wb_arb.sv
/root/riscduino_qcore_folk/verilog/rtl/wb_interconnect/src/wb_interconnect.sv
/root/riscduino_qcore_folk/verilog/rtl/wb_interconnect/src/wb_slave_port.sv
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/Makefile
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/run_iverilog
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/openlane/Makefile
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/openlane/ycr2c/base.sdc
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/openlane/ycr2c/config.tcl
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/openlane/ycr2c/interactive.tcl
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/openlane/ycr2c/pdn.tcl
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/openlane/ycr2c/sta.tcl
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/openlane/ycr4_iconnect/base.sdc
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/openlane/ycr4_iconnect/config.tcl
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/openlane/ycr4_iconnect/drc_exclude.cells
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/openlane/ycr4_iconnect/pdn_cfg.tcl
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/openlane/ycr_core/base.sdc
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/openlane/ycr_core/config.tcl
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/openlane/ycr_intf/base.sdc
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/openlane/ycr_intf/config.tcl
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/sim/Makefile
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/sim/iverilog_vpi/system.c
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/sim/tests/benchmarks/coremark/Makefile
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/sim/tests/benchmarks/coremark/core_portme.c
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/sim/tests/benchmarks/coremark/core_portme.h
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/sim/tests/benchmarks/dhrystone21/Makefile
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/sim/tests/benchmarks/dhrystone21/dhry.h
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/sim/tests/benchmarks/dhrystone21/dhry_1.c
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/sim/tests/benchmarks/dhrystone21/dhry_2.c
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/sim/tests/common/common.mk
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/sim/tests/common/crt.S
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/sim/tests/common/crt_tcm.S
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/sim/tests/common/csr.h
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/sim/tests/common/link.ld
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/sim/tests/common/link_tcm.ld
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/sim/tests/common/reloc.h
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/sim/tests/common/riscv_csr_encoding.h
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/sim/tests/common/riscv_macros.h
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/sim/tests/common/sc_print.c
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/sim/tests/common/sc_print.h
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/sim/tests/common/sc_test.h
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/sim/tests/common/ycr1_specific.h
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/sim/tests/hello/Makefile
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/sim/tests/hello/hello.c
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/sim/tests/isr_sample/Makefile
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/sim/tests/isr_sample/isr_sample.S
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/sim/tests/isr_sample/timer.h
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/sim/tests/riscv_compliance/Makefile
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/sim/tests/riscv_compliance/aw_test_macros.h
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/sim/tests/riscv_compliance/compliance_io.h
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/sim/tests/riscv_compliance/compliance_test.h
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/sim/tests/riscv_compliance/riscv_test.h
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/sim/tests/riscv_compliance/riscv_test_macros.h
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/sim/tests/riscv_compliance/test_macros.h
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/sim/tests/riscv_isa/Makefile
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/sim/tests/riscv_isa/riscv_test.h
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/sim/tests/riscv_isa/rv32_tests.inc
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/sim/tests/riscv_isa/test_macros.h
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/sim/verilator_wrap/ycr1_ahb_wrapper.c
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/sim/verilator_wrap/ycr1_axi_wrapper.c
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/sim/verilator_wrap/ycr1_wb_wrapper.c
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/src/core.files
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/src/run_modemsim
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/src/wb_top.files
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/src/cache/Makefile
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/src/cache/lib/sky130_sram_2kbyte_1rw1r_32x512_8_TT_1p8V_25C.lib
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/src/cache/src/core/dcache_tag_fifo.sv
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/src/cache/src/core/dcache_top.sv
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/src/cache/src/core/icache_app_fsm.sv
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/src/cache/src/core/icache_tag_fifo.sv
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/src/cache/src/core/icache_top.sv
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/src/cache/src/core/ycr_cache_defs.svh
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/src/cache/src/model/sky130_sram_2kbyte_1rw1r_32x512_8.v
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/src/core/ycr_clk_ctrl.sv
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/src/core/ycr_core_top.sv
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/src/core/ycr_dm.sv
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/src/core/ycr_dmi.sv
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/src/core/ycr_scu.sv
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/src/core/ycr_tapc.sv
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/src/core/ycr_tapc_shift_reg.sv
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/src/core/ycr_tapc_synchronizer.sv
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/src/core/pipeline/ycr_ipic.sv
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/src/core/pipeline/ycr_pipe_csr.sv
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/src/core/pipeline/ycr_pipe_div.sv
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/src/core/pipeline/ycr_pipe_exu.sv
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/src/core/pipeline/ycr_pipe_hdu.sv
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/src/core/pipeline/ycr_pipe_ialu.sv
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/src/core/pipeline/ycr_pipe_idu.sv
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/src/core/pipeline/ycr_pipe_ifu.sv
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/src/core/pipeline/ycr_pipe_lsu.sv
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/src/core/pipeline/ycr_pipe_mprf.sv
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/src/core/pipeline/ycr_pipe_mul.sv
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/src/core/pipeline/ycr_pipe_tdu.sv
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/src/core/pipeline/ycr_pipe_top.sv
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/src/core/pipeline/ycr_tracelog.sv
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/src/core/primitives/ycr_cg.sv
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/src/core/primitives/ycr_reset_cells.sv
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/src/includes/ycr_ahb.svh
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/src/includes/ycr_arch_description.svh
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/src/includes/ycr_arch_types.svh
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/src/includes/ycr_cache_defs.svh
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/src/includes/ycr_csr.svh
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/src/includes/ycr_dm.svh
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/src/includes/ycr_hdu.svh
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/src/includes/ycr_ipic.svh
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/src/includes/ycr_memif.svh
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/src/includes/ycr_riscv_isa_decoding.svh
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/src/includes/ycr_scu.svh
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/src/includes/ycr_search_ms1.svh
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/src/includes/ycr_tapc.svh
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/src/includes/ycr_tdu.svh
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/src/includes/ycr_wb.svh
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/src/lib/async_fifo.sv
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/src/lib/clk_skew_adjust.gv
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/src/lib/ctech_cells.sv
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/src/lib/sync_fifo.sv
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/src/lib/sync_fifo2.sv
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/src/lib/ycr_arb.sv
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/src/lib/ycr_async_wbb.sv
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/src/top/ycr2_top_ahb.sv
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/src/top/ycr2_top_axi.sv
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/src/top/ycr4_cross_bar.sv
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/src/top/ycr4_iconnect.sv
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/src/top/ycr4_router.sv
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/src/top/ycr4_top_wb.sv
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/src/top/ycr_cache_top.sv
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/src/top/ycr_dcache_router.sv
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/src/top/ycr_dmem_ahb.sv
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/src/top/ycr_dmem_router.sv
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/src/top/ycr_dmem_wb.sv
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/src/top/ycr_dp_memory.sv
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/src/top/ycr_icache_router.sv
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/src/top/ycr_imem_ahb.sv
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/src/top/ycr_imem_router.sv
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/src/top/ycr_imem_wb.sv
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/src/top/ycr_intf.sv
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/src/top/ycr_mem_axi.sv
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/src/top/ycr_req_retiming.sv
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/src/top/ycr_sram_mux.sv
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/src/top/ycr_tcm.sv
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/src/top/ycr_timer.sv
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/synth/Makefile
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/synth/base.sdc
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/synth/run_synth
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/synth/sta.tcl
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/synth/synth.tcl
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/synth/scripts/libtrim.pl
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/tb/ahb_tb.files
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/tb/axi_tb.files
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/tb/sky130_sram_2kbyte_1rw1r_32x512_8.v
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/tb/uprj_netlists.v
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/tb/wb_tb.files
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/tb/ycr2_top_tb_ahb.sv
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/tb/ycr2_top_tb_axi.sv
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/tb/ycr4_top_tb_wb.sv
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/tb/ycr_dmem_tb_wb.sv
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/tb/ycr_memory_tb_ahb.sv
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/tb/ycr_memory_tb_axi.sv
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/tb/ycr_memory_tb_wb.sv
/root/riscduino_qcore_folk/verilog/rtl/yifive/ycr4c/tb/ycr_top_tb_runtests.sv