ycr core timing cleanup
diff --git a/def/user_project_wrapper.def.gz b/def/user_project_wrapper.def.gz
deleted file mode 100644
index 5d73157..0000000
--- a/def/user_project_wrapper.def.gz
+++ /dev/null
Binary files differ
diff --git a/gds/pinmux.gds.gz b/gds/pinmux.gds.gz
index 36ec230..0f5f8dd 100644
--- a/gds/pinmux.gds.gz
+++ b/gds/pinmux.gds.gz
Binary files differ
diff --git a/gds/user_project_wrapper.gds.gz b/gds/user_project_wrapper.gds.gz
index 33a53cc..e1b4346 100644
--- a/gds/user_project_wrapper.gds.gz
+++ b/gds/user_project_wrapper.gds.gz
Binary files differ
diff --git a/gds/ycr4_iconnect.gds.gz b/gds/ycr4_iconnect.gds.gz
index a03f141..0caa42c 100644
--- a/gds/ycr4_iconnect.gds.gz
+++ b/gds/ycr4_iconnect.gds.gz
Binary files differ
diff --git a/gds/ycr_core_top.gds.gz b/gds/ycr_core_top.gds.gz
index e1e8889..e9649b5 100644
--- a/gds/ycr_core_top.gds.gz
+++ b/gds/ycr_core_top.gds.gz
Binary files differ
diff --git a/gds/ycr_intf.gds.gz b/gds/ycr_intf.gds.gz
index 8fea212..7f5fe78 100644
--- a/gds/ycr_intf.gds.gz
+++ b/gds/ycr_intf.gds.gz
Binary files differ
diff --git a/lef/pinmux.lef.gz b/lef/pinmux.lef.gz
index bcfc1ce..ff65d83 100644
--- a/lef/pinmux.lef.gz
+++ b/lef/pinmux.lef.gz
Binary files differ
diff --git a/lef/user_project_wrapper.lef.gz b/lef/user_project_wrapper.lef.gz
index c4377ff..dde359d 100644
--- a/lef/user_project_wrapper.lef.gz
+++ b/lef/user_project_wrapper.lef.gz
Binary files differ
diff --git a/lef/wb_interconnect.lef.gz b/lef/wb_interconnect.lef.gz
index 21a71d5..37f5805 100644
--- a/lef/wb_interconnect.lef.gz
+++ b/lef/wb_interconnect.lef.gz
Binary files differ
diff --git a/lef/ycr4_iconnect.lef.gz b/lef/ycr4_iconnect.lef.gz
index ae38d90..66b0b6b 100644
--- a/lef/ycr4_iconnect.lef.gz
+++ b/lef/ycr4_iconnect.lef.gz
Binary files differ
diff --git a/lef/ycr_core_top.lef.gz b/lef/ycr_core_top.lef.gz
index 6bcf4e4..c1bd71a 100644
--- a/lef/ycr_core_top.lef.gz
+++ b/lef/ycr_core_top.lef.gz
Binary files differ
diff --git a/lef/ycr_intf.lef.gz b/lef/ycr_intf.lef.gz
index 6ddeb18..3b6997a 100644
--- a/lef/ycr_intf.lef.gz
+++ b/lef/ycr_intf.lef.gz
Binary files differ
diff --git a/openlane/pinmux/pin_order.cfg b/openlane/pinmux/pin_order.cfg
index be6ef95..cc3fbf6 100644
--- a/openlane/pinmux/pin_order.cfg
+++ b/openlane/pinmux/pin_order.cfg
@@ -13,8 +13,22 @@
uart_rst_n
i2cm_rst_n
usb_rst_n
-cfg_riscv_debug_sel\[1\]
-cfg_riscv_debug_sel\[0\]
+cfg_riscv_ctrl\[15\]
+cfg_riscv_ctrl\[14\]
+cfg_riscv_ctrl\[13\]
+cfg_riscv_ctrl\[12\]
+cfg_riscv_ctrl\[11\]
+cfg_riscv_ctrl\[10\]
+cfg_riscv_ctrl\[9\]
+cfg_riscv_ctrl\[8\]
+cfg_riscv_ctrl\[7\]
+cfg_riscv_ctrl\[6\]
+cfg_riscv_ctrl\[5\]
+cfg_riscv_ctrl\[4\]
+cfg_riscv_ctrl\[3\]
+cfg_riscv_ctrl\[2\]
+cfg_riscv_ctrl\[1\]
+cfg_riscv_ctrl\[0\]
user_irq\[0\]
user_irq\[1\]
user_irq\[2\]
diff --git a/openlane/ycr4_iconnect/base.sdc b/openlane/ycr4_iconnect/base.sdc
index 00fe508..38463e4 100644
--- a/openlane/ycr4_iconnect/base.sdc
+++ b/openlane/ycr4_iconnect/base.sdc
@@ -2,8 +2,6 @@
# Timing Constraints
###############################################################################
create_clock -name core_clk -period 20.0000 [get_ports {core_clk}]
-create_clock -name rtc_clk -period 40.0000 [get_ports {rtc_clk}]
-create_clock -name wb_clk -period 10.0000 [get_ports {wb_clk}]
set_clock_transition 0.1500 [all_clocks]
set_clock_uncertainty -setup 0.2500 [all_clocks]
@@ -14,16 +12,155 @@
set_timing_derate -early [expr {1-$::env(SYNTH_TIMING_DERATE)}]
set_timing_derate -late [expr {1+$::env(SYNTH_TIMING_DERATE)}]
-set_clock_groups -name async_clock -asynchronous \
- -group [get_clocks {core_clk}]\
- -group [get_clocks {rtc_clk}]\
- -group [get_clocks {wb_clk}] -comment {Async Clock group}
+#CORE-0 IMEM Constraints
+set_input_delay -max 8.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core0_imem_cmd}]
+set_input_delay -max 8.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core0_imem_req}]
+set_input_delay -max 8.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core0_imem_addr[*]}]
+set_input_delay -max 8.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core0_imem_bl[*]}]
+
+set_input_delay -min 2.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core0_imem_cmd}]
+set_input_delay -min 2.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core0_imem_req}]
+set_input_delay -min 2.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core0_imem_addr[*]}]
+set_input_delay -min 2.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core0_imem_bl[*]}]
+
+set_output_delay -max 4.5000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core0_imem_req_ack}]
+set_output_delay -max 4.5000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core0_imem_rdata[*]}]
+
+set_output_delay -min 2.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core0_imem_req_ack}]
+set_output_delay -min 2.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core0_imem_rdata[*]}]
+
+#CORE-0 DMEM Constraints
+set_input_delay -max 8.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core0_dmem_cmd}]
+set_input_delay -max 8.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core0_dmem_req}]
+set_input_delay -max 8.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core0_dmem_addr[*]}]
+set_input_delay -max 8.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core0_dmem_wdata[*]}]
+set_input_delay -max 8.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core0_dmem_width[*]}]
+
+set_input_delay -min 2.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core0_dmem_cmd}]
+set_input_delay -min 2.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core0_dmem_req}]
+set_input_delay -min 2.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core0_dmem_addr[*]}]
+set_input_delay -min 2.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core0_dmem_wdata[*]}]
+set_input_delay -min 2.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core0_dmem_width[*]}]
+
+set_output_delay -max 4.5000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core0_dmem_req_ack}]
+set_output_delay -max 4.5000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core0_dmem_rdata[*]}]
+
+set_output_delay -min 2.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core0_dmem_req_ack}]
+set_output_delay -min 2.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core0_dmem_rdata[*]}]
+
+#CORE-1 IMEM Constraints
+set_input_delay -max 8.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core1_imem_cmd}]
+set_input_delay -max 8.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core1_imem_req}]
+set_input_delay -max 8.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core1_imem_addr[*]}]
+set_input_delay -max 8.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core1_imem_bl[*]}]
+
+set_input_delay -min 2.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core1_imem_cmd}]
+set_input_delay -min 2.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core1_imem_req}]
+set_input_delay -min 2.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core1_imem_addr[*]}]
+set_input_delay -min 2.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core1_imem_bl[*]}]
+
+set_output_delay -max 4.5000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core1_imem_req_ack}]
+set_output_delay -max 4.5000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core1_imem_rdata[*]}]
+
+set_output_delay -min 2.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core1_imem_req_ack}]
+set_output_delay -min 2.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core1_imem_rdata[*]}]
+
+#CORE-1 DMEM Constraints
+set_input_delay -max 8.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core1_dmem_cmd}]
+set_input_delay -max 8.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core1_dmem_req}]
+set_input_delay -max 8.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core1_dmem_addr[*]}]
+set_input_delay -max 8.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core1_dmem_wdata[*]}]
+set_input_delay -max 8.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core1_dmem_width[*]}]
+
+set_input_delay -min 2.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core1_dmem_cmd}]
+set_input_delay -min 2.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core1_dmem_req}]
+set_input_delay -min 2.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core1_dmem_addr[*]}]
+set_input_delay -min 2.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core1_dmem_wdata[*]}]
+set_input_delay -min 2.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core1_dmem_width[*]}]
+
+set_output_delay -max 4.5000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core1_dmem_req_ack}]
+set_output_delay -max 4.5000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core1_dmem_rdata[*]}]
+
+set_output_delay -min 2.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core1_dmem_req_ack}]
+set_output_delay -min 2.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core1_dmem_rdata[*]}]
+
+#CORE-2 IMEM Constraints
+set_input_delay -max 8.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core2_imem_cmd}]
+set_input_delay -max 8.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core2_imem_req}]
+set_input_delay -max 8.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core2_imem_addr[*]}]
+set_input_delay -max 8.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core2_imem_bl[*]}]
+
+set_input_delay -min 2.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core2_imem_cmd}]
+set_input_delay -min 2.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core2_imem_req}]
+set_input_delay -min 2.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core2_imem_addr[*]}]
+set_input_delay -min 2.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core2_imem_bl[*]}]
+
+set_output_delay -max 4.5000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core2_imem_req_ack}]
+set_output_delay -max 4.5000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core2_imem_rdata[*]}]
+
+set_output_delay -min 2.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core2_imem_req_ack}]
+set_output_delay -min 2.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core2_imem_rdata[*]}]
+
+#CORE-2 DMEM Constraints
+set_input_delay -max 8.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core2_dmem_cmd}]
+set_input_delay -max 8.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core2_dmem_req}]
+set_input_delay -max 8.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core2_dmem_addr[*]}]
+set_input_delay -max 8.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core2_dmem_wdata[*]}]
+set_input_delay -max 8.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core2_dmem_width[*]}]
+
+set_input_delay -min 2.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core2_dmem_cmd}]
+set_input_delay -min 2.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core2_dmem_req}]
+set_input_delay -min 2.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core2_dmem_addr[*]}]
+set_input_delay -min 2.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core2_dmem_wdata[*]}]
+set_input_delay -min 2.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core2_dmem_width[*]}]
+
+set_output_delay -max 4.5000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core2_dmem_req_ack}]
+set_output_delay -max 4.5000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core2_dmem_rdata[*]}]
+
+set_output_delay -min 2.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core2_dmem_req_ack}]
+set_output_delay -min 2.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core2_dmem_rdata[*]}]
+
+#CORE-3 IMEM Constraints
+set_input_delay -max 8.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core3_imem_cmd}]
+set_input_delay -max 8.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core3_imem_req}]
+set_input_delay -max 8.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core3_imem_addr[*]}]
+set_input_delay -max 8.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core3_imem_bl[*]}]
+
+set_input_delay -min 2.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core3_imem_cmd}]
+set_input_delay -min 2.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core3_imem_req}]
+set_input_delay -min 2.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core3_imem_addr[*]}]
+set_input_delay -min 2.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core3_imem_bl[*]}]
+
+set_output_delay -max 4.5000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core3_imem_req_ack}]
+set_output_delay -max 4.5000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core3_imem_rdata[*]}]
+
+set_output_delay -min 2.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core3_imem_req_ack}]
+set_output_delay -min 2.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core3_imem_rdata[*]}]
+
+#CORE-3 DMEM Constraints
+set_input_delay -max 8.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core3_dmem_cmd}]
+set_input_delay -max 8.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core3_dmem_req}]
+set_input_delay -max 8.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core3_dmem_addr[*]}]
+set_input_delay -max 8.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core3_dmem_wdata[*]}]
+set_input_delay -max 8.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core3_dmem_width[*]}]
+
+set_input_delay -min 2.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core3_dmem_cmd}]
+set_input_delay -min 2.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core3_dmem_req}]
+set_input_delay -min 2.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core3_dmem_addr[*]}]
+set_input_delay -min 2.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core3_dmem_wdata[*]}]
+set_input_delay -min 2.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core3_dmem_width[*]}]
+
+set_output_delay -max 4.5000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core3_dmem_req_ack}]
+set_output_delay -max 4.5000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core3_dmem_rdata[*]}]
+
+set_output_delay -min 2.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core3_dmem_req_ack}]
+set_output_delay -min 2.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core3_dmem_rdata[*]}]
###############################################################################
# Environment
###############################################################################
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin $::env(SYNTH_DRIVING_CELL_PIN) [all_inputs]
-set cap_load [expr $::env(SYNTH_CAP_LOAD) / 1000.0]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} [all_inputs]
+set cap_load 0.0334
puts "\[INFO\]: Setting load to: $cap_load"
set_load $cap_load [all_outputs]
diff --git a/openlane/ycr4_iconnect/config.tcl b/openlane/ycr4_iconnect/config.tcl
index e6a3743..01b9806 100644
--- a/openlane/ycr4_iconnect/config.tcl
+++ b/openlane/ycr4_iconnect/config.tcl
@@ -38,6 +38,7 @@
$script_dir/../../verilog/rtl/yifive/ycr4c/src/top/ycr4_cross_bar.sv \
$script_dir/../../verilog/rtl/yifive/ycr4c/src/top/ycr4_router.sv \
$script_dir/../../verilog/rtl/yifive/ycr4c/src/top/ycr_dmem_router.sv \
+ $script_dir/../../verilog/rtl/yifive/ycr4c/src/top/ycr_sram_mux.sv \
$script_dir/../../verilog/rtl/yifive/ycr4c/src/top/ycr_tcm.sv \
$script_dir/../../verilog/rtl/yifive/ycr4c/src/top/ycr_timer.sv \
$script_dir/../../verilog/rtl/yifive/ycr4c/src/lib/ycr_arb.sv \
diff --git a/openlane/ycr4_iconnect/pin_order.cfg b/openlane/ycr4_iconnect/pin_order.cfg
index 4da31ff..0a74c77 100644
--- a/openlane/ycr4_iconnect/pin_order.cfg
+++ b/openlane/ycr4_iconnect/pin_order.cfg
@@ -1665,13 +1665,13 @@
core_dmem_resp\[1\]
core_dmem_resp\[0\]
-cfg_icache_pfet_dis
-cfg_icache_ntag_pfet_dis
-cfg_dcache_pfet_dis
cfg_dcache_force_flush
+cfg_sram_lphase\[1\]
+cfg_sram_lphase\[0\]
core_debug_sel\[1\] 300 0 2
core_debug_sel\[0\]
+
riscv_debug\[63\]
riscv_debug\[62\]
riscv_debug\[61\]
diff --git a/openlane/ycr_core_top/base.sdc b/openlane/ycr_core_top/base.sdc
index 51d4ab8..f0ec289 100644
--- a/openlane/ycr_core_top/base.sdc
+++ b/openlane/ycr_core_top/base.sdc
@@ -12,12 +12,47 @@
set_timing_derate -early [expr {1-$::env(SYNTH_TIMING_DERATE)}]
set_timing_derate -late [expr {1+$::env(SYNTH_TIMING_DERATE)}]
+#IMEM Constraints
+set_output_delay -max 12.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core2imem_cmd_o}]
+set_output_delay -max 12.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core2imem_req_o}]
+set_output_delay -max 12.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core2imem_addr_o[*]}]
+set_output_delay -max 12.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core2imem_bl_o[*]}]
+
+set_output_delay -min 2.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core2imem_cmd_o}]
+set_output_delay -min 2.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core2imem_req_o}]
+set_output_delay -min 2.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core2imem_addr_o[*]}]
+set_output_delay -min 2.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core2imem_bl_o[*]}]
+
+set_input_delay -max 4.5000 -clock [get_clocks {core_clk}] -add_delay [get_ports {imem2core_req_ack_i}]
+set_input_delay -max 4.5000 -clock [get_clocks {core_clk}] -add_delay [get_ports {imem2core_rdata_i[*]}]
+
+set_input_delay -min 2.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {imem2core_req_ack_i}]
+set_input_delay -min 2.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {imem2core_rdata_i[*]}]
+
+#DMEM Constraints
+set_output_delay -max 12.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core2dmem_cmd_o}]
+set_output_delay -max 12.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core2dmem_req_o}]
+set_output_delay -max 12.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core2dmem_addr_o[*]}]
+set_output_delay -max 12.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core2dmem_wdata_o[*]}]
+set_output_delay -max 12.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core2dmem_width_o[*]}]
+
+set_output_delay -min 2.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core2dmem_cmd_o}]
+set_output_delay -min 2.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core2dmem_req_o}]
+set_output_delay -min 2.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core2dmem_addr_o[*]}]
+set_output_delay -min 2.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core2dmem_wdata_o[*]}]
+set_output_delay -min 2.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {core2dmem_width_o[*]}]
+
+set_input_delay -max 4.5000 -clock [get_clocks {core_clk}] -add_delay [get_ports {dmem2core_req_ack_i}]
+set_input_delay -max 4.5000 -clock [get_clocks {core_clk}] -add_delay [get_ports {dmem2core_rdata_i[*]}]
+
+set_input_delay -min 2.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {dmem2core_req_ack_i}]
+set_input_delay -min 2.0000 -clock [get_clocks {core_clk}] -add_delay [get_ports {dmem2core_rdata_i[*]}]
###############################################################################
# Environment
###############################################################################
-set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin $::env(SYNTH_DRIVING_CELL_PIN) [all_inputs]
-set cap_load [expr $::env(SYNTH_CAP_LOAD) / 1000.0]
+set_driving_cell -lib_cell sky130_fd_sc_hd__inv_8 -pin {Y} [all_inputs]
+set cap_load 0.0334
puts "\[INFO\]: Setting load to: $cap_load"
set_load $cap_load [all_outputs]
diff --git a/openlane/ycr_intf/config.tcl b/openlane/ycr_intf/config.tcl
index 2d497b5..528078c 100644
--- a/openlane/ycr_intf/config.tcl
+++ b/openlane/ycr_intf/config.tcl
@@ -44,6 +44,7 @@
$script_dir/../../verilog/rtl/yifive/ycr4c/src/lib/ycr_async_wbb.sv \
$script_dir/../../verilog/rtl/yifive/ycr4c/src/top/ycr_dmem_wb.sv \
$script_dir/../../verilog/rtl/yifive/ycr4c/src/top/ycr_intf.sv \
+ $script_dir/../../verilog/rtl/yifive/ycr4c/src/top/ycr_sram_mux.sv \
$script_dir/../../verilog/rtl/yifive/ycr4c/src/lib/async_fifo.sv \
$script_dir/../../verilog/rtl/yifive/ycr4c/src/core/primitives/ycr_reset_cells.sv \
"
@@ -63,16 +64,17 @@
## Floorplan
set ::env(FP_PIN_ORDER_CFG) $script_dir/pin_order.cfg
set ::env(FP_SIZING) absolute
-set ::env(DIE_AREA) "0 0 800 640 "
+set ::env(DIE_AREA) "0 0 810 640 "
+set ::env(CELL_PAD) "6"
set ::env(MACRO_PLACEMENT_CFG) $script_dir/macro_placement.cfg
-set ::env(PL_TARGET_DENSITY) 0.38
+set ::env(PL_TARGET_DENSITY) 0.37
set ::env(RT_MAX_LAYER) {met4}
set ::env(GLB_RT_MAXLAYER) "5"
set ::env(GLB_RT_MAX_DIODE_INS_ITERS) 10
-set ::env(DIODE_INSERTION_STRATEGY) 4
+set ::env(DIODE_INSERTION_STRATEGY) 3
set ::env(QUIT_ON_TIMING_VIOLATIONS) "0"
diff --git a/openlane/ycr_intf/pin_order.cfg b/openlane/ycr_intf/pin_order.cfg
index 9da42d8..6b93ea3 100644
--- a/openlane/ycr_intf/pin_order.cfg
+++ b/openlane/ycr_intf/pin_order.cfg
@@ -287,9 +287,6 @@
core_dmem_resp\[1\]
core_dmem_resp\[0\]
-cfg_icache_pfet_dis
-cfg_icache_ntag_pfet_dis
-cfg_dcache_pfet_dis
cfg_dcache_force_flush
#S
@@ -843,3 +840,8 @@
wb_icache_err_i
wb_icache_cyc_o
+cfg_icache_pfet_dis
+cfg_icache_ntag_pfet_dis
+cfg_dcache_pfet_dis
+cfg_sram_lphase\[1\]
+cfg_sram_lphase\[0\]
diff --git a/signoff/pinmux/final_summary_report.csv b/signoff/pinmux/final_summary_report.csv
index 1b4f769..8e1159a 100644
--- a/signoff/pinmux/final_summary_report.csv
+++ b/signoff/pinmux/final_summary_report.csv
@@ -1,2 +1,2 @@
,design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,suggested_clock_frequency,suggested_clock_period,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GLB_RT_ADJUSTMENT,STD_CELL_LIBRARY,CELL_PAD,DIODE_INSERTION_STRATEGY
-0,/project/openlane/pinmux,pinmux,pinmux,flow completed,0h8m24s0ms,0h5m24s0ms,49406.06060606061,0.2475,24703.030303030304,29.45,928.46,6114,0,0,0,0,0,0,0,-1,0,-1,-1,460374,60467,-9.79,-17.11,-1,0.0,0.0,-11339.96,-19481.21,-1,0.0,0.0,363488649.0,0.0,60.22,48.01,28.48,22.13,-1,4047,9515,812,6280,0,0,0,4592,151,83,49,96,1013,154,18,283,1206,1171,11,314,3259,0,3573,100.0,10.0,10,AREA 0,4,50,1,100,100,0.3,0.3,sky130_fd_sc_hd,4,4
+0,/project/openlane/pinmux,pinmux,pinmux,flow completed,0h9m20s0ms,0h6m7s0ms,49519.191919191915,0.2475,24759.595959595958,29.48,936.63,6128,0,0,0,0,0,0,0,-1,0,-1,-1,468563,60884,-9.8,-16.77,-1,0.0,0.0,-11351.48,-19355.22,-1,0.0,0.0,372119261.0,0.0,61.76,46.64,32.3,20.74,-1,4047,9543,812,6308,0,0,0,4592,151,83,49,96,1013,154,18,283,1206,1171,11,314,3259,0,3573,100.0,10.0,10,AREA 0,4,50,1,100,100,0.3,0.3,sky130_fd_sc_hd,4,4
diff --git a/signoff/user_project_wrapper/final_summary_report.csv b/signoff/user_project_wrapper/final_summary_report.csv
index db85b1d..93b0b63 100644
--- a/signoff/user_project_wrapper/final_summary_report.csv
+++ b/signoff/user_project_wrapper/final_summary_report.csv
@@ -1,2 +1,2 @@
,design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,suggested_clock_frequency,suggested_clock_period,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GLB_RT_ADJUSTMENT,STD_CELL_LIBRARY,CELL_PAD,DIODE_INSERTION_STRATEGY
-0,/project/openlane/user_project_wrapper,user_project_wrapper,user_project_wrapper,flow completed,1h5m44s0ms,0h4m36s0ms,-2.0,-1,-1,-1,586.6,14,0,0,0,0,0,0,-1,0,0,-1,-1,1525321,14003,0.0,-1,-1,0.0,0.0,0.0,-1,-1,0.0,0.0,-1,0.0,7.9,9.34,1.49,2.29,0.0,389,4273,389,4273,0,0,0,14,0,0,0,0,0,0,0,0,-1,-1,-1,0,0,0,0,100.0,10.0,10,AREA 0,5,50,1,80,90,0.55,0.3,sky130_fd_sc_hd,4,0
+0,/project/openlane/user_project_wrapper,user_project_wrapper,user_project_wrapper,flow completed,1h3m3s0ms,0h4m46s0ms,-2.0,-1,-1,-1,600.39,14,0,0,0,0,0,0,-1,0,0,-1,-1,1533953,14147,0.0,-1,-1,0.0,0.0,0.0,-1,-1,0.0,0.0,-1,0.0,7.86,9.47,1.53,2.4,0.0,391,4300,391,4300,0,0,0,14,0,0,0,0,0,0,0,0,-1,-1,-1,0,0,0,0,100.0,10.0,10,AREA 0,5,50,1,80,90,0.55,0.3,sky130_fd_sc_hd,4,0
diff --git a/signoff/ycr4_iconnect/final_summary_report.csv b/signoff/ycr4_iconnect/final_summary_report.csv
index 7fce1fa..a0dff5b 100644
--- a/signoff/ycr4_iconnect/final_summary_report.csv
+++ b/signoff/ycr4_iconnect/final_summary_report.csv
@@ -1,2 +1,2 @@
,design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,suggested_clock_frequency,suggested_clock_period,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GLB_RT_ADJUSTMENT,STD_CELL_LIBRARY,CELL_PAD,DIODE_INSERTION_STRATEGY
-0,/project/openlane/ycr4_iconnect,ycr4_iconnect,ycr4_iconnect,flow completed,0h50m5s0ms,0h40m33s0ms,11700.831024930747,0.722,5850.4155124653735,5.28,1442.88,4224,0,0,0,0,0,0,0,171,0,0,-1,1207613,66195,0.0,0.0,-1,0.0,0.0,0.0,0.0,-1,0.0,0.0,1018439984.0,0.0,28.82,56.67,8.96,52.15,-1,4217,10502,618,6840,0,0,0,4488,417,87,147,120,427,148,8,1550,1027,731,19,1380,9688,0,11068,100.0,10.0,10,AREA 0,4,50,1,153.6,153.18,0.2,0.3,sky130_fd_sc_hd,14,3
+0,/project/openlane/ycr4_iconnect,ycr4_iconnect,ycr4_iconnect,flow completed,0h57m21s0ms,0h50m30s0ms,12542.936288088646,0.722,6271.468144044323,5.69,1722.84,4528,0,0,0,0,0,0,0,196,0,0,-1,1250687,71879,0.0,-0.01,-1,0.0,0.0,0.0,-0.14,-1,0.0,0.0,1028983094.0,0.0,29.44,57.03,10.91,54.52,-1,4386,10922,657,7130,0,0,0,4712,428,45,148,120,453,149,7,1597,1076,825,19,1380,9688,0,11068,100.0,10.0,10,AREA 0,4,50,1,153.6,153.18,0.2,0.3,sky130_fd_sc_hd,14,3
diff --git a/signoff/ycr_core_top/final_summary_report.csv b/signoff/ycr_core_top/final_summary_report.csv
index e32df54..da87e61 100644
--- a/signoff/ycr_core_top/final_summary_report.csv
+++ b/signoff/ycr_core_top/final_summary_report.csv
@@ -1,2 +1,2 @@
,design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,suggested_clock_frequency,suggested_clock_period,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GLB_RT_ADJUSTMENT,STD_CELL_LIBRARY,CELL_PAD,DIODE_INSERTION_STRATEGY
-0,/project/openlane/ycr_core_top,ycr_core_top,ycr_core_top,flow completed,0h41m10s0ms,0h32m59s0ms,72853.10734463276,0.5664,36426.55367231638,36.53,2336.21,20632,0,0,0,0,0,0,0,156,0,0,-1,1330305,186613,0.0,-9.27,-1,0.0,0.0,0.0,-8367.8,-1,0.0,0.0,1042199582.0,0.0,49.66,70.89,25.54,53.73,-1,16396,22729,542,6775,0,0,0,19178,557,261,518,596,2917,897,259,4835,2528,2435,36,688,7612,0,8300,100.0,10.0,10,AREA 0,4,50,1,153.6,153.18,0.37,0.3,sky130_fd_sc_hd,6,3
+0,/project/openlane/ycr_core_top,ycr_core_top,ycr_core_top,flow completed,0h36m53s0ms,0h29m14s0ms,72853.10734463276,0.5664,36426.55367231638,36.53,2362.71,20632,0,0,0,0,0,0,0,180,0,0,-1,1333652,187270,0.0,-9.27,-1,-1.37,-1.36,0.0,-8368.03,-1,-15.74,-15.68,1042199582.0,0.0,49.48,71.1,25.41,53.73,-1,16396,22729,542,6775,0,0,0,19178,557,261,518,596,2917,897,259,4835,2528,2435,36,688,7612,0,8300,88.02816901408451,11.36,10,AREA 0,4,50,1,153.6,153.18,0.37,0.3,sky130_fd_sc_hd,6,3
diff --git a/signoff/ycr_intf/final_summary_report.csv b/signoff/ycr_intf/final_summary_report.csv
index 3b861e4..62f9dba 100644
--- a/signoff/ycr_intf/final_summary_report.csv
+++ b/signoff/ycr_intf/final_summary_report.csv
@@ -1,2 +1,2 @@
,design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,suggested_clock_frequency,suggested_clock_period,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GLB_RT_ADJUSTMENT,STD_CELL_LIBRARY,CELL_PAD,DIODE_INSERTION_STRATEGY
-0,/project/openlane/ycr_intf,ycr_intf,ycr_intf,flow completed,0h30m11s0ms,0h17m28s0ms,60675.78125,0.512,30337.890625,34.18,2036.78,15533,0,0,0,0,0,0,0,96,0,0,-1,1153189,147312,-6.78,-20.93,-1,0.0,0.0,-9651.06,-29117.39,-1,0.0,0.0,829937169.0,0.0,65.33,54.11,46.0,34.52,-1,8844,17559,900,9282,0,0,0,11360,577,129,292,278,1938,890,375,1584,2991,2779,24,454,6984,0,7438,100.0,10.0,10,AREA 0,4,50,1,153.6,153.18,0.38,0.3,sky130_fd_sc_hd,4,4
+0,/project/openlane/ycr_intf,ycr_intf,ycr_intf,flow completed,0h59m48s0ms,0h53m33s0ms,59564.04320987655,0.5184,29782.021604938276,34.03,2389.13,15439,0,0,0,0,0,0,0,144,0,0,-1,1046581,141440,-18.81,-33.58,-1,0.0,0.0,-14591.96,-36801.79,-1,0.0,0.0,822903171.0,0.0,52.74,46.86,30.27,28.44,-1,8919,18035,965,9748,0,0,0,11590,577,105,290,274,1941,885,380,1694,3104,2999,23,454,6984,0,7438,100.0,10.0,10,AREA 0,4,50,1,153.6,153.18,0.37,0.3,sky130_fd_sc_hd,6,3
diff --git a/spef/pinmux.spef.gz b/spef/pinmux.spef.gz
index 4f6b184..3b74f8d 100644
--- a/spef/pinmux.spef.gz
+++ b/spef/pinmux.spef.gz
Binary files differ
diff --git a/spef/user_project_wrapper.spef.gz b/spef/user_project_wrapper.spef.gz
index 0dd4c7d..5ee4174 100644
--- a/spef/user_project_wrapper.spef.gz
+++ b/spef/user_project_wrapper.spef.gz
Binary files differ
diff --git a/spef/ycr4_iconnect.spef.gz b/spef/ycr4_iconnect.spef.gz
index b8f378b..a748449 100644
--- a/spef/ycr4_iconnect.spef.gz
+++ b/spef/ycr4_iconnect.spef.gz
Binary files differ
diff --git a/spef/ycr_core_top.spef.gz b/spef/ycr_core_top.spef.gz
index 4d91ba5..282098c 100644
--- a/spef/ycr_core_top.spef.gz
+++ b/spef/ycr_core_top.spef.gz
Binary files differ
diff --git a/spef/ycr_intf.spef.gz b/spef/ycr_intf.spef.gz
index fd8fff7..273eff8 100644
--- a/spef/ycr_intf.spef.gz
+++ b/spef/ycr_intf.spef.gz
Binary files differ
diff --git a/spi/lvs/pinmux.spice.gz b/spi/lvs/pinmux.spice.gz
index 991642d..ae494a2 100644
--- a/spi/lvs/pinmux.spice.gz
+++ b/spi/lvs/pinmux.spice.gz
Binary files differ
diff --git a/spi/lvs/user_project_wrapper.spice.gz b/spi/lvs/user_project_wrapper.spice.gz
index 7e0bfa2..9b9ccba 100644
--- a/spi/lvs/user_project_wrapper.spice.gz
+++ b/spi/lvs/user_project_wrapper.spice.gz
Binary files differ
diff --git a/spi/lvs/ycr4_iconnect.spice.gz b/spi/lvs/ycr4_iconnect.spice.gz
index a887fd1..4269df3 100644
--- a/spi/lvs/ycr4_iconnect.spice.gz
+++ b/spi/lvs/ycr4_iconnect.spice.gz
Binary files differ
diff --git a/spi/lvs/ycr_core_top.spice.gz b/spi/lvs/ycr_core_top.spice.gz
index 985a284..58d9b65 100644
--- a/spi/lvs/ycr_core_top.spice.gz
+++ b/spi/lvs/ycr_core_top.spice.gz
Binary files differ
diff --git a/spi/lvs/ycr_intf.spice.gz b/spi/lvs/ycr_intf.spice.gz
index 8c0abb7..a7e9186 100644
--- a/spi/lvs/ycr_intf.spice.gz
+++ b/spi/lvs/ycr_intf.spice.gz
Binary files differ
diff --git a/sta/sdc/caravel.sdc b/sta/sdc/caravel.sdc
index 68758ab..85ec73f 100644
--- a/sta/sdc/caravel.sdc
+++ b/sta/sdc/caravel.sdc
@@ -45,7 +45,7 @@
set_case_analysis 0 [get_pins {mprj/u_qspi_master/cfg_cska_spi[2]}]
set_case_analysis 1 [get_pins {mprj/u_qspi_master/cfg_cska_spi[3]}]
-set_case_analysis 0 [get_pins {mprj/u_riscv_top.u_intf/cfg_cska_riscv[0]}]
+set_case_analysis 1 [get_pins {mprj/u_riscv_top.u_intf/cfg_cska_riscv[0]}]
set_case_analysis 0 [get_pins {mprj/u_riscv_top.u_intf/cfg_cska_riscv[1]}]
set_case_analysis 0 [get_pins {mprj/u_riscv_top.u_intf/cfg_cska_riscv[2]}]
set_case_analysis 1 [get_pins {mprj/u_riscv_top.u_intf/cfg_cska_riscv[3]}]
diff --git a/verilog/dv/user_basic/user_basic_tb.v b/verilog/dv/user_basic/user_basic_tb.v
index 99c4ac6..e7612c8 100644
--- a/verilog/dv/user_basic/user_basic_tb.v
+++ b/verilog/dv/user_basic/user_basic_tb.v
@@ -240,8 +240,8 @@
wb_user_core_write(`ADDR_SPACE_WBHOST+`WBHOST_GLBL_CFG,'h1);
wb_user_core_read_check(`ADDR_SPACE_PINMUX+`PINMUX_SOFT_REG_1,read_data,32'h8273_8343);
- wb_user_core_read_check(`ADDR_SPACE_PINMUX+`PINMUX_SOFT_REG_2,read_data,32'h2603_2022);
- wb_user_core_read_check(`ADDR_SPACE_PINMUX+`PINMUX_SOFT_REG_3,read_data,32'h0004_0000);
+ wb_user_core_read_check(`ADDR_SPACE_PINMUX+`PINMUX_SOFT_REG_2,read_data,32'h0104_2022);
+ wb_user_core_read_check(`ADDR_SPACE_PINMUX+`PINMUX_SOFT_REG_3,read_data,32'h0004_1000);
end
diff --git a/verilog/dv/user_uart/simx.fst b/verilog/dv/user_uart/simx.fst
deleted file mode 100644
index 766079e..0000000
--- a/verilog/dv/user_uart/simx.fst
+++ /dev/null
Binary files differ
diff --git a/verilog/gl/pinmux.v b/verilog/gl/pinmux.v
index d2a14b5..cc144c5 100644
--- a/verilog/gl/pinmux.v
+++ b/verilog/gl/pinmux.v
@@ -38,7 +38,7 @@
wbd_clk_int,
wbd_clk_pinmux,
cfg_cska_pinmux,
- cfg_riscv_debug_sel,
+ cfg_riscv_ctrl,
cpu_core_rst_n,
digital_io_in,
digital_io_oen,
@@ -94,7 +94,7 @@
input wbd_clk_int;
output wbd_clk_pinmux;
input [3:0] cfg_cska_pinmux;
- output [1:0] cfg_riscv_debug_sel;
+ output [15:0] cfg_riscv_ctrl;
output [3:0] cpu_core_rst_n;
input [37:0] digital_io_in;
output [37:0] digital_io_oen;
@@ -111,51 +111,51 @@
input [3:0] sflash_ss;
output [2:0] user_irq;
- wire net316;
- wire net272;
- wire net273;
- wire net274;
- wire net275;
- wire net276;
- wire net277;
- wire net317;
- wire net278;
- wire net279;
- wire net280;
- wire net281;
- wire net282;
- wire net292;
- wire net293;
- wire net294;
- wire net295;
- wire net296;
- wire net297;
- wire net298;
- wire net299;
- wire net300;
- wire net301;
- wire net283;
- wire net302;
- wire net303;
- wire net304;
- wire net305;
- wire net306;
- wire net307;
- wire net308;
- wire net309;
- wire net310;
- wire net311;
- wire net284;
- wire net312;
- wire net313;
- wire net285;
+ wire net330;
wire net286;
wire net287;
wire net288;
wire net289;
wire net290;
wire net291;
+ wire net331;
+ wire net292;
+ wire net293;
+ wire net294;
+ wire net295;
+ wire net296;
+ wire net306;
+ wire net307;
+ wire net308;
+ wire net309;
+ wire net310;
+ wire net311;
+ wire net312;
+ wire net313;
wire net314;
+ wire net315;
+ wire net297;
+ wire net316;
+ wire net317;
+ wire net318;
+ wire net319;
+ wire net320;
+ wire net321;
+ wire net322;
+ wire net323;
+ wire net324;
+ wire net325;
+ wire net298;
+ wire net326;
+ wire net327;
+ wire net299;
+ wire net300;
+ wire net301;
+ wire net302;
+ wire net303;
+ wire net304;
+ wire net305;
+ wire net328;
wire _00000_;
wire _00001_;
wire _00002_;
@@ -5006,15 +5006,8 @@
wire clknet_leaf_156_mclk;
wire clknet_leaf_157_mclk;
wire clknet_leaf_158_mclk;
- wire clknet_leaf_159_mclk;
wire clknet_leaf_15_mclk;
- wire clknet_leaf_160_mclk;
- wire clknet_leaf_161_mclk;
- wire clknet_leaf_162_mclk;
- wire clknet_leaf_163_mclk;
- wire clknet_leaf_164_mclk;
- wire clknet_leaf_165_mclk;
- wire clknet_leaf_166_mclk;
+ wire clknet_leaf_16_mclk;
wire clknet_leaf_17_mclk;
wire clknet_leaf_18_mclk;
wire clknet_leaf_19_mclk;
@@ -5074,7 +5067,6 @@
wire clknet_leaf_68_mclk;
wire clknet_leaf_69_mclk;
wire clknet_leaf_6_mclk;
- wire clknet_leaf_70_mclk;
wire clknet_leaf_71_mclk;
wire clknet_leaf_72_mclk;
wire clknet_leaf_73_mclk;
@@ -5299,28 +5291,28 @@
wire net27;
wire net270;
wire net271;
+ wire net272;
+ wire net273;
+ wire net274;
+ wire net275;
+ wire net276;
+ wire net277;
+ wire net278;
+ wire net279;
wire net28;
+ wire net280;
+ wire net281;
+ wire net282;
+ wire net283;
+ wire net284;
+ wire net285;
wire net29;
wire net3;
wire net30;
wire net31;
- wire net315;
- wire net318;
- wire net319;
wire net32;
- wire net320;
- wire net321;
- wire net322;
- wire net323;
- wire net324;
- wire net325;
- wire net326;
- wire net327;
- wire net328;
wire net329;
wire net33;
- wire net330;
- wire net331;
wire net332;
wire net333;
wire net334;
@@ -5519,7 +5511,19 @@
wire net508;
wire net509;
wire net51;
+ wire net510;
+ wire net511;
+ wire net512;
+ wire net513;
+ wire net514;
+ wire net515;
+ wire net516;
+ wire net517;
+ wire net518;
+ wire net519;
wire net52;
+ wire net520;
+ wire net521;
wire net53;
wire net54;
wire net55;
@@ -6802,7 +6806,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04765__A (.DIODE(\u_pinmux_reg.cfg_multi_func_sel[10] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04766__A (.DIODE(_01100_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -6817,11 +6821,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04767__B1_N (.DIODE(_01101_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__04768__A (.DIODE(\u_pinmux_reg.cfg_multi_func_sel[6] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -6832,11 +6831,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04770__A (.DIODE(\u_pinmux_reg.cfg_multi_func_sel[8] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__04771__A (.DIODE(_01103_),
.VGND(vssd1),
.VNB(vssd1),
@@ -6867,11 +6861,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04776__A (.DIODE(_01106_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__04777__A (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[17] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -6952,11 +6941,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04793__B (.DIODE(\u_gpio_intr.cfg_gpio_out_data[24] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__04795__A (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[22] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -6967,11 +6951,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04798__A (.DIODE(_01117_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__04799__B (.DIODE(net15),
.VGND(vssd1),
.VNB(vssd1),
@@ -6987,7 +6966,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04802__A (.DIODE(_01120_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04803__A (.DIODE(_01100_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -7002,11 +6981,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04805__A (.DIODE(_01122_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__04806__A (.DIODE(_01103_),
.VGND(vssd1),
.VNB(vssd1),
@@ -7017,7 +6991,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04807__A (.DIODE(_01123_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04808__A (.DIODE(\u_pinmux_reg.u_reg4_be2.gen_bit_reg[1].u_bit_reg.data_out ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -7032,7 +7006,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04810__B (.DIODE(\u_pinmux_reg.reg_3[18] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04810__A (.DIODE(\u_pinmux_reg.u_reg4_be2.gen_bit_reg[2].u_bit_reg.data_out ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -7042,7 +7016,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04812__B (.DIODE(\u_pinmux_reg.reg_3[19] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04812__A (.DIODE(\u_pinmux_reg.u_reg4_be2.gen_bit_reg[3].u_bit_reg.data_out ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -7052,6 +7026,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04814__A (.DIODE(\u_pinmux_reg.u_reg4_be2.gen_bit_reg[0].u_bit_reg.data_out ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__04814__B (.DIODE(\u_pinmux_reg.reg_3[16] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -7062,11 +7041,26 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04816__A (.DIODE(\u_pinmux_reg.u_reg4_be0.gen_bit_reg[0].u_bit_reg.data_out ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04816__B (.DIODE(\u_pinmux_reg.reg_3[0] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__04817__A (.DIODE(_01128_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04818__A (.DIODE(\u_pinmux_reg.u_reg4_be0.gen_bit_reg[1].u_bit_reg.data_out ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__04818__B (.DIODE(\u_pinmux_reg.reg_3[1] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -7077,6 +7071,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04820__A (.DIODE(\u_pinmux_reg.u_reg4_be0.gen_bit_reg[2].u_bit_reg.data_out ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__04820__B (.DIODE(\u_pinmux_reg.reg_3[2] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -7087,6 +7086,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04822__A (.DIODE(\u_pinmux_reg.u_reg4_be0.gen_bit_reg[3].u_bit_reg.data_out ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__04822__B (.DIODE(\u_pinmux_reg.reg_3[3] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -7097,6 +7101,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04824__A (.DIODE(\u_pinmux_reg.u_reg4_be0.gen_bit_reg[4].u_bit_reg.data_out ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__04824__B (.DIODE(\u_pinmux_reg.reg_3[4] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -7107,6 +7116,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04826__A (.DIODE(\u_pinmux_reg.u_reg4_be0.gen_bit_reg[5].u_bit_reg.data_out ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__04826__B (.DIODE(\u_pinmux_reg.reg_3[5] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -7117,6 +7131,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04828__A (.DIODE(\u_pinmux_reg.u_reg4_be0.gen_bit_reg[6].u_bit_reg.data_out ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__04828__B (.DIODE(\u_pinmux_reg.reg_3[6] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -7127,6 +7146,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04830__A (.DIODE(\u_pinmux_reg.u_reg4_be0.gen_bit_reg[7].u_bit_reg.data_out ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__04830__B (.DIODE(\u_pinmux_reg.reg_3[7] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -7137,6 +7161,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04832__A (.DIODE(\u_pinmux_reg.u_reg4_be1.gen_bit_reg[0].u_bit_reg.data_out ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__04833__A (.DIODE(_01136_),
.VGND(vssd1),
.VNB(vssd1),
@@ -7147,12 +7176,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04836__B (.DIODE(\u_pinmux_reg.reg_3[10] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04837__A (.DIODE(_01138_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04837__A (.DIODE(_01138_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04838__A (.DIODE(\u_pinmux_reg.u_reg4_be1.gen_bit_reg[3].u_bit_reg.data_out ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -7172,17 +7201,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04840__B (.DIODE(\u_pinmux_reg.reg_3[12] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__04841__A (.DIODE(_01140_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04843__A (.DIODE(_01141_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04842__A (.DIODE(\u_pinmux_reg.u_reg4_be1.gen_bit_reg[5].u_bit_reg.data_out ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04842__B (.DIODE(\u_pinmux_reg.reg_3[13] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04844__A (.DIODE(\u_pinmux_reg.u_reg4_be1.gen_bit_reg[6].u_bit_reg.data_out ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -7192,12 +7226,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04845__A (.DIODE(_01142_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04846__B (.DIODE(\u_pinmux_reg.reg_3[15] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04846__A (.DIODE(\u_pinmux_reg.u_reg4_be1.gen_bit_reg[7].u_bit_reg.data_out ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -7207,16 +7236,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04853__A (.DIODE(_01148_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04871__A (.DIODE(\u_pinmux_reg.cfg_pulse_1us[7] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__04873__A (.DIODE(\u_pinmux_reg.cfg_pulse_1us[3] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -7227,6 +7246,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04876__A (.DIODE(\u_pinmux_reg.cfg_pulse_1us[2] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__04880__B2 (.DIODE(\u_pinmux_reg.cfg_pulse_1us[9] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -7257,17 +7281,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04890__B_N (.DIODE(net46),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__04891__A (.DIODE(_01182_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04893__A (.DIODE(_01184_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04892__A (.DIODE(_01183_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -7292,12 +7311,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04899__A1 (.DIODE(net35),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04898__A (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[20] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04899__S (.DIODE(_01117_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04899__A1 (.DIODE(net35),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -7307,21 +7326,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04901__A (.DIODE(_01101_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__04901__B (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[13] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04902__A (.DIODE(_01101_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__04902__B (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[12] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -7337,11 +7346,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04905__B (.DIODE(_01101_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__04905__C (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[10] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -7422,12 +7426,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04919__A1 (.DIODE(net32),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04918__B (.DIODE(\u_gpio_intr.cfg_gpio_out_data[21] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04919__S (.DIODE(_01117_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04919__A1 (.DIODE(net32),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -7437,12 +7441,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04922__A1 (.DIODE(net34),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04921__A (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[20] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04922__S (.DIODE(_01117_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04922__A1 (.DIODE(net34),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -7457,11 +7461,21 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04924__B (.DIODE(\u_gpio_intr.cfg_gpio_out_data[13] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__04925__A1 (.DIODE(net94),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04925__S (.DIODE(_01100_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__04926__A (.DIODE(_01204_),
.VGND(vssd1),
.VNB(vssd1),
@@ -7472,11 +7486,21 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04927__B (.DIODE(\u_gpio_intr.cfg_gpio_out_data[12] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__04928__A1 (.DIODE(net93),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04928__S (.DIODE(_01100_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__04929__A (.DIODE(_01206_),
.VGND(vssd1),
.VNB(vssd1),
@@ -7492,6 +7516,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04932__A1 (.DIODE(_01208_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__04932__S (.DIODE(_01099_),
.VGND(vssd1),
.VNB(vssd1),
@@ -7562,11 +7591,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04941__A (.DIODE(_01215_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__04942__A (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[30] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -7582,12 +7606,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04945__A (.DIODE(_01218_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04946__A (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[29] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04946__A (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[29] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04946__B (.DIODE(\u_gpio_intr.cfg_gpio_out_data[29] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -7602,11 +7626,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04949__A (.DIODE(_01221_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__04950__A (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[27] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -7632,7 +7651,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04955__B (.DIODE(\u_gpio_intr.cfg_gpio_out_data[25] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04956__A0 (.DIODE(_01226_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -7642,21 +7661,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04956__S (.DIODE(\u_pinmux_reg.cfg_multi_func_sel[8] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__04957__A (.DIODE(_01227_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05005__A (.DIODE(net77),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05006__A (.DIODE(_01265_),
.VGND(vssd1),
.VNB(vssd1),
@@ -7667,12 +7676,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05009__A (.DIODE(net79),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05009__B (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05008__A (.DIODE(_01267_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -7727,7 +7731,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05025__A (.DIODE(_01284_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05026__A0 (.DIODE(_01266_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -7737,12 +7741,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05028__A (.DIODE(net78),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05029__A (.DIODE(_01287_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05029__A (.DIODE(_01287_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05030__A0 (.DIODE(_01288_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -7752,22 +7756,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05032__A (.DIODE(net48),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05033__A (.DIODE(_01290_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05034__A1 (.DIODE(\u_pinmux_reg.cfg_glb_ctrl[10] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05036__A (.DIODE(net49),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05034__A0 (.DIODE(_01291_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -7777,36 +7771,16 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05038__A1 (.DIODE(\u_pinmux_reg.cfg_glb_ctrl[11] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05040__A (.DIODE(net50),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05041__A (.DIODE(_01296_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05042__A (.DIODE(_01284_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05043__A0 (.DIODE(_01297_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05045__A (.DIODE(net51),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05046__A (.DIODE(_01300_),
.VGND(vssd1),
.VNB(vssd1),
@@ -7822,21 +7796,26 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05053__A (.DIODE(net53),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05054__A (.DIODE(_01306_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05055__A0 (.DIODE(_01307_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05057__A (.DIODE(net54),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05058__A (.DIODE(_01309_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05059__A (.DIODE(net44),
.VGND(vssd1),
.VNB(vssd1),
@@ -7852,7 +7831,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05062__A (.DIODE(_01313_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05063__S (.DIODE(_01314_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -7862,22 +7841,42 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05066__A (.DIODE(_01316_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05067__S (.DIODE(_01314_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05069__A (.DIODE(net56),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05070__A (.DIODE(_01319_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05071__S (.DIODE(_01314_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05073__A (.DIODE(net57),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05075__A0 (.DIODE(_01323_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05074__A (.DIODE(_01322_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05075__A1 (.DIODE(\u_pinmux_reg.cfg_glb_ctrl[19] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05075__S (.DIODE(_01314_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -7887,11 +7886,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05078__A (.DIODE(_01313_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05079__S (.DIODE(_01326_),
.VGND(vssd1),
.VNB(vssd1),
@@ -7942,12 +7936,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05095__A0 (.DIODE(_01334_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05094__A (.DIODE(_01337_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05095__S (.DIODE(_01338_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05095__A0 (.DIODE(_01334_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -7962,7 +7956,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05098__S (.DIODE(_01338_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05098__A1 (.DIODE(\u_pinmux_reg.cfg_glb_ctrl[25] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -7977,17 +7971,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05101__S (.DIODE(_01338_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05103__A (.DIODE(net66),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05104__S (.DIODE(_01338_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05104__A0 (.DIODE(_01344_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -7997,6 +7986,16 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05107__A (.DIODE(_01337_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05108__A0 (.DIODE(_01346_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05110__A (.DIODE(net68),
.VGND(vssd1),
.VNB(vssd1),
@@ -8012,11 +8011,26 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05114__A0 (.DIODE(_01351_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05116__A (.DIODE(net71),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05117__A0 (.DIODE(_01353_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05117__A1 (.DIODE(\u_pinmux_reg.cfg_glb_ctrl[31] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05119__A (.DIODE(net63),
.VGND(vssd1),
.VNB(vssd1),
@@ -8027,11 +8041,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05121__A (.DIODE(_01356_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05122__A (.DIODE(_01269_),
.VGND(vssd1),
.VNB(vssd1),
@@ -8067,7 +8076,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05132__S (.DIODE(_01367_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05132__A1 (.DIODE(_01355_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -8077,7 +8086,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05135__S (.DIODE(_01367_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05135__A1 (.DIODE(_01369_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -8087,21 +8096,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05138__S (.DIODE(_01367_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05140__A (.DIODE(net66),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05141__S (.DIODE(_01367_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05143__A (.DIODE(net67),
.VGND(vssd1),
.VNB(vssd1),
@@ -8112,27 +8111,42 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05145__A1 (.DIODE(_01375_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05147__A (.DIODE(net68),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05148__A1 (.DIODE(_01378_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05150__A (.DIODE(net70),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05151__A1 (.DIODE(_01380_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05153__A (.DIODE(net71),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05156__A (.DIODE(net63),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05154__A1 (.DIODE(_01382_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05157__A (.DIODE(_01384_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05156__A (.DIODE(net63),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -8177,21 +8191,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05173__A (.DIODE(_01398_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05176__A (.DIODE(net66),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05177__A (.DIODE(_01401_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05180__A (.DIODE(net67),
.VGND(vssd1),
.VNB(vssd1),
@@ -8212,11 +8216,21 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05186__A (.DIODE(_01408_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05189__A (.DIODE(net70),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05190__A (.DIODE(_01411_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05193__A (.DIODE(net71),
.VGND(vssd1),
.VNB(vssd1),
@@ -8227,7 +8241,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05197__A (.DIODE(net77),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05198__A (.DIODE(_01417_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -8262,7 +8276,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05209__B (.DIODE(_01421_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05207__A (.DIODE(_01426_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05208__A (.DIODE(_01427_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -8272,7 +8291,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05210__A (.DIODE(_01429_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05211__A0 (.DIODE(\u_pinmux_reg.reg_27[8] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -8282,12 +8301,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05213__A (.DIODE(net78),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05214__A (.DIODE(_01432_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05217__A (.DIODE(net48),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05215__A1 (.DIODE(_01433_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -8297,32 +8316,17 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05221__A (.DIODE(net49),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05223__A1 (.DIODE(_01439_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05225__A (.DIODE(net50),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05228__A1 (.DIODE(_01442_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05227__A (.DIODE(_01429_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05230__A (.DIODE(net51),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05231__A (.DIODE(_01445_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05232__A1 (.DIODE(_01446_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -8332,12 +8336,17 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05238__A (.DIODE(net53),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05236__A1 (.DIODE(_01449_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05243__B (.DIODE(_01421_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05240__A1 (.DIODE(_01452_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05242__A (.DIODE(_01267_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -8367,6 +8376,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05247__A1 (.DIODE(_01433_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05249__A0 (.DIODE(\u_pinmux_reg.cfg_timer0[10] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -8397,21 +8411,41 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05254__A1 (.DIODE(_01442_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05256__A0 (.DIODE(\u_pinmux_reg.cfg_timer0[13] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05256__A1 (.DIODE(_01446_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05258__A0 (.DIODE(\u_pinmux_reg.cfg_timer0[14] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05258__A1 (.DIODE(_01449_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05260__A0 (.DIODE(\u_pinmux_reg.cfg_timer0[15] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05260__A1 (.DIODE(_01452_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05262__A (.DIODE(net54),
.VGND(vssd1),
.VNB(vssd1),
@@ -8427,11 +8461,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05265__B (.DIODE(_01421_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05265__C (.DIODE(_01391_),
.VGND(vssd1),
.VNB(vssd1),
@@ -8452,6 +8481,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05267__S (.DIODE(_01470_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05269__A (.DIODE(net55),
.VGND(vssd1),
.VNB(vssd1),
@@ -8472,6 +8506,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05271__S (.DIODE(_01470_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05274__A (.DIODE(net56),
.VGND(vssd1),
.VNB(vssd1),
@@ -8492,6 +8531,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05276__S (.DIODE(_01470_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05278__A (.DIODE(net57),
.VGND(vssd1),
.VNB(vssd1),
@@ -8502,12 +8546,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05280__A0 (.DIODE(\u_pinmux_reg.reg_28[19] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05280__A1 (.DIODE(_01480_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05280__A1 (.DIODE(_01480_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05280__S (.DIODE(_01470_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -8522,7 +8566,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05284__S (.DIODE(_01483_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05284__A0 (.DIODE(\u_pinmux_reg.reg_28[20] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -8532,22 +8576,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05287__A1 (.DIODE(_01485_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05287__S (.DIODE(_01483_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05289__A (.DIODE(net61),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05290__S (.DIODE(_01483_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05290__A0 (.DIODE(\u_pinmux_reg.reg_28[22] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -8557,7 +8591,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05293__S (.DIODE(_01483_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05293__A0 (.DIODE(\u_pinmux_reg.reg_28[23] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -8582,27 +8616,17 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05300__B (.DIODE(_01421_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05300__C (.DIODE(_01495_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05301__A (.DIODE(_01496_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05302__A1 (.DIODE(_01418_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05306__A0 (.DIODE(\u_pinmux_reg.reg_3[10] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05304__A1 (.DIODE(_01433_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -8622,12 +8646,17 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05310__A (.DIODE(_01496_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05311__A1 (.DIODE(_01442_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05311__A0 (.DIODE(\u_pinmux_reg.reg_3[12] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05313__A0 (.DIODE(\u_pinmux_reg.reg_3[13] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05313__A1 (.DIODE(_01446_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -8637,7 +8666,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05317__A0 (.DIODE(\u_pinmux_reg.reg_3[15] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05315__A1 (.DIODE(_01449_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05317__A1 (.DIODE(_01452_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -8672,6 +8706,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05325__A1 (.DIODE(_01433_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05327__A0 (.DIODE(\u_pinmux_reg.cfg_timer1[10] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -8702,16 +8741,41 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05332__A1 (.DIODE(_01442_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05334__A0 (.DIODE(\u_pinmux_reg.cfg_timer1[13] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05334__A1 (.DIODE(_01446_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05336__A0 (.DIODE(\u_pinmux_reg.cfg_timer1[14] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05336__A1 (.DIODE(_01449_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05338__A0 (.DIODE(\u_pinmux_reg.cfg_timer1[15] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05338__A1 (.DIODE(_01452_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05340__A (.DIODE(_01311_),
.VGND(vssd1),
.VNB(vssd1),
@@ -8742,6 +8806,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05343__S (.DIODE(_01522_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05345__A0 (.DIODE(\u_pinmux_reg.cfg_timer1[17] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -8752,6 +8821,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05345__S (.DIODE(_01522_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05348__A0 (.DIODE(_01525_),
.VGND(vssd1),
.VNB(vssd1),
@@ -8762,7 +8836,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05350__A0 (.DIODE(\u_pinmux_reg.reg_29[19] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05348__S (.DIODE(_01522_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -8772,6 +8846,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05350__S (.DIODE(_01522_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05352__A (.DIODE(net59),
.VGND(vssd1),
.VNB(vssd1),
@@ -8787,7 +8866,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05355__A1 (.DIODE(_01529_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05355__S (.DIODE(_01530_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -8797,7 +8876,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05359__A1 (.DIODE(_01533_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05358__A (.DIODE(_01532_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05359__S (.DIODE(_01530_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -8807,7 +8891,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05363__A1 (.DIODE(_01536_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05362__A (.DIODE(_01535_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05363__S (.DIODE(_01530_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -8822,6 +8911,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05367__S (.DIODE(_01530_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05369__B (.DIODE(_01508_),
.VGND(vssd1),
.VNB(vssd1),
@@ -8857,21 +8951,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05375__A0 (.DIODE(\u_pinmux_reg.reg_3[18] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05375__A1 (.DIODE(_01477_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05377__A0 (.DIODE(\u_pinmux_reg.reg_3[19] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05377__A1 (.DIODE(_01480_),
.VGND(vssd1),
.VNB(vssd1),
@@ -8882,17 +8966,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05380__A1 (.DIODE(_01529_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05380__S (.DIODE(_01547_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05382__A1 (.DIODE(_01533_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05382__S (.DIODE(_01547_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05384__A1 (.DIODE(_01536_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05384__S (.DIODE(_01547_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05386__S (.DIODE(_01547_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -8922,11 +9011,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05399__A0 (.DIODE(\u_pinmux_reg.reg_3[28] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05405__A0 (.DIODE(\u_pinmux_reg.reg_3[31] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -8947,21 +9031,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05414__A (.DIODE(_01566_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05415__B (.DIODE(_01570_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05416__A (.DIODE(_01571_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05417__A1 (.DIODE(\u_gpio_intr.cfg_gpio_out_data[16] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -8977,22 +9051,17 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05423__A0 (.DIODE(_01323_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05423__A1 (.DIODE(\u_gpio_intr.cfg_gpio_out_data[19] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05425__A (.DIODE(_01571_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05426__S (.DIODE(_01577_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05426__S (.DIODE(_01577_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05428__A1 (.DIODE(\u_gpio_intr.cfg_gpio_out_data[21] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9017,7 +9086,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05435__A (.DIODE(_01582_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05434__A (.DIODE(net47),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9027,6 +9096,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05441__A (.DIODE(_01588_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05442__B (.DIODE(_01585_),
.VGND(vssd1),
.VNB(vssd1),
@@ -9037,7 +9111,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05444__A0 (.DIODE(\u_pinmux_reg.cfg_timer2[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05443__A (.DIODE(_01590_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9047,12 +9121,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05447__A (.DIODE(_01593_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05448__A0 (.DIODE(\u_pinmux_reg.cfg_timer2[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05446__A (.DIODE(net58),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9062,12 +9131,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05451__A (.DIODE(_01596_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05452__A0 (.DIODE(\u_pinmux_reg.cfg_timer2[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05450__A (.DIODE(net69),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9082,27 +9146,17 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05455__A (.DIODE(_01599_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05456__A0 (.DIODE(\u_pinmux_reg.cfg_timer2[3] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05456__A1 (.DIODE(_01600_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05459__A (.DIODE(_01602_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05458__A (.DIODE(net73),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05461__A0 (.DIODE(\u_pinmux_reg.cfg_timer2[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05460__A (.DIODE(_01590_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9112,12 +9166,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05464__A (.DIODE(_01606_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05465__A0 (.DIODE(\u_pinmux_reg.cfg_timer2[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05463__A (.DIODE(net74),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9127,7 +9176,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05468__A (.DIODE(_01609_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05467__A (.DIODE(net75),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9142,7 +9191,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05472__A (.DIODE(_01612_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05471__A (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9182,47 +9231,37 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05496__A (.DIODE(_01627_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05497__A0 (.DIODE(_01334_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05497__A1 (.DIODE(\u_gpio_intr.cfg_gpio_out_data[24] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05497__S (.DIODE(_01628_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05499__A0 (.DIODE(_01340_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05499__A1 (.DIODE(\u_gpio_intr.cfg_gpio_out_data[25] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05499__S (.DIODE(_01628_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05501__A0 (.DIODE(_01342_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05501__S (.DIODE(_01628_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05503__A0 (.DIODE(_01344_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05503__S (.DIODE(_01628_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05505__A (.DIODE(_01627_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05506__A0 (.DIODE(_01346_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9237,11 +9276,31 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05508__A1 (.DIODE(\u_gpio_intr.cfg_gpio_out_data[29] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05510__A0 (.DIODE(_01351_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05512__A0 (.DIODE(_01353_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05512__A1 (.DIODE(\u_gpio_intr.cfg_gpio_out_data[31] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05514__A (.DIODE(_01417_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05515__B (.DIODE(_01585_),
.VGND(vssd1),
.VNB(vssd1),
@@ -9252,11 +9311,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05516__A (.DIODE(_01639_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05517__A0 (.DIODE(\u_pinmux_reg.cfg_timer2[8] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -9267,6 +9321,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05519__A (.DIODE(_01432_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05520__A0 (.DIODE(\u_pinmux_reg.cfg_timer2[9] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -9277,17 +9336,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05523__A0 (.DIODE(\u_pinmux_reg.cfg_timer2[10] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05523__A1 (.DIODE(_01644_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05526__A1 (.DIODE(_01646_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05526__A0 (.DIODE(\u_pinmux_reg.cfg_timer2[11] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05529__A (.DIODE(_01639_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05526__A1 (.DIODE(_01646_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9302,11 +9366,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05532__A (.DIODE(_01445_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05533__A0 (.DIODE(\u_pinmux_reg.cfg_timer2[13] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -9337,6 +9396,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05542__A (.DIODE(_01657_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05544__B (.DIODE(_01659_),
.VGND(vssd1),
.VNB(vssd1),
@@ -9352,22 +9416,17 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05547__S (.DIODE(_01662_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05547__A0 (.DIODE(_01355_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05549__S (.DIODE(_01662_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05549__A0 (.DIODE(_01369_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05551__S (.DIODE(_01662_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05553__S (.DIODE(_01662_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05549__A1 (.DIODE(\u_pinmux_reg.cfg_gpio_out_type[25] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9377,6 +9436,26 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05556__A0 (.DIODE(_01375_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05558__A0 (.DIODE(_01378_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05560__A0 (.DIODE(_01380_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05562__A0 (.DIODE(_01382_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05566__A (.DIODE(_01673_),
.VGND(vssd1),
.VNB(vssd1),
@@ -9392,11 +9471,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05568__C (.DIODE(_01675_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05569__A (.DIODE(_01676_),
.VGND(vssd1),
.VNB(vssd1),
@@ -9447,6 +9521,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05587__A (.DIODE(_01417_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05588__B (.DIODE(_01570_),
.VGND(vssd1),
.VNB(vssd1),
@@ -9457,6 +9536,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05592__A (.DIODE(_01432_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05593__A1 (.DIODE(\u_gpio_intr.cfg_gpio_out_data[9] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -9472,12 +9556,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05603__A0 (.DIODE(_01697_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05605__A (.DIODE(_01445_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05603__A1 (.DIODE(\u_gpio_intr.cfg_gpio_out_data[12] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9487,6 +9566,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05606__A1 (.DIODE(\u_gpio_intr.cfg_gpio_out_data[13] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05609__A0 (.DIODE(_01702_),
.VGND(vssd1),
.VNB(vssd1),
@@ -9507,21 +9591,16 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05614__A (.DIODE(_01267_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05616__A (.DIODE(_01674_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05617__A (.DIODE(_01706_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05617__B (.DIODE(_01707_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05617__C (.DIODE(_01708_),
.VGND(vssd1),
.VNB(vssd1),
@@ -9617,11 +9696,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05636__B (.DIODE(_01707_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05636__C (.DIODE(_01708_),
.VGND(vssd1),
.VNB(vssd1),
@@ -9677,7 +9751,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05647__A1 (.DIODE(_01529_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05647__A0 (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[20] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9692,11 +9766,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05649__A1 (.DIODE(_01533_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05649__S (.DIODE(_01726_),
.VGND(vssd1),
.VNB(vssd1),
@@ -9707,11 +9776,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05651__A1 (.DIODE(_01536_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05651__S (.DIODE(_01726_),
.VGND(vssd1),
.VNB(vssd1),
@@ -9727,12 +9791,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05666__A0 (.DIODE(_01697_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05668__A0 (.DIODE(_01700_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05668__A0 (.DIODE(_01700_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05668__A1 (.DIODE(\u_pinmux_reg.cfg_gpio_out_type[13] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9747,6 +9811,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05672__A1 (.DIODE(\u_pinmux_reg.cfg_gpio_out_type[15] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05674__A (.DIODE(_01466_),
.VGND(vssd1),
.VNB(vssd1),
@@ -9757,7 +9826,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05677__S (.DIODE(_01744_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05676__A (.DIODE(_01743_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9767,17 +9836,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05680__S (.DIODE(_01744_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05682__A (.DIODE(_01476_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05683__S (.DIODE(_01744_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05683__A0 (.DIODE(_01748_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9787,32 +9851,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05686__S (.DIODE(_01744_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05686__A1 (.DIODE(\u_pinmux_reg.cfg_gpio_out_type[19] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05689__S (.DIODE(_01752_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05688__A (.DIODE(_01743_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05691__A0 (.DIODE(_01485_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05689__A1 (.DIODE(\u_pinmux_reg.cfg_gpio_out_type[20] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05691__S (.DIODE(_01752_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05693__S (.DIODE(_01752_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05695__S (.DIODE(_01752_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05695__A1 (.DIODE(\u_pinmux_reg.cfg_gpio_out_type[23] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9822,22 +9876,107 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05704__A (.DIODE(\u_pulse_1ms.trigger ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05705__A (.DIODE(\u_pinmux_reg.cfg_timer2[17] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05706__A (.DIODE(_01764_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05706__B (.DIODE(_01765_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05708__D_N (.DIODE(\u_pulse_1ms.trigger ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05710__A1 (.DIODE(_01767_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05710__A2 (.DIODE(_01768_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05710__A3 (.DIODE(_01769_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05710__B1 (.DIODE(_01765_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05711__A (.DIODE(\u_pinmux_reg.cfg_timer2[16] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05712__A1 (.DIODE(_01758_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05712__A2 (.DIODE(_01763_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05712__B1 (.DIODE(_01766_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05712__C1 (.DIODE(_01770_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05713__A (.DIODE(_01772_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05717__B (.DIODE(net79),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05716__A (.DIODE(_01588_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05717__C (.DIODE(net46),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05718__A (.DIODE(_01777_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05719__A1 (.DIODE(_01758_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05719__A2 (.DIODE(_01763_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05719__B1 (.DIODE(_01766_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05719__C1 (.DIODE(_01770_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9857,21 +9996,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05720__B2 (.DIODE(_01148_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05721__A (.DIODE(_01780_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05723__A1 (.DIODE(\u_pinmux_reg.cfg_timer2[0] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05725__A (.DIODE(_01772_),
.VGND(vssd1),
.VNB(vssd1),
@@ -9882,31 +10006,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05731__A1 (.DIODE(\u_pinmux_reg.cfg_timer2[1] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05735__A1 (.DIODE(\u_pinmux_reg.cfg_timer2[2] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05740__A1 (.DIODE(\u_pinmux_reg.cfg_timer2[3] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05743__A1 (.DIODE(\u_pinmux_reg.cfg_timer2[4] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05748__A1 (.DIODE(\u_pinmux_reg.cfg_timer2[5] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05753__A1 (.DIODE(\u_pinmux_reg.cfg_timer2[6] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -9927,7 +10026,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05773__A (.DIODE(_01780_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05774__A1 (.DIODE(\u_pinmux_reg.cfg_timer2[10] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05778__A1 (.DIODE(\u_pinmux_reg.cfg_timer2[11] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9957,7 +10061,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05794__A (.DIODE(_01838_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05793__A (.DIODE(_01588_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05795__A (.DIODE(_01777_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9972,16 +10081,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05796__B1_N (.DIODE(_01148_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05798__B (.DIODE(_01780_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05803__B (.DIODE(_01778_),
.VGND(vssd1),
.VNB(vssd1),
@@ -9992,7 +10091,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05808__A (.DIODE(_01566_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05805__A (.DIODE(_01288_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -10002,6 +10101,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05809__A (.DIODE(_01852_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05810__A (.DIODE(_01853_),
.VGND(vssd1),
.VNB(vssd1),
@@ -10012,6 +10116,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05812__C (.DIODE(\u_gpio_intr.cfg_gpio_posedge_int_sel[9] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05813__A3 (.DIODE(\u_gpio_intr.gpio_prev_indata[9] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -10027,6 +10136,26 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05814__B2 (.DIODE(_01288_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05815__A (.DIODE(_01764_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05828__A (.DIODE(_01764_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05841__A (.DIODE(_01764_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05881__A1 (.DIODE(\u_pinmux_reg.cfg_pwm5_high[0] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -10037,17 +10166,17 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05885__A (.DIODE(_01915_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05882__A (.DIODE(_01767_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05885__B (.DIODE(_01916_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05883__A (.DIODE(_01768_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05885__C (.DIODE(_01917_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05884__A (.DIODE(_01769_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -10062,56 +10191,16 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05887__A (.DIODE(_01919_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05888__S (.DIODE(_01920_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05890__A (.DIODE(_01915_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05890__B (.DIODE(_01916_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05890__C (.DIODE(_01917_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05891__B (.DIODE(_01922_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05892__A (.DIODE(_01923_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05899__A1 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05901__C (.DIODE(_01923_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05903__A2 (.DIODE(_01920_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05903__B2 (.DIODE(\u_pinmux_reg.cfg_pwm5_high[1] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -10127,11 +10216,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05909__A (.DIODE(_01919_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05914__B2 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[3] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -10147,16 +10231,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05919__C1 (.DIODE(_01923_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05920__A2 (.DIODE(_01919_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05920__B2 (.DIODE(\u_pinmux_reg.cfg_pwm5_high[4] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -10172,36 +10246,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05924__B (.DIODE(_01951_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05925__A (.DIODE(_01952_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05926__A (.DIODE(\u_pinmux_reg.cfg_pwm5_low[5] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05929__A (.DIODE(_01915_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05929__B (.DIODE(_01916_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05929__C (.DIODE(_01917_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05931__A (.DIODE(_01099_),
.VGND(vssd1),
.VNB(vssd1),
@@ -10227,6 +10276,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05941__A (.DIODE(_01208_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05946__A1 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[7] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -10242,11 +10296,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05956__A (.DIODE(_01923_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05958__A1 (.DIODE(\u_pinmux_reg.cfg_pwm5_high[8] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -10262,11 +10311,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05963__A2 (.DIODE(_01920_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05967__A1 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[10] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -10292,11 +10336,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05977__B (.DIODE(_01952_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05978__A1 (.DIODE(\u_pinmux_reg.cfg_pwm5_high[12] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -10312,16 +10351,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05985__A2 (.DIODE(_01920_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05986__B (.DIODE(_01952_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05987__A0 (.DIODE(\u_pinmux_reg.cfg_pwm5_high[14] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -10332,6 +10361,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05987__S (.DIODE(_01208_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05989__A1 (.DIODE(\u_pinmux_reg.cfg_pwm5_high[15] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -10347,6 +10381,26 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05993__A (.DIODE(\u_pulse_1ms.trigger ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05994__A1 (.DIODE(_01767_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05994__A2 (.DIODE(_01768_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05994__A3 (.DIODE(_01769_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05995__A (.DIODE(\u_pinmux_reg.cfg_timer0[16] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -10357,6 +10411,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05996__A2 (.DIODE(_01763_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05997__A (.DIODE(_02013_),
.VGND(vssd1),
.VNB(vssd1),
@@ -10377,6 +10436,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06001__B (.DIODE(_01777_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06002__A3 (.DIODE(_02017_),
.VGND(vssd1),
.VNB(vssd1),
@@ -10412,6 +10476,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06024__A0 (.DIODE(\u_pinmux_reg.cfg_timer0[4] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06030__A1 (.DIODE(\u_pinmux_reg.cfg_timer0[5] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -10482,6 +10551,26 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06071__A (.DIODE(\u_pulse_1ms.trigger ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06072__A1 (.DIODE(_01767_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06072__A2 (.DIODE(_01768_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06072__A3 (.DIODE(_01769_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06073__A (.DIODE(\u_pinmux_reg.cfg_timer1[16] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -10507,6 +10596,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06078__A2 (.DIODE(_01763_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06080__A (.DIODE(_02080_),
.VGND(vssd1),
.VNB(vssd1),
@@ -10517,11 +10611,21 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06081__B (.DIODE(_01777_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06082__A3 (.DIODE(_02079_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06082__B1 (.DIODE(_02082_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06085__A1 (.DIODE(\u_pinmux_reg.cfg_timer1[0] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -10532,11 +10636,41 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06091__A1 (.DIODE(\u_pinmux_reg.cfg_timer1[1] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06094__A1 (.DIODE(\u_pinmux_reg.cfg_timer1[2] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06096__A (.DIODE(_02075_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06100__A1 (.DIODE(\u_pinmux_reg.cfg_timer1[3] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06104__A1 (.DIODE(\u_pinmux_reg.cfg_timer1[4] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06109__A1 (.DIODE(\u_pinmux_reg.cfg_timer1[5] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06114__A1 (.DIODE(\u_pinmux_reg.cfg_timer1[6] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06120__A1 (.DIODE(\u_pinmux_reg.cfg_timer1[7] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -10572,6 +10706,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06148__A1 (.DIODE(\u_pinmux_reg.cfg_timer1[13] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06151__A1 (.DIODE(\u_pinmux_reg.cfg_timer1[14] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -10587,11 +10726,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06155__A (.DIODE(_02140_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06157__A1 (.DIODE(_02141_),
.VGND(vssd1),
.VNB(vssd1),
@@ -10602,7 +10736,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06161__A (.DIODE(_01384_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06157__C1 (.DIODE(\u_timer_1.timer_hit ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -10617,11 +10751,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06165__B (.DIODE(_01707_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06165__C (.DIODE(_02149_),
.VGND(vssd1),
.VNB(vssd1),
@@ -10632,7 +10761,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06167__A1 (.DIODE(_02146_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06167__A0 (.DIODE(\u_pinmux_reg.cfg_pwm1_high[8] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -10652,42 +10781,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06170__A1 (.DIODE(_02153_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06170__S (.DIODE(_02151_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06172__A (.DIODE(_01398_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06173__A0 (.DIODE(\u_pinmux_reg.cfg_pwm1_high[10] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06173__A1 (.DIODE(_02155_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06173__S (.DIODE(_02151_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06175__A (.DIODE(_01401_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06176__A1 (.DIODE(_02157_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06176__A0 (.DIODE(\u_pinmux_reg.cfg_pwm1_high[11] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -10707,22 +10816,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06180__A0 (.DIODE(\u_pinmux_reg.cfg_pwm1_high[12] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06182__A (.DIODE(_01408_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06183__A0 (.DIODE(\u_pinmux_reg.cfg_pwm1_high[13] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06183__A1 (.DIODE(_02162_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06186__A1 (.DIODE(_02164_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06185__A (.DIODE(_01411_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -10742,11 +10841,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06192__B (.DIODE(_01707_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06192__C (.DIODE(_02149_),
.VGND(vssd1),
.VNB(vssd1),
@@ -10762,6 +10856,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06194__A1 (.DIODE(_02168_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06196__A (.DIODE(_01472_),
.VGND(vssd1),
.VNB(vssd1),
@@ -10772,6 +10871,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06197__A1 (.DIODE(_02172_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06199__A (.DIODE(_01476_),
.VGND(vssd1),
.VNB(vssd1),
@@ -10782,6 +10886,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06200__A1 (.DIODE(_02174_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06202__A (.DIODE(_01479_),
.VGND(vssd1),
.VNB(vssd1),
@@ -10792,6 +10901,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06203__A1 (.DIODE(_02176_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06205__A (.DIODE(_02169_),
.VGND(vssd1),
.VNB(vssd1),
@@ -10802,11 +10916,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06206__A1 (.DIODE(_01529_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06206__S (.DIODE(_02178_),
.VGND(vssd1),
.VNB(vssd1),
@@ -10817,11 +10926,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06208__A1 (.DIODE(_01533_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06208__S (.DIODE(_02178_),
.VGND(vssd1),
.VNB(vssd1),
@@ -10832,11 +10936,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06210__A1 (.DIODE(_01536_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06210__S (.DIODE(_02178_),
.VGND(vssd1),
.VNB(vssd1),
@@ -10857,12 +10956,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06217__A (.DIODE(_01706_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06217__B (.DIODE(_02183_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06216__A (.DIODE(_02184_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -10962,26 +11056,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06238__B (.DIODE(_02198_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06239__A (.DIODE(_02199_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06240__A (.DIODE(_02200_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06241__B (.DIODE(_02183_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06241__C (.DIODE(_02201_),
.VGND(vssd1),
.VNB(vssd1),
@@ -10997,7 +11076,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06243__A1 (.DIODE(_02146_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06243__S (.DIODE(_02203_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -11007,7 +11086,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06245__A1 (.DIODE(_02153_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06245__S (.DIODE(_02203_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -11017,7 +11096,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06247__A1 (.DIODE(_02155_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06247__S (.DIODE(_02203_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -11027,7 +11106,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06249__A1 (.DIODE(_02157_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06249__S (.DIODE(_02203_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -11042,32 +11121,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06252__S (.DIODE(_02208_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06254__A0 (.DIODE(\u_pinmux_reg.cfg_pwm2_high[13] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06254__A1 (.DIODE(_02162_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06254__S (.DIODE(_02208_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06256__A1 (.DIODE(_02164_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06256__S (.DIODE(_02208_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06256__A0 (.DIODE(\u_pinmux_reg.cfg_pwm2_high[14] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -11077,21 +11136,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06258__S (.DIODE(_02208_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06260__A (.DIODE(_01311_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06261__B (.DIODE(_02183_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06261__C (.DIODE(_02201_),
.VGND(vssd1),
.VNB(vssd1),
@@ -11107,21 +11156,41 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06263__A1 (.DIODE(_02168_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06265__A0 (.DIODE(\u_pinmux_reg.cfg_pwm2_high[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06265__A1 (.DIODE(_02172_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06267__A0 (.DIODE(\u_pinmux_reg.cfg_pwm2_high[2] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06267__A1 (.DIODE(_02174_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06269__A0 (.DIODE(\u_pinmux_reg.cfg_pwm2_high[3] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06269__A1 (.DIODE(_02176_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06271__A (.DIODE(_01528_),
.VGND(vssd1),
.VNB(vssd1),
@@ -11137,7 +11206,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06273__A1 (.DIODE(_02220_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06275__A (.DIODE(_01532_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -11147,7 +11216,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06276__A1 (.DIODE(_02223_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06278__A (.DIODE(_01535_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -11157,11 +11226,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06279__A1 (.DIODE(_02225_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06281__A (.DIODE(_01538_),
.VGND(vssd1),
.VNB(vssd1),
@@ -11172,26 +11236,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06282__A1 (.DIODE(_02227_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06284__A (.DIODE(_02200_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06285__A (.DIODE(_01706_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06285__B (.DIODE(_02183_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06285__C (.DIODE(_02229_),
.VGND(vssd1),
.VNB(vssd1),
@@ -11287,21 +11331,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06304__A (.DIODE(_01356_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06309__A (.DIODE(_02245_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06310__A (.DIODE(_02246_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06311__C (.DIODE(_02247_),
.VGND(vssd1),
.VNB(vssd1),
@@ -11317,41 +11351,21 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06313__A1 (.DIODE(_02146_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06315__A0 (.DIODE(\u_pinmux_reg.cfg_pwm3_high[9] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06315__A1 (.DIODE(_02153_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06317__A0 (.DIODE(\u_pinmux_reg.cfg_pwm3_high[10] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06317__A1 (.DIODE(_02155_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06319__A0 (.DIODE(\u_pinmux_reg.cfg_pwm3_high[11] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06319__A1 (.DIODE(_02157_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06321__A (.DIODE(_02248_),
.VGND(vssd1),
.VNB(vssd1),
@@ -11367,12 +11381,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06324__A1 (.DIODE(_02162_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06326__A1 (.DIODE(_02164_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06326__A0 (.DIODE(\u_pinmux_reg.cfg_pwm3_high[14] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -11382,17 +11391,52 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06330__A (.DIODE(_02246_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06331__C (.DIODE(_02259_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06332__A (.DIODE(_02260_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06333__A0 (.DIODE(\u_pinmux_reg.cfg_pwm3_high[0] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06333__A1 (.DIODE(_02168_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06333__S (.DIODE(_02261_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06335__A0 (.DIODE(\u_pinmux_reg.cfg_pwm3_high[1] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06335__A1 (.DIODE(_02172_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06335__S (.DIODE(_02261_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06337__A0 (.DIODE(\u_pinmux_reg.cfg_pwm3_high[2] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06337__A1 (.DIODE(_02174_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06337__S (.DIODE(_02261_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -11402,7 +11446,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06341__A (.DIODE(_02260_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06339__A1 (.DIODE(_02176_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06339__S (.DIODE(_02261_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -11412,61 +11461,31 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06342__A1 (.DIODE(_02220_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06344__A0 (.DIODE(\u_pinmux_reg.cfg_pwm3_high[5] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06344__A1 (.DIODE(_02223_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06346__A0 (.DIODE(\u_pinmux_reg.cfg_pwm3_high[6] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06346__A1 (.DIODE(_02225_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06348__A0 (.DIODE(\u_pinmux_reg.cfg_pwm3_high[7] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06348__A1 (.DIODE(_02227_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06350__A (.DIODE(_01265_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06351__A (.DIODE(_01706_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06351__C (.DIODE(_02259_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06352__A (.DIODE(_02272_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06353__A0 (.DIODE(\u_pinmux_reg.cfg_pwm3_low[8] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -11517,21 +11536,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06362__A1 (.DIODE(_02279_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06364__A (.DIODE(_01296_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06365__A (.DIODE(_02272_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06366__A0 (.DIODE(\u_pinmux_reg.cfg_pwm3_low[12] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -11587,56 +11596,101 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06377__A (.DIODE(_02198_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06378__A (.DIODE(_01566_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06378__C (.DIODE(_02290_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06379__B (.DIODE(_02291_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06380__A (.DIODE(_02292_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06381__A0 (.DIODE(_01355_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06381__A1 (.DIODE(\u_pinmux_reg.reg_2[24] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06381__S (.DIODE(_02293_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06383__A0 (.DIODE(_01369_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06383__S (.DIODE(_02293_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06385__A1 (.DIODE(\u_pinmux_reg.reg_2[26] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06385__S (.DIODE(_02293_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06387__A1 (.DIODE(\u_pinmux_reg.reg_2[27] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06387__S (.DIODE(_02293_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06389__A (.DIODE(_02292_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06390__A0 (.DIODE(_01375_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06390__A1 (.DIODE(\u_pinmux_reg.reg_2[28] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06392__A0 (.DIODE(_01378_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06392__A1 (.DIODE(\u_pinmux_reg.reg_2[29] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06394__A0 (.DIODE(_01380_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06394__A1 (.DIODE(\u_pinmux_reg.reg_2[30] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06396__A0 (.DIODE(_01382_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06396__A1 (.DIODE(\u_pinmux_reg.reg_2[31] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -11647,27 +11701,57 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06409__S (.DIODE(_02309_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06399__A (.DIODE(_02303_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06411__A0 (.DIODE(_01485_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06400__A1 (.DIODE(\u_pinmux_reg.reg_2[16] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06411__S (.DIODE(_02309_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06402__A1 (.DIODE(\u_pinmux_reg.reg_2[17] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06413__S (.DIODE(_02309_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06404__A0 (.DIODE(_01748_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06415__S (.DIODE(_02309_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06404__A1 (.DIODE(\u_pinmux_reg.reg_2[18] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06406__A1 (.DIODE(\u_pinmux_reg.reg_2[19] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06408__A (.DIODE(_02303_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06409__A1 (.DIODE(\u_pinmux_reg.reg_2[20] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06411__A1 (.DIODE(\u_pinmux_reg.reg_2[21] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06413__A1 (.DIODE(\u_pinmux_reg.reg_2[22] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06415__A1 (.DIODE(\u_pinmux_reg.reg_2[23] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -11697,22 +11781,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06428__A0 (.DIODE(_01697_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06428__S (.DIODE(_02320_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06430__A0 (.DIODE(_01700_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06430__S (.DIODE(_02320_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06430__A1 (.DIODE(\u_pinmux_reg.reg_2[13] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -11722,7 +11796,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06432__S (.DIODE(_02320_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06432__A1 (.DIODE(\u_pinmux_reg.reg_2[14] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -11732,21 +11806,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06434__S (.DIODE(_02320_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06439__A (.DIODE(_02327_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06440__A (.DIODE(_02328_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06441__C (.DIODE(_02329_),
.VGND(vssd1),
.VNB(vssd1),
@@ -11762,41 +11826,21 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06443__A1 (.DIODE(_02146_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06445__A0 (.DIODE(\u_pinmux_reg.cfg_pwm4_high[9] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06445__A1 (.DIODE(_02153_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06447__A0 (.DIODE(\u_pinmux_reg.cfg_pwm4_high[10] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06447__A1 (.DIODE(_02155_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06449__A0 (.DIODE(\u_pinmux_reg.cfg_pwm4_high[11] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06449__A1 (.DIODE(_02157_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06451__A (.DIODE(_02330_),
.VGND(vssd1),
.VNB(vssd1),
@@ -11812,21 +11856,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06454__A1 (.DIODE(_02162_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06456__A0 (.DIODE(\u_pinmux_reg.cfg_pwm4_high[14] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06456__A1 (.DIODE(_02164_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06458__A0 (.DIODE(\u_pinmux_reg.cfg_pwm4_high[15] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -11837,12 +11871,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06462__A (.DIODE(_02342_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06463__A0 (.DIODE(\u_pinmux_reg.cfg_pwm4_high[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06463__A0 (.DIODE(\u_pinmux_reg.cfg_pwm4_high[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06463__A1 (.DIODE(_02168_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -11852,17 +11886,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06465__A1 (.DIODE(_02172_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06467__A0 (.DIODE(\u_pinmux_reg.cfg_pwm4_high[2] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06467__A1 (.DIODE(_02174_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06469__A0 (.DIODE(\u_pinmux_reg.cfg_pwm4_high[3] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06471__A (.DIODE(_02342_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06469__A1 (.DIODE(_02176_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -11872,37 +11916,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06472__A1 (.DIODE(_02220_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06474__A0 (.DIODE(\u_pinmux_reg.cfg_pwm4_high[5] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06474__A1 (.DIODE(_02223_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06476__A0 (.DIODE(\u_pinmux_reg.cfg_pwm4_high[6] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06476__A1 (.DIODE(_02225_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06478__A0 (.DIODE(\u_pinmux_reg.cfg_pwm4_high[7] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06478__A1 (.DIODE(_02227_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06480__A (.DIODE(_01267_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -11912,12 +11941,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06482__C (.DIODE(_02354_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06482__A (.DIODE(_02353_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06483__A (.DIODE(_02355_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06482__C (.DIODE(_02354_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -11932,6 +11961,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06486__A0 (.DIODE(\u_pinmux_reg.cfg_pwm4_low[9] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06486__A1 (.DIODE(_02275_),
.VGND(vssd1),
.VNB(vssd1),
@@ -11952,16 +11986,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06490__A1 (.DIODE(_02279_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06492__A (.DIODE(_02355_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06493__A0 (.DIODE(\u_pinmux_reg.cfg_pwm4_low[12] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -11972,6 +11996,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06495__A0 (.DIODE(\u_pinmux_reg.cfg_pwm4_low[13] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06495__A1 (.DIODE(_02284_),
.VGND(vssd1),
.VNB(vssd1),
@@ -11997,11 +12026,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06501__A (.DIODE(_01384_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06502__B_N (.DIODE(net40),
.VGND(vssd1),
.VNB(vssd1),
@@ -12012,6 +12036,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06503__B (.DIODE(_02367_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06505__A (.DIODE(_02369_),
.VGND(vssd1),
.VNB(vssd1),
@@ -12042,21 +12071,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06513__A (.DIODE(_01398_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06514__A0 (.DIODE(\u_pinmux_reg.cfg_pwm5_high[10] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06516__A (.DIODE(_01401_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06517__A0 (.DIODE(\u_pinmux_reg.cfg_pwm5_high[11] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -12077,11 +12096,21 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06523__A (.DIODE(_01408_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06524__A0 (.DIODE(\u_pinmux_reg.cfg_pwm5_high[13] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06526__A (.DIODE(_01411_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06527__A0 (.DIODE(\u_pinmux_reg.cfg_pwm5_high[14] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -12097,12 +12126,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06533__C (.DIODE(_02370_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06532__A (.DIODE(_01309_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06534__A (.DIODE(_02390_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06533__C (.DIODE(_02370_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -12117,6 +12146,16 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06535__S (.DIODE(_02391_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06537__A (.DIODE(_01316_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06538__A0 (.DIODE(\u_pinmux_reg.cfg_pwm5_high[1] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -12127,6 +12166,16 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06538__S (.DIODE(_02391_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06540__A (.DIODE(_01319_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06541__A0 (.DIODE(\u_pinmux_reg.cfg_pwm5_high[2] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -12137,17 +12186,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06541__S (.DIODE(_02391_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06543__A (.DIODE(_01322_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06544__A0 (.DIODE(\u_pinmux_reg.cfg_pwm5_high[3] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06544__A1 (.DIODE(_02397_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06546__A (.DIODE(_02390_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06544__S (.DIODE(_02391_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -12157,52 +12211,32 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06547__A1 (.DIODE(_02220_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06549__A0 (.DIODE(\u_pinmux_reg.cfg_pwm5_high[5] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06549__A1 (.DIODE(_02223_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06551__A0 (.DIODE(\u_pinmux_reg.cfg_pwm5_high[6] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06551__A1 (.DIODE(_02225_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06553__A0 (.DIODE(\u_pinmux_reg.cfg_pwm5_high[7] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06553__A1 (.DIODE(_02227_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06556__A (.DIODE(_02369_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06557__C (.DIODE(_02405_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06557__A (.DIODE(_02353_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06558__A (.DIODE(_02406_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06557__C (.DIODE(_02405_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -12242,16 +12276,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06565__A1 (.DIODE(_02279_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06567__A (.DIODE(_02406_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06568__A0 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[12] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -12292,6 +12316,16 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06577__A (.DIODE(_02417_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06578__A (.DIODE(_02418_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06579__C (.DIODE(_02419_),
.VGND(vssd1),
.VNB(vssd1),
@@ -12302,7 +12336,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06583__A0 (.DIODE(\u_pinmux_reg.reg_22[25] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06581__S (.DIODE(_02421_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06583__S (.DIODE(_02421_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06585__S (.DIODE(_02421_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06587__S (.DIODE(_02421_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -12312,11 +12361,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06596__A0 (.DIODE(\u_pinmux_reg.reg_22[31] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06598__A (.DIODE(_01311_),
.VGND(vssd1),
.VNB(vssd1),
@@ -12327,12 +12371,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06600__A (.DIODE(_02432_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06601__A1 (.DIODE(_02389_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06601__A1 (.DIODE(_02389_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06601__S (.DIODE(_02433_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -12342,12 +12386,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06603__S (.DIODE(_02433_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06605__A1 (.DIODE(_02395_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06607__A1 (.DIODE(_02397_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06605__S (.DIODE(_02433_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06607__S (.DIODE(_02433_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -12357,21 +12411,26 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06610__A (.DIODE(_02432_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06611__S (.DIODE(_02439_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06613__A (.DIODE(_01532_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06614__S (.DIODE(_02439_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06616__A (.DIODE(_01535_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06617__S (.DIODE(_02439_),
.VGND(vssd1),
.VNB(vssd1),
@@ -12387,6 +12446,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06622__A (.DIODE(_02353_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06622__C (.DIODE(_02419_),
.VGND(vssd1),
.VNB(vssd1),
@@ -12407,52 +12471,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06630__A1 (.DIODE(_02279_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06633__A1 (.DIODE(_02281_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06633__S (.DIODE(_02453_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06635__A1 (.DIODE(_02284_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06635__S (.DIODE(_02453_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06637__A1 (.DIODE(_02286_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06637__S (.DIODE(_02453_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06639__A1 (.DIODE(_02288_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06639__S (.DIODE(_02453_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06641__A (.DIODE(_01356_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06645__A (.DIODE(_02461_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -12467,17 +12506,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06650__A0 (.DIODE(\u_pinmux_reg.reg_23[25] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06656__A (.DIODE(_02463_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06663__A0 (.DIODE(\u_pinmux_reg.reg_23[31] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06665__A (.DIODE(_02461_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -12517,11 +12551,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06674__A1 (.DIODE(_02397_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06674__S (.DIODE(_02476_),
.VGND(vssd1),
.VNB(vssd1),
@@ -12552,6 +12581,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06686__A (.DIODE(_02353_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06686__C (.DIODE(_02474_),
.VGND(vssd1),
.VNB(vssd1),
@@ -12562,11 +12596,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06688__A0 (.DIODE(\u_pinmux_reg.reg_23[8] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06688__A1 (.DIODE(_02486_),
.VGND(vssd1),
.VNB(vssd1),
@@ -12577,7 +12606,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06691__A0 (.DIODE(\u_pinmux_reg.reg_23[9] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06691__A1 (.DIODE(_02490_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -12597,11 +12626,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06697__A0 (.DIODE(\u_pinmux_reg.reg_23[11] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06697__A1 (.DIODE(_02494_),
.VGND(vssd1),
.VNB(vssd1),
@@ -12662,21 +12686,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06719__A0 (.DIODE(\u_pinmux_reg.reg_24[25] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06725__A (.DIODE(_02508_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06732__A0 (.DIODE(\u_pinmux_reg.reg_24[31] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06735__A (.DIODE(_02519_),
.VGND(vssd1),
.VNB(vssd1),
@@ -12692,12 +12706,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06738__A (.DIODE(_02522_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06739__A1 (.DIODE(_02389_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06739__A1 (.DIODE(_02389_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06741__A0 (.DIODE(\u_pinmux_reg.reg_24[17] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -12712,16 +12726,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06745__A1 (.DIODE(_02397_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06747__A (.DIODE(_02522_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06748__S (.DIODE(_02528_),
.VGND(vssd1),
.VNB(vssd1),
@@ -12757,32 +12761,72 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06759__S (.DIODE(_02535_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06761__A1 (.DIODE(_02490_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06761__S (.DIODE(_02535_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06763__A1 (.DIODE(_02492_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06763__S (.DIODE(_02535_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06765__A1 (.DIODE(_02494_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06765__S (.DIODE(_02535_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06768__A1 (.DIODE(_02496_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06768__S (.DIODE(_02540_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06770__A1 (.DIODE(_02499_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06770__S (.DIODE(_02540_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06772__A1 (.DIODE(_02501_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06776__A (.DIODE(_01384_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06772__S (.DIODE(_02540_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06774__S (.DIODE(_02540_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -12802,12 +12846,17 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06782__A1 (.DIODE(_02545_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06784__A (.DIODE(_01395_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06787__A (.DIODE(_01398_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06785__A1 (.DIODE(_02552_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -12817,7 +12866,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06790__A (.DIODE(_01401_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06791__A1 (.DIODE(_02556_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -12832,22 +12881,57 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06795__A1 (.DIODE(_02558_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06797__A (.DIODE(_01408_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06798__A1 (.DIODE(_02561_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06800__A (.DIODE(_01411_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06801__A1 (.DIODE(_02563_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06803__A (.DIODE(_01414_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06804__A1 (.DIODE(_02565_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06806__A (.DIODE(_01309_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06807__C (.DIODE(_02548_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06808__A (.DIODE(_02568_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06809__A1 (.DIODE(_02567_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06809__A1 (.DIODE(_02567_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06811__A (.DIODE(_01316_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -12857,12 +12941,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06815__A1 (.DIODE(_02573_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06814__A (.DIODE(_01319_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06820__A (.DIODE(_02568_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06817__A (.DIODE(_01322_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -12907,32 +12991,37 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06834__B (.DIODE(_02583_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06834__C (.DIODE(_02586_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06835__A (.DIODE(_02587_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06836__A1 (.DIODE(_02567_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06836__S (.DIODE(_02588_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06838__A1 (.DIODE(_02571_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06840__A1 (.DIODE(_02573_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06838__S (.DIODE(_02588_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06840__S (.DIODE(_02588_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06842__S (.DIODE(_02588_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -12942,7 +13031,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06845__A (.DIODE(_02587_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06846__A1 (.DIODE(_02593_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -12952,11 +13041,26 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06848__A (.DIODE(_01532_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06849__A1 (.DIODE(_02596_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06849__S (.DIODE(_02594_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06851__A (.DIODE(_01535_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06852__S (.DIODE(_02594_),
.VGND(vssd1),
.VNB(vssd1),
@@ -12977,17 +13081,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06858__B (.DIODE(_02583_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06858__C (.DIODE(_02602_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06859__A (.DIODE(_02603_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06860__A1 (.DIODE(_02545_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -12997,6 +13096,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06862__A1 (.DIODE(_02552_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06862__S (.DIODE(_02604_),
.VGND(vssd1),
.VNB(vssd1),
@@ -13012,17 +13116,52 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06866__A1 (.DIODE(_02556_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06866__S (.DIODE(_02604_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06868__A (.DIODE(_02603_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06869__A1 (.DIODE(_02558_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06877__B (.DIODE(_02583_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06869__S (.DIODE(_02609_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06871__A1 (.DIODE(_02561_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06871__S (.DIODE(_02609_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06873__A1 (.DIODE(_02563_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06873__S (.DIODE(_02609_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06875__A1 (.DIODE(_02565_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06875__S (.DIODE(_02609_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -13032,11 +13171,21 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06878__A (.DIODE(_02614_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06879__A1 (.DIODE(_02486_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06881__A1 (.DIODE(_02490_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06883__A1 (.DIODE(_02492_),
.VGND(vssd1),
.VNB(vssd1),
@@ -13047,6 +13196,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06887__A (.DIODE(_02614_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06888__A1 (.DIODE(_02496_),
.VGND(vssd1),
.VNB(vssd1),
@@ -13062,16 +13216,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06896__A (.DIODE(_01356_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06897__B (.DIODE(_02583_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06897__C (.DIODE(_01428_),
.VGND(vssd1),
.VNB(vssd1),
@@ -13082,16 +13226,51 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06899__A1 (.DIODE(_02545_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06901__A1 (.DIODE(_02552_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06903__A1 (.DIODE(_02554_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06905__A1 (.DIODE(_02556_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06907__A (.DIODE(_02626_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06908__A1 (.DIODE(_02558_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06910__A1 (.DIODE(_02561_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06912__A1 (.DIODE(_02563_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06914__A1 (.DIODE(_02565_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06916__A (.DIODE(_02519_),
.VGND(vssd1),
.VNB(vssd1),
@@ -13102,21 +13281,46 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06918__A (.DIODE(_02638_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06919__A1 (.DIODE(_02486_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06921__A1 (.DIODE(_02490_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06923__A0 (.DIODE(\u_pinmux_reg.reg_26[10] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06923__A1 (.DIODE(_02492_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06925__A0 (.DIODE(\u_pinmux_reg.reg_26[11] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06925__A1 (.DIODE(_02494_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06927__A (.DIODE(_02638_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06928__A1 (.DIODE(_02496_),
.VGND(vssd1),
.VNB(vssd1),
@@ -13142,7 +13346,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06951__A (.DIODE(_01951_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06948__A (.DIODE(_02660_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -13162,32 +13366,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06955__A (.DIODE(_01915_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06955__B (.DIODE(_01916_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06955__C (.DIODE(_01917_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06966__A (.DIODE(_02667_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06967__A1 (.DIODE(_02667_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06969__A (.DIODE(_02667_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06954__A1 (.DIODE(_02649_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -13197,11 +13376,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06984__A (.DIODE(_02667_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06999__A (.DIODE(_01918_),
.VGND(vssd1),
.VNB(vssd1),
@@ -13217,7 +13391,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07004__A (.DIODE(_02705_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07003__A (.DIODE(_02704_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -13232,11 +13406,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07006__A (.DIODE(_02707_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07007__A0 (.DIODE(\u_pinmux_reg.cfg_pwm0_high[0] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -13262,22 +13431,17 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07011__A1 (.DIODE(_02573_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07013__A0 (.DIODE(\u_pinmux_reg.cfg_pwm0_high[3] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07015__A (.DIODE(_02707_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07016__A0 (.DIODE(\u_pinmux_reg.cfg_pwm0_high[4] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07016__A0 (.DIODE(\u_pinmux_reg.cfg_pwm0_high[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07016__A1 (.DIODE(_02593_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -13292,6 +13456,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07018__A1 (.DIODE(_02596_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07018__S (.DIODE(_02713_),
.VGND(vssd1),
.VNB(vssd1),
@@ -13327,11 +13496,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07026__A (.DIODE(_02719_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07027__A0 (.DIODE(\u_pinmux_reg.cfg_pwm0_low[8] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -13352,6 +13516,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07030__A1 (.DIODE(_02722_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07032__A (.DIODE(_01290_),
.VGND(vssd1),
.VNB(vssd1),
@@ -13362,6 +13531,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07033__A1 (.DIODE(_02724_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07035__A (.DIODE(_01293_),
.VGND(vssd1),
.VNB(vssd1),
@@ -13372,17 +13546,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07036__A1 (.DIODE(_02726_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07038__A (.DIODE(_01296_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07039__A (.DIODE(_02719_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07040__A0 (.DIODE(\u_pinmux_reg.cfg_pwm0_low[12] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07040__A0 (.DIODE(\u_pinmux_reg.cfg_pwm0_low[12] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07040__A1 (.DIODE(_02728_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -13397,6 +13576,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07043__A1 (.DIODE(_02731_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07045__A (.DIODE(_01303_),
.VGND(vssd1),
.VNB(vssd1),
@@ -13442,11 +13626,21 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07053__A1 (.DIODE(_02545_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07055__A0 (.DIODE(\u_pinmux_reg.cfg_pwm0_high[9] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07055__A1 (.DIODE(_02552_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07057__A0 (.DIODE(\u_pinmux_reg.cfg_pwm0_high[10] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -13462,17 +13656,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07059__A1 (.DIODE(_02556_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07061__A (.DIODE(_02737_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07062__A0 (.DIODE(\u_pinmux_reg.cfg_pwm0_high[12] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07062__A1 (.DIODE(_02558_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07064__A0 (.DIODE(\u_pinmux_reg.cfg_pwm0_high[13] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07064__A1 (.DIODE(_02561_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07066__A1 (.DIODE(_02563_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -13482,26 +13686,26 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07068__A1 (.DIODE(_02565_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07070__A (.DIODE(net42),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07071__A (.DIODE(_02748_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07072__A (.DIODE(_02519_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07074__A (.DIODE(_02749_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07074__B (.DIODE(_02750_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07074__C (.DIODE(_02751_),
.VGND(vssd1),
.VNB(vssd1),
@@ -13512,6 +13716,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07076__A0 (.DIODE(\u_pinmux_reg.reg_3[0] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07076__A1 (.DIODE(_01583_),
.VGND(vssd1),
.VNB(vssd1),
@@ -13602,16 +13811,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07095__A (.DIODE(_02749_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07095__B (.DIODE(_02750_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07095__C (.DIODE(_02764_),
.VGND(vssd1),
.VNB(vssd1),
@@ -13667,6 +13866,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07106__A0 (.DIODE(\u_pinmux_reg.cfg_timer0[4] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07106__A1 (.DIODE(_01603_),
.VGND(vssd1),
.VNB(vssd1),
@@ -13702,12 +13906,17 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07115__A (.DIODE(_02749_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07114__A (.DIODE(_01427_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07115__B (.DIODE(_02750_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07115__C (.DIODE(_02776_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07117__A0 (.DIODE(\u_pinmux_reg.reg_27[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -13717,16 +13926,31 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07119__A0 (.DIODE(\u_pinmux_reg.reg_27[1] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07119__A1 (.DIODE(_01594_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07121__A0 (.DIODE(\u_pinmux_reg.reg_27[2] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07121__A1 (.DIODE(_01597_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07123__A0 (.DIODE(\u_pinmux_reg.reg_27[3] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07123__A1 (.DIODE(_01600_),
.VGND(vssd1),
.VNB(vssd1),
@@ -13742,11 +13966,21 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07128__A0 (.DIODE(\u_pinmux_reg.reg_27[5] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07128__A1 (.DIODE(_01607_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07130__A0 (.DIODE(\u_pinmux_reg.reg_27[6] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07130__A1 (.DIODE(_01610_),
.VGND(vssd1),
.VNB(vssd1),
@@ -13757,21 +13991,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07134__A (.DIODE(_01582_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07135__A (.DIODE(_02749_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07135__B (.DIODE(_02750_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07135__C (.DIODE(_02602_),
.VGND(vssd1),
.VNB(vssd1),
@@ -13782,77 +14001,17 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07139__A (.DIODE(_01593_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07140__A1 (.DIODE(_02792_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07142__A (.DIODE(_01596_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07145__A (.DIODE(_01599_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07148__A (.DIODE(_01602_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07149__A (.DIODE(_02789_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07150__S (.DIODE(_02799_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07152__A (.DIODE(_01606_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07153__A1 (.DIODE(_02801_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07153__S (.DIODE(_02799_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07155__A (.DIODE(_01609_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07156__A1 (.DIODE(_02803_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07156__S (.DIODE(_02799_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07158__A (.DIODE(_01612_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07159__S (.DIODE(_02799_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07161__A (.DIODE(_02748_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -13862,57 +14021,32 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07165__A (.DIODE(_02807_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07165__B (.DIODE(_02809_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07165__C (.DIODE(_02810_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07166__A (.DIODE(_02811_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07169__A0 (.DIODE(\u_pinmux_reg.reg_25[1] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07169__A1 (.DIODE(_02792_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07173__A0 (.DIODE(\u_pinmux_reg.reg_25[3] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07175__A (.DIODE(_02811_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07176__A0 (.DIODE(\u_pinmux_reg.reg_25[4] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07178__A1 (.DIODE(_02801_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07180__A0 (.DIODE(\u_pinmux_reg.reg_25[6] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07180__A1 (.DIODE(_02803_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07182__A0 (.DIODE(\u_pinmux_reg.reg_25[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07184__A (.DIODE(_02807_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07184__B (.DIODE(_02809_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -13927,12 +14061,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07186__A0 (.DIODE(\u_pinmux_reg.reg_24[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07186__S (.DIODE(_02823_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07188__A1 (.DIODE(_02792_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07188__S (.DIODE(_02823_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07190__S (.DIODE(_02823_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07192__S (.DIODE(_02823_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -13942,32 +14086,17 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07195__S (.DIODE(_02828_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07197__A1 (.DIODE(_02801_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07197__S (.DIODE(_02828_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07199__A1 (.DIODE(_02803_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07199__S (.DIODE(_02828_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07203__A (.DIODE(_02807_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07201__S (.DIODE(_02828_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07203__B (.DIODE(_02809_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -13982,7 +14111,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07207__A1 (.DIODE(_02792_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07205__S (.DIODE(_02834_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07207__S (.DIODE(_02834_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07209__S (.DIODE(_02834_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07211__S (.DIODE(_02834_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -13992,12 +14136,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07216__A1 (.DIODE(_02801_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07218__A1 (.DIODE(_02803_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07218__A1 (.DIODE(_02803_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07222__A (.DIODE(net47),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07224__A (.DIODE(_02807_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07224__B (.DIODE(_02809_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -14007,22 +14161,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07226__A1 (.DIODE(_02845_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07226__A0 (.DIODE(\u_pinmux_reg.reg_22[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07229__A (.DIODE(_02849_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07228__A (.DIODE(net58),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07230__A1 (.DIODE(_02850_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07230__A0 (.DIODE(\u_pinmux_reg.reg_22[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07234__A1 (.DIODE(_02853_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07232__A (.DIODE(net69),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07234__A0 (.DIODE(\u_pinmux_reg.reg_22[2] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -14032,22 +14191,57 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07238__A1 (.DIODE(_02856_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07238__A0 (.DIODE(\u_pinmux_reg.reg_22[3] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07243__A1 (.DIODE(_02859_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07240__A (.DIODE(net73),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07247__A1 (.DIODE(_02863_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07241__A (.DIODE(_02858_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07251__A1 (.DIODE(_02866_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07243__A0 (.DIODE(\u_pinmux_reg.reg_22[4] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07245__A (.DIODE(net74),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07246__A (.DIODE(_02862_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07247__A0 (.DIODE(\u_pinmux_reg.reg_22[5] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07249__A (.DIODE(net75),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07250__A (.DIODE(_02865_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07251__A0 (.DIODE(\u_pinmux_reg.reg_22[6] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07253__A (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -14057,7 +14251,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07255__A1 (.DIODE(_02869_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07255__A0 (.DIODE(\u_pinmux_reg.reg_22[7] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07257__A (.DIODE(_02748_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -14072,172 +14271,92 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07261__A1 (.DIODE(_02845_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07263__A0 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07263__A1 (.DIODE(_02850_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07265__A0 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[2] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07265__A1 (.DIODE(_02853_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07267__A0 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[3] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07267__A1 (.DIODE(_02856_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07270__A0 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[4] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07270__A1 (.DIODE(_02859_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07272__A0 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[5] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07272__A1 (.DIODE(_02863_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07274__A0 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[6] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07274__A1 (.DIODE(_02866_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07276__A0 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[7] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07276__A1 (.DIODE(_02869_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07278__C (.DIODE(_02354_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07279__A (.DIODE(_02884_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07280__A0 (.DIODE(\u_pinmux_reg.cfg_pwm4_low[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07280__A1 (.DIODE(_02845_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07282__A0 (.DIODE(\u_pinmux_reg.cfg_pwm4_low[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07282__A1 (.DIODE(_02850_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07284__A0 (.DIODE(\u_pinmux_reg.cfg_pwm4_low[2] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07284__A1 (.DIODE(_02853_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07286__A0 (.DIODE(\u_pinmux_reg.cfg_pwm4_low[3] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07286__A1 (.DIODE(_02856_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07288__A (.DIODE(_02884_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07289__A0 (.DIODE(\u_pinmux_reg.cfg_pwm4_low[4] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07289__A1 (.DIODE(_02859_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07291__A0 (.DIODE(\u_pinmux_reg.cfg_pwm4_low[5] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07291__A1 (.DIODE(_02863_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07293__A0 (.DIODE(\u_pinmux_reg.cfg_pwm4_low[6] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07293__A1 (.DIODE(_02866_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07295__A0 (.DIODE(\u_pinmux_reg.cfg_pwm4_low[7] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07295__A1 (.DIODE(_02869_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07298__A (.DIODE(_02748_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07299__A (.DIODE(_02896_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -14252,32 +14371,17 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07301__A0 (.DIODE(_02895_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07301__A1 (.DIODE(\u_pinmux_reg.cfg_pulse_1us[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07303__A (.DIODE(_02849_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07304__A0 (.DIODE(_02900_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07304__A1 (.DIODE(\u_pinmux_reg.cfg_pulse_1us[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07307__A0 (.DIODE(_02902_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07307__A1 (.DIODE(\u_pinmux_reg.cfg_pulse_1us[2] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -14287,121 +14391,76 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07312__A (.DIODE(_02858_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07313__A (.DIODE(_02897_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07316__A (.DIODE(_02862_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07319__A (.DIODE(_02865_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07322__A (.DIODE(_02868_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07323__A0 (.DIODE(_02913_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07323__A1 (.DIODE(\u_pinmux_reg.cfg_pulse_1us[7] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07325__C (.DIODE(_02229_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07326__A (.DIODE(_02915_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07327__A0 (.DIODE(\u_pinmux_reg.cfg_pwm2_low[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07327__A1 (.DIODE(_02845_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07329__A0 (.DIODE(\u_pinmux_reg.cfg_pwm2_low[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07329__A1 (.DIODE(_02850_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07331__A0 (.DIODE(\u_pinmux_reg.cfg_pwm2_low[2] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07331__A1 (.DIODE(_02853_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07333__A0 (.DIODE(\u_pinmux_reg.cfg_pwm2_low[3] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07333__A1 (.DIODE(_02856_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07335__A (.DIODE(_02915_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07336__A0 (.DIODE(\u_pinmux_reg.cfg_pwm2_low[4] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07336__A1 (.DIODE(_02859_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07338__A0 (.DIODE(\u_pinmux_reg.cfg_pwm2_low[5] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07338__A1 (.DIODE(_02863_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07340__A0 (.DIODE(\u_pinmux_reg.cfg_pwm2_low[6] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07340__A1 (.DIODE(_02866_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07342__A0 (.DIODE(\u_pinmux_reg.cfg_pwm2_low[7] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07342__A1 (.DIODE(_02869_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07345__C (.DIODE(_02185_),
.VGND(vssd1),
.VNB(vssd1),
@@ -14422,11 +14481,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07349__A (.DIODE(_02849_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07350__A0 (.DIODE(\u_pinmux_reg.cfg_pwm1_low[1] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -14457,6 +14511,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07358__A (.DIODE(_02858_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07359__A (.DIODE(_02927_),
.VGND(vssd1),
.VNB(vssd1),
@@ -14472,7 +14531,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07360__S (.DIODE(_02937_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07362__A (.DIODE(_02862_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -14487,7 +14546,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07363__S (.DIODE(_02937_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07365__A (.DIODE(_02865_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -14502,11 +14561,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07366__S (.DIODE(_02937_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07368__A (.DIODE(_02868_),
.VGND(vssd1),
.VNB(vssd1),
@@ -14522,31 +14576,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07369__S (.DIODE(_02937_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07371__A (.DIODE(net42),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07373__A (.DIODE(_02705_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07374__A (.DIODE(_02945_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07374__B (.DIODE(_02946_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07374__C (.DIODE(_02947_),
.VGND(vssd1),
.VNB(vssd1),
@@ -14612,11 +14646,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07385__S (.DIODE(_02954_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07387__A0 (.DIODE(\u_pinmux_reg.cfg_pwm0_low[5] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -14627,11 +14656,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07387__S (.DIODE(_02954_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07389__A0 (.DIODE(\u_pinmux_reg.cfg_pwm0_low[6] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -14642,11 +14666,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07389__S (.DIODE(_02954_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07391__A0 (.DIODE(\u_pinmux_reg.cfg_pwm0_low[7] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -14657,17 +14676,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07391__S (.DIODE(_02954_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07394__A (.DIODE(_02959_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07396__A (.DIODE(_02945_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07396__B (.DIODE(_02946_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07395__A (.DIODE(_02960_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -14677,11 +14691,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07398__A0 (.DIODE(\u_pinmux_reg.reg_15[0] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07398__A1 (.DIODE(_02926_),
.VGND(vssd1),
.VNB(vssd1),
@@ -14722,31 +14731,16 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07417__A (.DIODE(_02974_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07418__A (.DIODE(_02975_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07419__A (.DIODE(_02945_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07419__B (.DIODE(_02946_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07419__C (.DIODE(_02976_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07420__A (.DIODE(_02977_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07421__A0 (.DIODE(_01198_),
.VGND(vssd1),
.VNB(vssd1),
@@ -14757,11 +14751,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07421__S (.DIODE(_02978_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07423__A0 (.DIODE(_01195_),
.VGND(vssd1),
.VNB(vssd1),
@@ -14772,11 +14761,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07423__S (.DIODE(_02978_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07425__A0 (.DIODE(_01194_),
.VGND(vssd1),
.VNB(vssd1),
@@ -14787,11 +14771,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07425__S (.DIODE(_02978_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07427__A0 (.DIODE(_01192_),
.VGND(vssd1),
.VNB(vssd1),
@@ -14802,7 +14781,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07427__S (.DIODE(_02978_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07429__A (.DIODE(_02977_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -14817,11 +14796,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07430__S (.DIODE(_02983_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07432__A0 (.DIODE(_01099_),
.VGND(vssd1),
.VNB(vssd1),
@@ -14832,11 +14806,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07432__S (.DIODE(_02983_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07434__A0 (.DIODE(\u_pinmux_reg.cfg_multi_func_sel[6] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -14847,11 +14816,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07434__S (.DIODE(_02983_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07436__A0 (.DIODE(\u_pinmux_reg.cfg_multi_func_sel[7] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -14862,31 +14826,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07436__S (.DIODE(_02983_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07440__A (.DIODE(_02989_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07441__A (.DIODE(_02990_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07442__A (.DIODE(_02945_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07442__B (.DIODE(_02946_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07442__C (.DIODE(_02991_),
.VGND(vssd1),
.VNB(vssd1),
@@ -14902,11 +14846,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07446__A (.DIODE(_02849_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07447__A1 (.DIODE(_02995_),
.VGND(vssd1),
.VNB(vssd1),
@@ -14922,6 +14861,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07455__A (.DIODE(_02858_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07456__A (.DIODE(_02992_),
.VGND(vssd1),
.VNB(vssd1),
@@ -14932,7 +14876,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07457__S (.DIODE(_03002_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07459__A (.DIODE(_02862_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -14942,7 +14886,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07460__S (.DIODE(_03002_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07462__A (.DIODE(_02865_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -14952,11 +14896,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07463__S (.DIODE(_03002_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07465__A (.DIODE(_02868_),
.VGND(vssd1),
.VNB(vssd1),
@@ -14967,7 +14906,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07466__S (.DIODE(_03002_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07470__B (.DIODE(_03011_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07471__A (.DIODE(_02896_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -14977,41 +14921,16 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07472__A (.DIODE(_03013_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07473__A0 (.DIODE(_02895_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07475__A0 (.DIODE(_02900_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07477__A0 (.DIODE(_02902_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07481__A (.DIODE(_03013_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07488__A0 (.DIODE(_02913_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07490__A (.DIODE(net42),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07493__A (.DIODE(_03026_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07495__C (.DIODE(_03028_),
.VGND(vssd1),
.VNB(vssd1),
@@ -15092,7 +15011,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07514__A (.DIODE(_01582_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07515__A (.DIODE(_02896_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -15102,37 +15021,17 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07516__A (.DIODE(_03041_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07517__A0 (.DIODE(_03040_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07517__A1 (.DIODE(\u_pinmux_reg.cfg_glb_ctrl[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07519__A (.DIODE(_01593_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07520__A0 (.DIODE(_03044_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07522__A (.DIODE(_01596_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07523__A0 (.DIODE(_03046_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07520__A1 (.DIODE(\u_pinmux_reg.cfg_glb_ctrl[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -15142,11 +15041,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07525__A (.DIODE(_01599_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07526__A0 (.DIODE(_03048_),
.VGND(vssd1),
.VNB(vssd1),
@@ -15157,31 +15051,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07528__A (.DIODE(_01602_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07529__A (.DIODE(_03041_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07530__A0 (.DIODE(_03050_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07530__A1 (.DIODE(\u_pinmux_reg.cfg_glb_ctrl[4] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07532__A (.DIODE(_01606_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07533__A0 (.DIODE(_03053_),
.VGND(vssd1),
.VNB(vssd1),
@@ -15192,22 +15066,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07535__A (.DIODE(_01609_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07536__A0 (.DIODE(_03055_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07538__A (.DIODE(_01612_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07539__A0 (.DIODE(_03057_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07541__A (.DIODE(_02896_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -15217,31 +15076,16 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07543__A0 (.DIODE(_03040_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07545__A0 (.DIODE(_03044_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07547__A0 (.DIODE(_03046_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07549__A0 (.DIODE(_03048_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07552__A0 (.DIODE(_03050_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07552__A1 (.DIODE(\u_pinmux_reg.cfg_gpio_out_type[4] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -15252,16 +15096,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07556__A0 (.DIODE(_03055_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07558__A0 (.DIODE(_03057_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07560__B (.DIODE(_01778_),
.VGND(vssd1),
.VNB(vssd1),
@@ -15277,11 +15111,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07562__A (.DIODE(_01323_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07562__B (.DIODE(_03071_),
.VGND(vssd1),
.VNB(vssd1),
@@ -15292,12 +15121,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07565__A3 (.DIODE(\u_gpio_intr.gpio_prev_indata[19] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07564__C (.DIODE(\u_gpio_intr.cfg_gpio_posedge_int_sel[19] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07566__A1 (.DIODE(_01323_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07565__A3 (.DIODE(\u_gpio_intr.gpio_prev_indata[19] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -15337,7 +15166,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07571__B2 (.DIODE(\u_pinmux_reg.u_reg_9.gen_bit_reg[29].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07572__A (.DIODE(_01852_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -15347,6 +15176,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07574__A (.DIODE(_01353_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07574__B (.DIODE(_03071_),
.VGND(vssd1),
.VNB(vssd1),
@@ -15357,12 +15191,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07576__C (.DIODE(\u_gpio_intr.cfg_gpio_posedge_int_sel[31] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07577__A3 (.DIODE(\u_gpio_intr.gpio_prev_indata[31] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07577__A3 (.DIODE(\u_gpio_intr.gpio_prev_indata[31] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07578__A1 (.DIODE(_01353_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -15422,16 +15256,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07592__A (.DIODE(_02913_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07593__A1 (.DIODE(_02913_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07593__A2 (.DIODE(_03093_),
.VGND(vssd1),
.VNB(vssd1),
@@ -15442,27 +15266,47 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07595__A (.DIODE(_01266_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07596__A (.DIODE(\u_gpio_intr.cfg_gpio_data_in[8] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07597__A_N (.DIODE(\u_gpio_intr.gpio_prev_indata[8] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07597__B (.DIODE(\u_gpio_intr.cfg_gpio_data_in[8] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07597__C (.DIODE(\u_gpio_intr.cfg_gpio_posedge_int_sel[8] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07598__A3 (.DIODE(\u_gpio_intr.gpio_prev_indata[8] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07599__A1 (.DIODE(_01266_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07599__A2 (.DIODE(_03081_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07600__A (.DIODE(_02895_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07601__A1 (.DIODE(_02895_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07599__B2 (.DIODE(\u_pinmux_reg.u_reg_9.gen_bit_reg[8].u_bit_reg.data_out ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -15472,6 +15316,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07602__A (.DIODE(_01291_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07604__A_N (.DIODE(\u_gpio_intr.gpio_prev_indata[10] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -15487,6 +15336,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07606__A1 (.DIODE(_01291_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07606__A2 (.DIODE(_03081_),
.VGND(vssd1),
.VNB(vssd1),
@@ -15527,6 +15381,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07615__C (.DIODE(\u_gpio_intr.cfg_gpio_posedge_int_sel[12] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07616__A3 (.DIODE(\u_gpio_intr.gpio_prev_indata[12] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -15537,21 +15396,41 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07617__B2 (.DIODE(\u_pinmux_reg.u_reg_9.gen_bit_reg[12].u_bit_reg.data_out ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07620__A_N (.DIODE(\u_gpio_intr.gpio_prev_indata[13] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07620__C (.DIODE(\u_gpio_intr.cfg_gpio_posedge_int_sel[13] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07621__A3 (.DIODE(\u_gpio_intr.gpio_prev_indata[13] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07622__B2 (.DIODE(\u_pinmux_reg.u_reg_9.gen_bit_reg[13].u_bit_reg.data_out ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07625__A_N (.DIODE(\u_gpio_intr.gpio_prev_indata[14] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07625__C (.DIODE(\u_gpio_intr.cfg_gpio_posedge_int_sel[14] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07626__A3 (.DIODE(\u_gpio_intr.gpio_prev_indata[14] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -15562,16 +15441,41 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07628__A (.DIODE(_01307_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07629__A (.DIODE(\u_gpio_intr.cfg_gpio_data_in[15] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07630__A_N (.DIODE(\u_gpio_intr.gpio_prev_indata[15] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07630__B (.DIODE(\u_gpio_intr.cfg_gpio_data_in[15] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07630__C (.DIODE(\u_gpio_intr.cfg_gpio_posedge_int_sel[15] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07631__A3 (.DIODE(\u_gpio_intr.gpio_prev_indata[15] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07632__A1 (.DIODE(_01307_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07632__B2 (.DIODE(\u_pinmux_reg.u_reg_9.gen_bit_reg[15].u_bit_reg.data_out ),
.VGND(vssd1),
.VNB(vssd1),
@@ -15592,11 +15496,21 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07637__A_N (.DIODE(\u_gpio_intr.gpio_prev_indata[16] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07637__C (.DIODE(\u_gpio_intr.cfg_gpio_posedge_int_sel[16] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07638__A3 (.DIODE(\u_gpio_intr.gpio_prev_indata[16] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07639__A2 (.DIODE(_03127_),
.VGND(vssd1),
.VNB(vssd1),
@@ -15617,6 +15531,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07642__C (.DIODE(\u_gpio_intr.cfg_gpio_posedge_int_sel[17] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07643__A3 (.DIODE(\u_gpio_intr.gpio_prev_indata[17] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -15637,6 +15556,21 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07647__A_N (.DIODE(\u_gpio_intr.gpio_prev_indata[18] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07647__C (.DIODE(\u_gpio_intr.cfg_gpio_posedge_int_sel[18] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07648__A3 (.DIODE(\u_gpio_intr.gpio_prev_indata[18] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07649__A2 (.DIODE(_03127_),
.VGND(vssd1),
.VNB(vssd1),
@@ -15647,16 +15581,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07650__A (.DIODE(_02900_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07651__A1 (.DIODE(_02900_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07651__A2 (.DIODE(_03093_),
.VGND(vssd1),
.VNB(vssd1),
@@ -15667,12 +15591,17 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07654__A_N (.DIODE(\u_gpio_intr.gpio_prev_indata[20] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07653__A (.DIODE(\u_gpio_intr.cfg_gpio_data_in[20] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07655__A3 (.DIODE(\u_gpio_intr.gpio_prev_indata[20] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07654__B (.DIODE(\u_gpio_intr.cfg_gpio_data_in[20] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07654__C (.DIODE(\u_gpio_intr.cfg_gpio_posedge_int_sel[20] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -15682,6 +15611,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07656__B2 (.DIODE(\u_pinmux_reg.u_reg_9.gen_bit_reg[20].u_bit_reg.data_out ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07657__A (.DIODE(_03080_),
.VGND(vssd1),
.VNB(vssd1),
@@ -15692,16 +15626,66 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07659__B (.DIODE(_03147_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07660__A (.DIODE(\u_gpio_intr.cfg_gpio_data_in[21] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07661__B (.DIODE(\u_gpio_intr.cfg_gpio_data_in[21] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07661__C (.DIODE(\u_gpio_intr.cfg_gpio_posedge_int_sel[21] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07662__A1 (.DIODE(\u_gpio_intr.cfg_gpio_negedge_int_sel[21] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07663__A2 (.DIODE(_03146_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07663__B2 (.DIODE(\u_pinmux_reg.u_reg_9.gen_bit_reg[21].u_bit_reg.data_out ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07664__B (.DIODE(_03147_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07666__A_N (.DIODE(\u_gpio_intr.gpio_prev_indata[22] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07666__C (.DIODE(\u_gpio_intr.cfg_gpio_posedge_int_sel[22] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07667__A3 (.DIODE(\u_gpio_intr.gpio_prev_indata[22] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07668__A2 (.DIODE(_03146_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07668__B2 (.DIODE(\u_pinmux_reg.u_reg_9.gen_bit_reg[22].u_bit_reg.data_out ),
.VGND(vssd1),
.VNB(vssd1),
@@ -15722,17 +15706,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07673__A_N (.DIODE(\u_gpio_intr.gpio_prev_indata[24] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07673__C (.DIODE(\u_gpio_intr.cfg_gpio_posedge_int_sel[24] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07674__A3 (.DIODE(\u_gpio_intr.gpio_prev_indata[24] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07671__B (.DIODE(_03147_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -15742,11 +15716,21 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07675__A2 (.DIODE(_03146_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07676__A (.DIODE(_01340_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07676__B (.DIODE(_03147_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07678__A_N (.DIODE(\u_gpio_intr.gpio_prev_indata[25] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -15762,6 +15746,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07680__A2 (.DIODE(_03146_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07681__A (.DIODE(_01853_),
.VGND(vssd1),
.VNB(vssd1),
@@ -15782,21 +15771,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07685__A_N (.DIODE(\u_gpio_intr.gpio_prev_indata[26] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07685__C (.DIODE(\u_gpio_intr.cfg_gpio_posedge_int_sel[26] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07686__A3 (.DIODE(\u_gpio_intr.gpio_prev_indata[26] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07687__A1 (.DIODE(_01342_),
.VGND(vssd1),
.VNB(vssd1),
@@ -15812,32 +15791,17 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07688__A (.DIODE(_01344_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07688__B (.DIODE(_03166_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07689__A (.DIODE(\u_gpio_intr.cfg_gpio_data_in[27] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07690__A_N (.DIODE(\u_gpio_intr.gpio_prev_indata[27] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07690__B (.DIODE(\u_gpio_intr.cfg_gpio_data_in[27] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07690__C (.DIODE(\u_gpio_intr.cfg_gpio_posedge_int_sel[27] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07691__A3 (.DIODE(\u_gpio_intr.gpio_prev_indata[27] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07692__A1 (.DIODE(_01344_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -15852,6 +15816,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07693__A (.DIODE(_01346_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07693__B (.DIODE(_03166_),
.VGND(vssd1),
.VNB(vssd1),
@@ -15867,6 +15836,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07697__A1 (.DIODE(_01346_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07697__A2 (.DIODE(_03165_),
.VGND(vssd1),
.VNB(vssd1),
@@ -15877,16 +15851,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07698__A (.DIODE(_02902_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07699__A1 (.DIODE(_02902_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07699__A2 (.DIODE(_01854_),
.VGND(vssd1),
.VNB(vssd1),
@@ -15897,6 +15861,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07700__A (.DIODE(_01351_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07700__B (.DIODE(_03166_),
.VGND(vssd1),
.VNB(vssd1),
@@ -15912,12 +15881,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07704__A2 (.DIODE(_03165_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07704__A1 (.DIODE(_01351_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07704__B2 (.DIODE(\u_pinmux_reg.u_reg_9.gen_bit_reg[30].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07704__A2 (.DIODE(_03165_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -15932,11 +15901,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07707__A0 (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[0] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07707__A1 (.DIODE(_02988_),
.VGND(vssd1),
.VNB(vssd1),
@@ -15967,47 +15931,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07716__S (.DIODE(_03190_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07718__A1 (.DIODE(_03004_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07718__S (.DIODE(_03190_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07720__A1 (.DIODE(_03006_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07720__S (.DIODE(_03190_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07722__A1 (.DIODE(_03008_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07722__S (.DIODE(_03190_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07724__B (.DIODE(_01570_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07726__A0 (.DIODE(_03040_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07725__A (.DIODE(_03195_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -16017,17 +15961,17 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07730__A0 (.DIODE(_03046_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07732__A0 (.DIODE(_03048_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07735__A0 (.DIODE(_03050_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07734__A (.DIODE(_03195_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07735__A1 (.DIODE(\u_gpio_intr.cfg_gpio_out_data[4] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -16037,12 +15981,17 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07739__A0 (.DIODE(_03055_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07737__A1 (.DIODE(\u_gpio_intr.cfg_gpio_out_data[5] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07741__A0 (.DIODE(_03057_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07739__A1 (.DIODE(\u_gpio_intr.cfg_gpio_out_data[6] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07741__A1 (.DIODE(\u_gpio_intr.cfg_gpio_out_data[7] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -16067,7 +16016,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07745__S (.DIODE(_03207_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07747__A0 (.DIODE(\u_pinmux_reg.cfg_timer2[17] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -16077,22 +16026,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07747__S (.DIODE(_03207_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07749__A0 (.DIODE(_01758_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07749__A1 (.DIODE(_02573_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07749__S (.DIODE(_03207_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07751__S (.DIODE(_03207_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07754__A1 (.DIODE(_02593_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -16102,6 +16041,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07756__A1 (.DIODE(_02596_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07756__S (.DIODE(_03212_),
.VGND(vssd1),
.VNB(vssd1),
@@ -16117,12 +16061,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07764__A (.DIODE(_03218_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07765__B (.DIODE(_01840_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07765__C (.DIODE(_03219_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07767__A (.DIODE(_01307_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07768__A2 (.DIODE(\u_pinmux_reg.u_reg_9.gen_bit_reg[8].u_bit_reg.data_out ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -16147,6 +16101,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07769__B2 (.DIODE(\u_pinmux_reg.u_reg_9.gen_bit_reg[13].u_bit_reg.data_out ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07771__A1 (.DIODE(\u_pinmux_reg.cfg_gpio_int_mask[10] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -16177,16 +16136,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07772__B1 (.DIODE(\u_pinmux_reg.cfg_gpio_int_mask[29] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07772__B2 (.DIODE(\u_pinmux_reg.u_reg_9.gen_bit_reg[29].u_bit_reg.data_out ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07773__A1 (.DIODE(\u_pinmux_reg.cfg_gpio_int_mask[7] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -16217,6 +16166,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07774__B2 (.DIODE(\u_pinmux_reg.u_reg_9.gen_bit_reg[12].u_bit_reg.data_out ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07775__A2 (.DIODE(\u_pinmux_reg.u_reg_9.gen_bit_reg[22].u_bit_reg.data_out ),
.VGND(vssd1),
.VNB(vssd1),
@@ -16237,11 +16191,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07776__D (.DIODE(_03230_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07778__B1 (.DIODE(\u_pinmux_reg.cfg_gpio_int_mask[4] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -16267,22 +16216,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07780__B1 (.DIODE(\u_pinmux_reg.cfg_gpio_int_mask[30] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07779__B2 (.DIODE(\u_pinmux_reg.u_reg_9.gen_bit_reg[20].u_bit_reg.data_out ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07780__B2 (.DIODE(\u_pinmux_reg.u_reg_9.gen_bit_reg[30].u_bit_reg.data_out ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07780__C1 (.DIODE(_03234_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07781__A1 (.DIODE(\u_pinmux_reg.cfg_gpio_int_mask[17] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07780__A2 (.DIODE(\u_pinmux_reg.u_reg_9.gen_bit_reg[21].u_bit_reg.data_out ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -16302,11 +16241,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07782__A1 (.DIODE(\u_pinmux_reg.cfg_gpio_int_mask[16] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07782__A2 (.DIODE(\u_pinmux_reg.u_reg_9.gen_bit_reg[16].u_bit_reg.data_out ),
.VGND(vssd1),
.VNB(vssd1),
@@ -16332,11 +16266,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07784__B1 (.DIODE(\u_pinmux_reg.cfg_gpio_int_mask[18] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07784__B2 (.DIODE(\u_pinmux_reg.u_reg_9.gen_bit_reg[18].u_bit_reg.data_out ),
.VGND(vssd1),
.VNB(vssd1),
@@ -16347,12 +16276,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07786__A1 (.DIODE(\u_pinmux_reg.u_reg4_be1.gen_bit_reg[7].u_bit_reg.data_out ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07786__A2 (.DIODE(_03222_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07786__C1 (.DIODE(_03240_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07787__B (.DIODE(_01359_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07787__C (.DIODE(_03219_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07788__A1 (.DIODE(\u_pinmux_reg.u_reg4_be2.gen_bit_reg[0].u_bit_reg.data_out ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -16362,11 +16306,26 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07790__A1 (.DIODE(\u_pinmux_reg.u_reg4_be2.gen_bit_reg[1].u_bit_reg.data_out ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07790__S (.DIODE(_03241_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07792__A0 (.DIODE(_01748_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07792__A1 (.DIODE(\u_pinmux_reg.u_reg4_be2.gen_bit_reg[2].u_bit_reg.data_out ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07792__S (.DIODE(_03241_),
.VGND(vssd1),
.VNB(vssd1),
@@ -16377,17 +16336,17 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07794__C (.DIODE(_03219_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07795__A (.DIODE(_03245_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07796__A0 (.DIODE(_03057_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07796__A1 (.DIODE(\u_pinmux_reg.u_reg4_be0.gen_bit_reg[7].u_bit_reg.data_out ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07799__A (.DIODE(_01266_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -16397,17 +16356,47 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07800__A3 (.DIODE(\u_timer_0.timer_hit ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07800__B2 (.DIODE(\u_pinmux_reg.u_reg4_be1.gen_bit_reg[0].u_bit_reg.data_out ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07802__A (.DIODE(_01288_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07803__A1 (.DIODE(\u_pinmux_reg.cfg_timer1[16] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07803__A3 (.DIODE(\u_timer_1.timer_hit ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07805__A (.DIODE(_01291_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07806__A1 (.DIODE(\u_pinmux_reg.cfg_timer2[16] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07809__B1 (.DIODE(net36),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07808__B (.DIODE(_03254_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07809__A1 (.DIODE(\u_pinmux_reg.u_reg4_be1.gen_bit_reg[3].u_bit_reg.data_out ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -16417,6 +16406,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07810__B (.DIODE(_03254_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07811__A1 (.DIODE(\u_pinmux_reg.u_reg4_be1.gen_bit_reg[4].u_bit_reg.data_out ),
.VGND(vssd1),
.VNB(vssd1),
@@ -16427,6 +16421,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07812__B (.DIODE(_03254_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07813__A1 (.DIODE(\u_pinmux_reg.cfg_multi_func_sel[6] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -16437,6 +16436,16 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07813__B2 (.DIODE(\u_pinmux_reg.u_reg4_be1.gen_bit_reg[5].u_bit_reg.data_out ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07814__B (.DIODE(_03254_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07815__A1 (.DIODE(\u_pinmux_reg.cfg_multi_func_sel[7] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -16447,12 +16456,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07816__A (.DIODE(_01675_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07818__A1 (.DIODE(_03260_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07815__B2 (.DIODE(\u_pinmux_reg.u_reg4_be1.gen_bit_reg[6].u_bit_reg.data_out ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -16462,31 +16466,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07819__A (.DIODE(_03261_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07820__A (.DIODE(_02200_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07821__A (.DIODE(_03263_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07822__A (.DIODE(_02975_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07823__A (.DIODE(_03265_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07824__A1 (.DIODE(\u_pinmux_reg.cfg_pwm2_low[0] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -16497,12 +16481,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07824__B2 (.DIODE(_01197_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07824__B1 (.DIODE(_03266_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07825__A1 (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07824__B2 (.DIODE(_01197_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -16522,7 +16506,17 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07828__B2 (.DIODE(\u_pinmux_reg.reg_15[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07828__A1 (.DIODE(\u_pinmux_reg.reg_27[0] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07828__A2 (.DIODE(_01427_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07828__B1 (.DIODE(_02960_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -16547,11 +16541,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07833__A (.DIODE(_02328_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07835__A (.DIODE(_03277_),
.VGND(vssd1),
.VNB(vssd1),
@@ -16572,11 +16561,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07836__B2 (.DIODE(\u_pinmux_reg.cfg_timer2[0] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07837__A2 (.DIODE(_03275_),
.VGND(vssd1),
.VNB(vssd1),
@@ -16627,12 +16611,17 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07843__A2 (.DIODE(_03281_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07843__B1 (.DIODE(_02507_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07843__B2 (.DIODE(\u_pinmux_reg.reg_24[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07843__C1 (.DIODE(_03285_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -16642,6 +16631,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07848__A (.DIODE(_02418_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07849__A1 (.DIODE(\u_pinmux_reg.cfg_timer1[0] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -16657,12 +16651,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07850__A2 (.DIODE(_03288_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07849__B2 (.DIODE(\u_pinmux_reg.reg_22[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07850__B1 (.DIODE(_03290_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07850__A2 (.DIODE(_03288_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -16672,21 +16666,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07854__A (.DIODE(_03296_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07855__A (.DIODE(_03297_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07856__B (.DIODE(_02198_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07857__A (.DIODE(_03299_),
.VGND(vssd1),
.VNB(vssd1),
@@ -16702,11 +16686,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07863__A (.DIODE(_03305_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07864__A1 (.DIODE(\u_pinmux_reg.cfg_pwm0_low[0] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -16737,7 +16716,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07866__A (.DIODE(_02246_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07867__A (.DIODE(_03309_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -16752,6 +16731,16 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07870__A (.DIODE(_03218_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07871__A1 (.DIODE(\u_pinmux_reg.reg_3[0] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07871__A2 (.DIODE(_02751_),
.VGND(vssd1),
.VNB(vssd1),
@@ -16762,6 +16751,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07871__B2 (.DIODE(\u_pinmux_reg.u_reg4_be0.gen_bit_reg[0].u_bit_reg.data_out ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07872__A1 (.DIODE(\u_pinmux_reg.cfg_pwm3_low[0] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -16777,22 +16771,17 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07874__A (.DIODE(_01184_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07875__A (.DIODE(_03317_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07876__B2 (.DIODE(net195),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07877__A (.DIODE(_03274_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07877__A (.DIODE(_03274_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07878__A (.DIODE(_02417_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -16817,6 +16806,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07883__A1 (.DIODE(\u_pinmux_reg.cfg_timer1[1] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07883__B1 (.DIODE(_03324_),
.VGND(vssd1),
.VNB(vssd1),
@@ -16832,7 +16826,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07884__C1 (.DIODE(_03325_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07884__B2 (.DIODE(\u_pinmux_reg.reg_22[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -16847,6 +16841,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07887__A1 (.DIODE(\u_pinmux_reg.cfg_glb_ctrl[1] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07887__A2 (.DIODE(_03283_),
.VGND(vssd1),
.VNB(vssd1),
@@ -16857,11 +16856,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07887__B2 (.DIODE(\u_pinmux_reg.cfg_timer2[1] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07888__A1 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[1] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -16872,7 +16866,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07888__B2 (.DIODE(\u_pinmux_reg.reg_25[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07888__C1 (.DIODE(_03329_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -16902,6 +16896,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07894__A (.DIODE(_02959_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07896__A2 (.DIODE(_03335_),
.VGND(vssd1),
.VNB(vssd1),
@@ -16937,11 +16936,21 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07900__A (.DIODE(_02184_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07901__A (.DIODE(_03342_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07903__A (.DIODE(_02704_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07904__A2 (.DIODE(_03344_),
.VGND(vssd1),
.VNB(vssd1),
@@ -16967,11 +16976,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07906__C (.DIODE(_03339_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07907__A (.DIODE(_02329_),
.VGND(vssd1),
.VNB(vssd1),
@@ -16982,11 +16986,31 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07909__A (.DIODE(_02776_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07910__A1 (.DIODE(\u_pinmux_reg.reg_27[1] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07910__B1 (.DIODE(_03266_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07910__B2 (.DIODE(\u_pinmux_reg.cfg_multi_func_sel[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07911__A (.DIODE(_01183_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07912__A (.DIODE(_03353_),
.VGND(vssd1),
.VNB(vssd1),
@@ -17032,6 +17056,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07924__B2 (.DIODE(\u_pinmux_reg.u_reg4_be0.gen_bit_reg[1].u_bit_reg.data_out ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07925__A1 (.DIODE(\u_pinmux_reg.cfg_pwm3_low[1] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -17042,6 +17071,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07928__A1 (.DIODE(\u_pinmux_reg.cfg_timer1[2] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07928__B1 (.DIODE(_03324_),
.VGND(vssd1),
.VNB(vssd1),
@@ -17057,6 +17091,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07929__B2 (.DIODE(\u_pinmux_reg.reg_22[2] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07930__A (.DIODE(_02547_),
.VGND(vssd1),
.VNB(vssd1),
@@ -17072,12 +17111,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07932__B2 (.DIODE(\u_pinmux_reg.cfg_timer2[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07933__A1 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[2] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07933__A1 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07933__C1 (.DIODE(_03373_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -17107,6 +17146,16 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07937__B2 (.DIODE(\u_pinmux_reg.cfg_pulse_1us[2] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07938__A (.DIODE(_01657_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07939__B2 (.DIODE(\u_pinmux_reg.cfg_pwm0_low[2] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -17132,6 +17181,16 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07942__A1 (.DIODE(\u_pinmux_reg.reg_27[2] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07942__B1 (.DIODE(_03266_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07942__B2 (.DIODE(_01193_),
.VGND(vssd1),
.VNB(vssd1),
@@ -17147,6 +17206,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07947__A (.DIODE(_03218_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07948__A1 (.DIODE(\u_pinmux_reg.cfg_gpio_int_mask[2] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -17157,6 +17221,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07948__B2 (.DIODE(\u_pinmux_reg.u_reg4_be0.gen_bit_reg[2].u_bit_reg.data_out ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07949__A1 (.DIODE(\u_pinmux_reg.cfg_pwm3_low[2] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -17167,17 +17236,17 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07949__C1 (.DIODE(_03389_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07950__A1 (.DIODE(\u_pinmux_reg.u_reg_9.gen_bit_reg[2].u_bit_reg.data_out ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07951__B2 (.DIODE(net217),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07950__B1 (.DIODE(_03387_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07951__B2 (.DIODE(net231),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -17192,27 +17261,37 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07954__A1 (.DIODE(\u_pinmux_reg.cfg_timer1[3] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07954__B2 (.DIODE(\u_pinmux_reg.cfg_timer0[3] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07955__B2 (.DIODE(\u_pinmux_reg.reg_22[3] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07956__A (.DIODE(_01588_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07957__A1 (.DIODE(\u_pinmux_reg.cfg_glb_ctrl[3] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07957__B2 (.DIODE(\u_pinmux_reg.cfg_timer2[3] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07958__A1 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[3] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07958__B2 (.DIODE(\u_pinmux_reg.reg_25[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07958__C1 (.DIODE(_03397_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -17222,6 +17301,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07960__A (.DIODE(_02960_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07961__A1 (.DIODE(\u_pinmux_reg.cfg_pwm2_low[3] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -17242,11 +17326,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07962__A2 (.DIODE(_03399_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07962__B1 (.DIODE(_03400_),
.VGND(vssd1),
.VNB(vssd1),
@@ -17272,6 +17351,16 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07967__A1 (.DIODE(\u_pinmux_reg.reg_27[3] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07967__B1 (.DIODE(_03266_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07967__B2 (.DIODE(_01192_),
.VGND(vssd1),
.VNB(vssd1),
@@ -17282,11 +17371,31 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07969__A (.DIODE(_03309_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07970__A (.DIODE(_03011_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07971__A (.DIODE(_03026_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07973__A2 (.DIODE(_03412_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07973__B2 (.DIODE(\u_pinmux_reg.u_reg4_be0.gen_bit_reg[3].u_bit_reg.data_out ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07974__A1 (.DIODE(\u_pinmux_reg.cfg_pwm3_low[3] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -17337,12 +17446,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07985__A1 (.DIODE(\u_pinmux_reg.cfg_glb_ctrl[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07983__A1 (.DIODE(\u_pinmux_reg.cfg_timer1[4] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07985__B2 (.DIODE(\u_pinmux_reg.cfg_timer2[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07983__B2 (.DIODE(\u_pinmux_reg.cfg_timer0[4] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07984__A1 (.DIODE(\u_gpio_intr.cfg_gpio_out_data[4] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07984__B2 (.DIODE(\u_pinmux_reg.reg_22[4] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07985__A1 (.DIODE(\u_pinmux_reg.cfg_glb_ctrl[4] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -17352,7 +17476,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07986__B2 (.DIODE(\u_pinmux_reg.reg_25[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07986__C1 (.DIODE(_03424_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -17372,12 +17496,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07989__B1 (.DIODE(_03426_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07990__A (.DIODE(_03284_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07990__A (.DIODE(_03284_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07991__A (.DIODE(_02704_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -17407,11 +17531,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07995__B1 (.DIODE(_03433_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07995__B2 (.DIODE(\u_pinmux_reg.cfg_pwm1_low[4] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -17437,21 +17556,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07997__C (.DIODE(_03428_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07997__D (.DIODE(_03435_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07998__A (.DIODE(_02974_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07999__A (.DIODE(_03437_),
.VGND(vssd1),
.VNB(vssd1),
@@ -17477,6 +17581,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08003__A (.DIODE(_03309_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08005__A1 (.DIODE(\u_pinmux_reg.cfg_gpio_int_mask[4] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -17492,6 +17601,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08005__B2 (.DIODE(\u_pinmux_reg.u_reg4_be0.gen_bit_reg[4].u_bit_reg.data_out ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08006__A1 (.DIODE(\u_pinmux_reg.cfg_pwm3_low[4] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -17532,12 +17646,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08013__A (.DIODE(_03450_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08014__A (.DIODE(_01363_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08014__A (.DIODE(_01363_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08015__A1 (.DIODE(\u_pinmux_reg.cfg_timer1[5] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -17552,11 +17666,21 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08016__A1 (.DIODE(\u_gpio_intr.cfg_gpio_out_data[5] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08016__A2 (.DIODE(_03451_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08016__B2 (.DIODE(\u_pinmux_reg.reg_22[5] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08017__A (.DIODE(_03269_),
.VGND(vssd1),
.VNB(vssd1),
@@ -17567,11 +17691,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08018__B2 (.DIODE(\u_pinmux_reg.cfg_timer2[5] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08019__A1 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[5] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -17582,6 +17701,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08019__C1 (.DIODE(_03456_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08021__B1 (.DIODE(_03458_),
.VGND(vssd1),
.VNB(vssd1),
@@ -17592,11 +17716,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08022__B1 (.DIODE(_03426_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08023__B2 (.DIODE(\u_pinmux_reg.cfg_pwm0_low[5] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -17622,6 +17741,16 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08027__A (.DIODE(_02776_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08028__A1 (.DIODE(\u_pinmux_reg.reg_27[5] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08028__A2 (.DIODE(_03465_),
.VGND(vssd1),
.VNB(vssd1),
@@ -17642,16 +17771,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08030__A2 (.DIODE(_03464_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08030__C1 (.DIODE(_03467_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08032__A2 (.DIODE(_03385_),
.VGND(vssd1),
.VNB(vssd1),
@@ -17672,6 +17791,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08035__B2 (.DIODE(\u_pinmux_reg.u_reg4_be0.gen_bit_reg[5].u_bit_reg.data_out ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08036__A1 (.DIODE(\u_pinmux_reg.cfg_pwm3_low[5] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -17682,12 +17806,17 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08036__C1 (.DIODE(_03473_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08037__A1 (.DIODE(\u_pinmux_reg.u_reg_9.gen_bit_reg[5].u_bit_reg.data_out ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08037__A1 (.DIODE(\u_pinmux_reg.u_reg_9.gen_bit_reg[5].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08037__B1 (.DIODE(_03470_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08039__A1 (.DIODE(\u_pinmux_reg.cfg_timer1[6] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -17702,11 +17831,21 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08040__A1 (.DIODE(\u_gpio_intr.cfg_gpio_out_data[6] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08040__A2 (.DIODE(_03451_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08040__B2 (.DIODE(\u_pinmux_reg.reg_22[6] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08041__A (.DIODE(_02547_),
.VGND(vssd1),
.VNB(vssd1),
@@ -17737,7 +17876,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08044__B2 (.DIODE(\u_pinmux_reg.reg_25[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08044__C1 (.DIODE(_03480_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -17752,11 +17891,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08046__B1 (.DIODE(_03426_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08047__B1 (.DIODE(_03430_),
.VGND(vssd1),
.VNB(vssd1),
@@ -17772,12 +17906,17 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08048__B1 (.DIODE(_03281_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08048__B2 (.DIODE(\u_pinmux_reg.cfg_pwm1_low[6] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08049__C (.DIODE(_03483_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08050__A1 (.DIODE(\u_pinmux_reg.reg_27[6] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -17797,16 +17936,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08051__A2 (.DIODE(_03464_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08051__C1 (.DIODE(_03467_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08053__A2 (.DIODE(_03385_),
.VGND(vssd1),
.VNB(vssd1),
@@ -17822,6 +17951,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08054__B2 (.DIODE(\u_pinmux_reg.u_reg4_be0.gen_bit_reg[6].u_bit_reg.data_out ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08055__A1 (.DIODE(\u_pinmux_reg.cfg_pwm3_low[6] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -17832,12 +17966,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08055__C1 (.DIODE(_03491_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08056__A1 (.DIODE(\u_pinmux_reg.u_reg_9.gen_bit_reg[6].u_bit_reg.data_out ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08056__A1 (.DIODE(\u_pinmux_reg.u_reg_9.gen_bit_reg[6].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08056__B1 (.DIODE(_03490_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -17872,6 +18006,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08061__A1 (.DIODE(\u_gpio_intr.cfg_gpio_out_data[7] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08061__A2 (.DIODE(_03451_),
.VGND(vssd1),
.VNB(vssd1),
@@ -17882,6 +18021,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08061__B2 (.DIODE(\u_pinmux_reg.reg_22[7] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08062__A (.DIODE(_03277_),
.VGND(vssd1),
.VNB(vssd1),
@@ -17917,7 +18061,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08064__B2 (.DIODE(\u_pinmux_reg.reg_25[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08064__C1 (.DIODE(_03499_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -17942,12 +18086,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08066__B1 (.DIODE(_03426_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08066__B2 (.DIODE(\u_pinmux_reg.cfg_pulse_1us[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08067__A (.DIODE(_01657_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -17972,11 +18111,21 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08069__B1 (.DIODE(_03281_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08069__B2 (.DIODE(\u_pinmux_reg.cfg_pwm1_low[7] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08070__C (.DIODE(_03502_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08071__A2 (.DIODE(_03465_),
.VGND(vssd1),
.VNB(vssd1),
@@ -17992,16 +18141,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08072__A2 (.DIODE(_03464_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08072__C1 (.DIODE(_03467_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08073__A1 (.DIODE(\u_pinmux_reg.cfg_gpio_int_mask[7] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -18017,6 +18156,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08073__B2 (.DIODE(\u_pinmux_reg.u_reg4_be0.gen_bit_reg[7].u_bit_reg.data_out ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08074__A1 (.DIODE(\u_pinmux_reg.cfg_pwm3_low[7] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -18057,26 +18201,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08080__A (.DIODE(_02705_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08081__A (.DIODE(_03515_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08083__A1 (.DIODE(\u_pinmux_reg.cfg_timer2[8] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08083__A2 (.DIODE(_01838_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08083__B1 (.DIODE(_03517_),
.VGND(vssd1),
.VNB(vssd1),
@@ -18087,11 +18216,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08084__A2 (.DIODE(_03514_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08084__B1 (.DIODE(_03516_),
.VGND(vssd1),
.VNB(vssd1),
@@ -18102,6 +18226,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08084__C1 (.DIODE(_03518_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08085__A (.DIODE(_03283_),
.VGND(vssd1),
.VNB(vssd1),
@@ -18112,12 +18241,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08088__A (.DIODE(_01566_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08087__A (.DIODE(_02367_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08088__C (.DIODE(_03522_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08088__B (.DIODE(\u_gpio_intr.cfg_gpio_data_in[8] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -18142,26 +18271,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08092__A (.DIODE(_03450_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08093__A (.DIODE(_02974_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08094__A1 (.DIODE(\u_gpio_intr.cfg_gpio_out_data[8] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08094__B2 (.DIODE(\u_pinmux_reg.cfg_multi_func_sel[8] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08095__A1 (.DIODE(\u_pinmux_reg.cfg_pulse_1us[8] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -18202,31 +18316,21 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08101__B1 (.DIODE(_03535_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08101__B2 (.DIODE(\u_pinmux_reg.cfg_pwm4_low[8] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08102__A2 (.DIODE(_03531_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08102__B1 (.DIODE(_03533_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08102__C1 (.DIODE(_03536_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08103__D (.DIODE(_03537_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08104__A (.DIODE(_02764_),
.VGND(vssd1),
.VNB(vssd1),
@@ -18237,7 +18341,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08107__A2 (.DIODE(_03541_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08106__A (.DIODE(_01426_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08107__A1 (.DIODE(\u_pinmux_reg.reg_27[8] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -18302,12 +18411,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08112__B1 (.DIODE(_03219_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08113__A (.DIODE(_02989_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08112__B2 (.DIODE(\u_pinmux_reg.u_reg4_be1.gen_bit_reg[0].u_bit_reg.data_out ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -18317,6 +18421,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08115__A (.DIODE(_03011_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08117__A2 (.DIODE(_01659_),
.VGND(vssd1),
.VNB(vssd1),
@@ -18337,12 +18446,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08119__A (.DIODE(_03296_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08120__A1 (.DIODE(\u_pinmux_reg.reg_23[8] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08118__B2 (.DIODE(\u_gpio_intr.cfg_gpio_posedge_int_sel[8] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -18357,31 +18461,31 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08120__B2 (.DIODE(\u_pinmux_reg.u_reg_9.gen_bit_reg[8].u_bit_reg.data_out ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08120__C1 (.DIODE(_03262_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08121__C (.DIODE(_03553_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08122__B1 (.DIODE(_03538_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08122__B2 (.DIODE(_03556_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08123__A1 (.DIODE(\u_pinmux_reg.cfg_timer2[9] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08123__A2 (.DIODE(_01838_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08123__B1 (.DIODE(_02585_),
.VGND(vssd1),
.VNB(vssd1),
@@ -18392,11 +18496,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08124__A2 (.DIODE(_03514_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08124__B1 (.DIODE(_03516_),
.VGND(vssd1),
.VNB(vssd1),
@@ -18407,12 +18506,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08125__A (.DIODE(_03260_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08126__C (.DIODE(_03522_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08124__C1 (.DIODE(_03557_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -18462,17 +18556,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08131__B1 (.DIODE(_03535_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08132__A2 (.DIODE(_03531_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08132__B1 (.DIODE(_03533_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08131__B2 (.DIODE(\u_pinmux_reg.cfg_pwm4_low[9] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -18482,7 +18566,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08134__A2 (.DIODE(_03541_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08133__A (.DIODE(_03558_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08133__D (.DIODE(_03566_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -18547,6 +18636,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08138__B1 (.DIODE(_03570_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08139__A2 (.DIODE(_01659_),
.VGND(vssd1),
.VNB(vssd1),
@@ -18572,7 +18666,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08142__A1 (.DIODE(\u_pinmux_reg.reg_23[9] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08140__B2 (.DIODE(\u_gpio_intr.cfg_gpio_posedge_int_sel[9] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -18597,21 +18691,16 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08143__C (.DIODE(_03574_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08144__B1 (.DIODE(_03567_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08144__B2 (.DIODE(_03577_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08145__A (.DIODE(_02246_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08146__A2 (.DIODE(_03291_),
.VGND(vssd1),
.VNB(vssd1),
@@ -18632,11 +18721,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08147__A2 (.DIODE(_03514_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08147__B2 (.DIODE(\u_pinmux_reg.cfg_pwm3_low[10] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -18647,21 +18731,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08151__A (.DIODE(\u_pinmux_reg.reg_3[10] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08151__B (.DIODE(_03582_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08151__C (.DIODE(_03583_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08152__A1 (.DIODE(\u_pinmux_reg.reg_2[10] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -18672,21 +18741,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08153__A (.DIODE(_03305_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08155__A2 (.DIODE(_02810_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08155__B2 (.DIODE(\u_pinmux_reg.cfg_multi_func_sel[10] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08156__A1 (.DIODE(\u_gpio_intr.cfg_gpio_posedge_int_sel[10] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -18707,7 +18766,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08157__A (.DIODE(_03535_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08158__A (.DIODE(_02184_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -18722,6 +18781,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08160__B2 (.DIODE(\u_pinmux_reg.reg_26[10] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08161__A1 (.DIODE(\u_pinmux_reg.cfg_timer1[10] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -18742,6 +18806,16 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08162__A (.DIODE(_03580_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08162__D (.DIODE(_03594_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08163__A (.DIODE(_02369_),
.VGND(vssd1),
.VNB(vssd1),
@@ -18767,17 +18841,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08165__B1 (.DIODE(_03570_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08166__A (.DIODE(_01427_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08167__A (.DIODE(_03599_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08168__A (.DIODE(_01838_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08169__B (.DIODE(_03522_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08170__A (.DIODE(_03602_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -18787,11 +18866,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08172__A1 (.DIODE(\u_pinmux_reg.cfg_glb_ctrl[10] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08172__A2 (.DIODE(_01282_),
.VGND(vssd1),
.VNB(vssd1),
@@ -18812,7 +18886,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08174__A (.DIODE(_03450_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08173__B2 (.DIODE(\u_pinmux_reg.cfg_timer2[10] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -18827,11 +18901,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08177__A (.DIODE(_02989_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08178__A2 (.DIODE(_01659_),
.VGND(vssd1),
.VNB(vssd1),
@@ -18887,12 +18956,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08183__B1 (.DIODE(_03595_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08182__D (.DIODE(_03614_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08183__B2 (.DIODE(_03615_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08183__B1 (.DIODE(_03595_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -18907,17 +18976,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08187__A (.DIODE(_02705_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08188__A2 (.DIODE(_03618_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08188__B1 (.DIODE(_03619_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08186__A (.DIODE(_02418_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -18947,11 +19006,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08191__C (.DIODE(_02290_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08192__A1 (.DIODE(\u_pinmux_reg.reg_3[11] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -18992,6 +19046,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08195__B2 (.DIODE(\u_pinmux_reg.reg_26[11] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08196__A1 (.DIODE(\u_pinmux_reg.cfg_timer1[11] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -19012,6 +19071,16 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08197__A (.DIODE(_03621_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08197__D (.DIODE(_03628_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08198__A1 (.DIODE(\u_pinmux_reg.cfg_timer0[11] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -19027,17 +19096,17 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08199__A1 (.DIODE(\u_pinmux_reg.reg_23[11] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08199__A2 (.DIODE(_03575_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08201__A1 (.DIODE(\u_pinmux_reg.cfg_glb_ctrl[11] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08199__B1 (.DIODE(_03570_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08199__B2 (.DIODE(\u_pinmux_reg.u_reg4_be1.gen_bit_reg[3].u_bit_reg.data_out ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -19062,6 +19131,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08202__B2 (.DIODE(\u_pinmux_reg.cfg_timer2[11] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08204__A2 (.DIODE(_03635_),
.VGND(vssd1),
.VNB(vssd1),
@@ -19087,11 +19161,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08206__A (.DIODE(_03296_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08207__A1 (.DIODE(\u_pinmux_reg.cfg_pwm2_low[11] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -19112,26 +19181,21 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08208__D (.DIODE(_03639_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08209__A1 (.DIODE(net211),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08209__B1 (.DIODE(_03629_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08209__B2 (.DIODE(_03640_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08210__A2 (.DIODE(_03618_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08210__B1 (.DIODE(_03619_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08210__B2 (.DIODE(\u_pinmux_reg.cfg_pwm0_low[12] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -19147,16 +19211,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08212__C (.DIODE(_02290_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08213__A1 (.DIODE(\u_pinmux_reg.reg_3[12] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08213__A2 (.DIODE(_03622_),
.VGND(vssd1),
.VNB(vssd1),
@@ -19167,7 +19221,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08215__A (.DIODE(_02975_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08218__A1 (.DIODE(\u_gpio_intr.cfg_gpio_posedge_int_sel[12] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -19182,21 +19236,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08219__A2 (.DIODE(_03645_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08219__B1 (.DIODE(_03646_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08220__A (.DIODE(_02140_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08221__A1 (.DIODE(\u_pinmux_reg.cfg_pwm1_low[12] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -19227,6 +19271,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08223__D (.DIODE(_03653_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08225__A (.DIODE(_03443_),
.VGND(vssd1),
.VNB(vssd1),
@@ -19302,6 +19351,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08234__A1 (.DIODE(\u_gpio_intr.cfg_gpio_out_data[12] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08234__B1 (.DIODE(_03664_),
.VGND(vssd1),
.VNB(vssd1),
@@ -19332,6 +19386,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08237__B2 (.DIODE(\u_pinmux_reg.u_reg_9.gen_bit_reg[12].u_bit_reg.data_out ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08237__C1 (.DIODE(_03613_),
.VGND(vssd1),
.VNB(vssd1),
@@ -19377,22 +19436,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08241__C1 (.DIODE(_03670_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08242__C (.DIODE(_03522_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08243__A2 (.DIODE(_03520_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08243__B1 (.DIODE(_03645_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08244__A1 (.DIODE(\u_gpio_intr.cfg_gpio_out_data[13] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -19402,7 +19451,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08244__B1 (.DIODE(_02975_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08245__A1 (.DIODE(\u_pinmux_reg.reg_2[13] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -19422,7 +19471,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08246__B1 (.DIODE(_03535_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08246__B2 (.DIODE(\u_pinmux_reg.cfg_pwm4_low[13] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -19432,17 +19481,17 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08247__B1 (.DIODE(_03533_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08247__C1 (.DIODE(_03676_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08249__A2 (.DIODE(_03541_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08248__A (.DIODE(_03671_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08248__D (.DIODE(_03677_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -19472,6 +19521,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08251__A1 (.DIODE(\u_pinmux_reg.reg_3[13] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08251__A2 (.DIODE(_03340_),
.VGND(vssd1),
.VNB(vssd1),
@@ -19487,11 +19541,31 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08252__A1 (.DIODE(\u_pinmux_reg.cfg_timer1[13] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08252__A2 (.DIODE(_03544_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08252__B1 (.DIODE(_03570_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08252__B2 (.DIODE(\u_pinmux_reg.u_reg4_be1.gen_bit_reg[5].u_bit_reg.data_out ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08253__A1 (.DIODE(\u_pinmux_reg.cfg_gpio_out_type[13] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08253__A2 (.DIODE(_03635_),
.VGND(vssd1),
.VNB(vssd1),
@@ -19517,17 +19591,17 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08254__B2 (.DIODE(\u_gpio_intr.cfg_gpio_posedge_int_sel[13] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08255__A2 (.DIODE(_03575_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08255__C1 (.DIODE(_03261_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08257__A1 (.DIODE(net199),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08255__B2 (.DIODE(\u_pinmux_reg.u_reg_9.gen_bit_reg[13].u_bit_reg.data_out ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -19537,12 +19611,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08258__A2 (.DIODE(_03618_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08258__B1 (.DIODE(_03619_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08257__B2 (.DIODE(_03686_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -19572,12 +19641,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08261__B (.DIODE(_03582_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08261__C (.DIODE(_03583_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08262__A1 (.DIODE(\u_pinmux_reg.reg_2[14] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -19592,6 +19656,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08263__A1 (.DIODE(\u_gpio_intr.cfg_gpio_posedge_int_sel[14] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08263__A2 (.DIODE(_03647_),
.VGND(vssd1),
.VNB(vssd1),
@@ -19607,21 +19676,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08264__A2 (.DIODE(_03645_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08264__B1 (.DIODE(_03646_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08265__A (.DIODE(_02328_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08266__A1 (.DIODE(\u_pinmux_reg.cfg_pwm1_low[14] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -19652,6 +19711,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08268__D (.DIODE(_03696_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08269__A (.DIODE(_02369_),
.VGND(vssd1),
.VNB(vssd1),
@@ -19687,7 +19751,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08272__A (.DIODE(_03541_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08271__B2 (.DIODE(\u_pinmux_reg.u_reg4_be1.gen_bit_reg[6].u_bit_reg.data_out ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08273__A (.DIODE(_03602_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -19717,6 +19786,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08275__C1 (.DIODE(_03703_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08276__A1 (.DIODE(\u_gpio_intr.cfg_gpio_out_data[14] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -19767,12 +19841,17 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08282__A (.DIODE(_02947_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08281__B1 (.DIODE(_03697_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08283__A2 (.DIODE(_03531_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08281__B2 (.DIODE(_03709_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08282__A (.DIODE(_02947_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -19807,11 +19886,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08285__A1 (.DIODE(\u_pinmux_reg.reg_3[15] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08285__A2 (.DIODE(_03622_),
.VGND(vssd1),
.VNB(vssd1),
@@ -19827,6 +19901,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08287__A1 (.DIODE(\u_gpio_intr.cfg_gpio_posedge_int_sel[15] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08287__A2 (.DIODE(_03647_),
.VGND(vssd1),
.VNB(vssd1),
@@ -19852,11 +19931,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08289__A2 (.DIODE(_02140_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08289__B1 (.DIODE(_02354_),
.VGND(vssd1),
.VNB(vssd1),
@@ -19882,6 +19956,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08290__C1 (.DIODE(_03717_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08291__A2 (.DIODE(_03416_),
.VGND(vssd1),
.VNB(vssd1),
@@ -19917,6 +19996,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08294__B2 (.DIODE(\u_pinmux_reg.u_reg4_be1.gen_bit_reg[7].u_bit_reg.data_out ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08295__A2 (.DIODE(_03283_),
.VGND(vssd1),
.VNB(vssd1),
@@ -19927,6 +20011,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08295__B2 (.DIODE(\u_gpio_intr.cfg_gpio_data_in[15] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08296__A2 (.DIODE(_01428_),
.VGND(vssd1),
.VNB(vssd1),
@@ -19942,6 +20031,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08297__A1 (.DIODE(\u_pinmux_reg.cfg_gpio_out_type[15] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08297__A2 (.DIODE(_03344_),
.VGND(vssd1),
.VNB(vssd1),
@@ -19977,12 +20071,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08300__B1 (.DIODE(_03727_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08300__B2 (.DIODE(\u_pinmux_reg.u_reg_9.gen_bit_reg[15].u_bit_reg.data_out ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08300__B2 (.DIODE(\u_pinmux_reg.u_reg_9.gen_bit_reg[15].u_bit_reg.data_out ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08300__C1 (.DIODE(_01183_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -20002,16 +20096,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08303__A2 (.DIODE(_03719_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08303__A3 (.DIODE(_03729_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08303__B1 (.DIODE(_03730_),
.VGND(vssd1),
.VNB(vssd1),
@@ -20022,16 +20106,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08305__A2 (.DIODE(_03618_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08305__B1 (.DIODE(_03619_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08305__B2 (.DIODE(\u_pinmux_reg.cfg_pwm0_high[0] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -20042,12 +20116,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08306__A2 (.DIODE(_03399_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08306__B1 (.DIODE(_02247_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08306__B1 (.DIODE(_02247_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08306__B2 (.DIODE(\u_pinmux_reg.cfg_pwm3_high[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -20057,12 +20131,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08307__B (.DIODE(_03582_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08307__C (.DIODE(_03583_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08308__A1 (.DIODE(\u_pinmux_reg.reg_2[16] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -20092,16 +20161,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08309__B2 (.DIODE(\u_pinmux_reg.cfg_gpio_int_mask[16] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08310__A2 (.DIODE(_03290_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08310__B1 (.DIODE(_03646_),
.VGND(vssd1),
.VNB(vssd1),
@@ -20112,11 +20171,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08311__A2 (.DIODE(_03433_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08311__B1 (.DIODE(_03517_),
.VGND(vssd1),
.VNB(vssd1),
@@ -20142,6 +20196,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08313__A (.DIODE(_03733_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08314__A1 (.DIODE(\u_pinmux_reg.cfg_timer0[16] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -20172,6 +20231,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08315__B2 (.DIODE(\u_pinmux_reg.u_reg4_be2.gen_bit_reg[0].u_bit_reg.data_out ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08316__A2 (.DIODE(_03632_),
.VGND(vssd1),
.VNB(vssd1),
@@ -20232,11 +20296,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08320__B1 (.DIODE(_03727_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08320__B2 (.DIODE(\u_pinmux_reg.u_reg_9.gen_bit_reg[16].u_bit_reg.data_out ),
.VGND(vssd1),
.VNB(vssd1),
@@ -20247,22 +20306,17 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08322__A1 (.DIODE(net202),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08322__A2 (.DIODE(_03731_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08324__A2 (.DIODE(_03749_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08323__A (.DIODE(_02417_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08324__B1 (.DIODE(_03515_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08324__A2 (.DIODE(_03749_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -20277,22 +20331,17 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08325__A2 (.DIODE(_03399_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08325__B1 (.DIODE(_02247_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08326__A (.DIODE(_03260_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08325__B2 (.DIODE(\u_pinmux_reg.cfg_pwm3_high[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08326__C (.DIODE(_02290_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08326__B (.DIODE(\u_pinmux_reg.reg_2[17] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -20312,7 +20361,17 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08329__A2 (.DIODE(_03305_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08327__B2 (.DIODE(\u_pinmux_reg.reg_24[17] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08328__A (.DIODE(_03026_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08329__A1 (.DIODE(\u_gpio_intr.cfg_gpio_posedge_int_sel[17] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -20322,16 +20381,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08329__B2 (.DIODE(\u_pinmux_reg.cfg_gpio_int_mask[17] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08330__A2 (.DIODE(_03290_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08330__B1 (.DIODE(_03646_),
.VGND(vssd1),
.VNB(vssd1),
@@ -20342,11 +20391,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08331__A2 (.DIODE(_03433_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08331__B1 (.DIODE(_03517_),
.VGND(vssd1),
.VNB(vssd1),
@@ -20372,6 +20416,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08333__A (.DIODE(_03751_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08334__A1 (.DIODE(\u_pinmux_reg.cfg_timer0[17] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -20402,6 +20451,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08335__B2 (.DIODE(\u_pinmux_reg.u_reg4_be2.gen_bit_reg[1].u_bit_reg.data_out ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08336__A2 (.DIODE(_03599_),
.VGND(vssd1),
.VNB(vssd1),
@@ -20412,6 +20466,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08336__B2 (.DIODE(\u_pinmux_reg.cfg_timer2[17] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08337__A2 (.DIODE(_03660_),
.VGND(vssd1),
.VNB(vssd1),
@@ -20457,11 +20516,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08340__B1 (.DIODE(_03727_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08340__B2 (.DIODE(\u_pinmux_reg.u_reg_9.gen_bit_reg[17].u_bit_reg.data_out ),
.VGND(vssd1),
.VNB(vssd1),
@@ -20472,6 +20526,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08342__A1 (.DIODE(net217),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08342__A2 (.DIODE(_03731_),
.VGND(vssd1),
.VNB(vssd1),
@@ -20482,11 +20541,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08343__B1 (.DIODE(_03515_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08343__B2 (.DIODE(\u_pinmux_reg.cfg_pwm0_high[2] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -20497,27 +20551,17 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08344__A2 (.DIODE(_03399_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08344__B1 (.DIODE(_02247_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08345__A (.DIODE(_03260_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08344__B2 (.DIODE(\u_pinmux_reg.cfg_pwm3_high[2] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08345__C (.DIODE(_02198_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08346__A1 (.DIODE(\u_pinmux_reg.reg_3[18] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08345__B (.DIODE(\u_pinmux_reg.reg_2[18] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -20532,7 +20576,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08347__A2 (.DIODE(_03305_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08346__C1 (.DIODE(_03770_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08347__A1 (.DIODE(\u_gpio_intr.cfg_gpio_posedge_int_sel[18] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -20542,16 +20591,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08347__B2 (.DIODE(\u_pinmux_reg.cfg_gpio_int_mask[18] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08348__A2 (.DIODE(_03290_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08348__B1 (.DIODE(_02976_),
.VGND(vssd1),
.VNB(vssd1),
@@ -20562,11 +20601,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08349__A2 (.DIODE(_03433_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08349__B1 (.DIODE(_03517_),
.VGND(vssd1),
.VNB(vssd1),
@@ -20592,6 +20626,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08351__A (.DIODE(_03769_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08352__A1 (.DIODE(_01475_),
.VGND(vssd1),
.VNB(vssd1),
@@ -20622,6 +20661,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08353__B2 (.DIODE(\u_pinmux_reg.u_reg4_be2.gen_bit_reg[2].u_bit_reg.data_out ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08354__A2 (.DIODE(_03632_),
.VGND(vssd1),
.VNB(vssd1),
@@ -20642,6 +20686,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08355__B2 (.DIODE(_01758_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08356__A2 (.DIODE(_03284_),
.VGND(vssd1),
.VNB(vssd1),
@@ -20677,11 +20726,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08358__B1 (.DIODE(_03727_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08358__B2 (.DIODE(\u_pinmux_reg.u_reg_9.gen_bit_reg[18].u_bit_reg.data_out ),
.VGND(vssd1),
.VNB(vssd1),
@@ -20727,11 +20771,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08363__A1 (.DIODE(\u_pinmux_reg.cfg_glb_ctrl[19] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08363__A2 (.DIODE(_03660_),
.VGND(vssd1),
.VNB(vssd1),
@@ -20757,6 +20796,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08365__A1 (.DIODE(\u_pinmux_reg.reg_2[19] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08365__A2 (.DIODE(_03301_),
.VGND(vssd1),
.VNB(vssd1),
@@ -20782,21 +20826,16 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08367__A2 (.DIODE(_03531_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08367__B1 (.DIODE(_03533_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08368__A2 (.DIODE(_03661_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08369__A (.DIODE(_01426_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08370__A2 (.DIODE(_03793_),
.VGND(vssd1),
.VNB(vssd1),
@@ -20812,12 +20851,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08371__A1 (.DIODE(\u_pinmux_reg.reg_28[19] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08371__A2 (.DIODE(_01391_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08371__A2 (.DIODE(_01391_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08371__B1 (.DIODE(_03281_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -20827,17 +20866,17 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08372__A1 (.DIODE(\u_pinmux_reg.reg_29[19] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08372__A2 (.DIODE(_02081_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08373__A1 (.DIODE(\u_pinmux_reg.reg_3[19] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08372__B1 (.DIODE(_03218_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08372__B2 (.DIODE(\u_pinmux_reg.u_reg4_be2.gen_bit_reg[3].u_bit_reg.data_out ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -20857,6 +20896,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08374__A1 (.DIODE(\u_pinmux_reg.cfg_gpio_out_type[19] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08374__A2 (.DIODE(_03344_),
.VGND(vssd1),
.VNB(vssd1),
@@ -20877,21 +20921,16 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08375__B2 (.DIODE(\u_gpio_intr.cfg_gpio_posedge_int_sel[19] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08376__A2 (.DIODE(_03288_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08376__B1 (.DIODE(_03296_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08376__C1 (.DIODE(_03261_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08378__B1 (.DIODE(_03730_),
.VGND(vssd1),
.VNB(vssd1),
@@ -20907,6 +20946,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08379__B2 (.DIODE(\u_pinmux_reg.reg_28[20] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08380__A2 (.DIODE(_03451_),
.VGND(vssd1),
.VNB(vssd1),
@@ -20947,6 +20991,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08384__A (.DIODE(_02960_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08385__A (.DIODE(_03299_),
.VGND(vssd1),
.VNB(vssd1),
@@ -20962,7 +21011,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08386__B1 (.DIODE(_03808_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08386__B2 (.DIODE(\u_pinmux_reg.reg_2[20] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08387__A1 (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[20] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -20977,6 +21031,16 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08387__B2 (.DIODE(\u_pinmux_reg.reg_15[20] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08388__A (.DIODE(_02184_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08389__A2 (.DIODE(_03432_),
.VGND(vssd1),
.VNB(vssd1),
@@ -20992,6 +21056,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08390__A1 (.DIODE(\u_pinmux_reg.cfg_gpio_out_type[20] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08390__A2 (.DIODE(_03429_),
.VGND(vssd1),
.VNB(vssd1),
@@ -21027,12 +21096,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08394__A2 (.DIODE(_03464_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08394__C1 (.DIODE(_03467_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08394__B1 (.DIODE(_03816_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -21042,6 +21106,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08396__A (.DIODE(_03011_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08398__A (.DIODE(_03603_),
.VGND(vssd1),
.VNB(vssd1),
@@ -21052,7 +21121,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08399__B1 (.DIODE(_03821_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08399__B2 (.DIODE(\u_gpio_intr.cfg_gpio_data_in[20] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -21067,7 +21136,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08400__B1 (.DIODE(_03819_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08400__B2 (.DIODE(\u_gpio_intr.cfg_gpio_posedge_int_sel[20] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08401__A (.DIODE(_02461_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -21092,11 +21166,26 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08404__A1 (.DIODE(\u_pinmux_reg.u_reg_9.gen_bit_reg[20].u_bit_reg.data_out ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08404__A2 (.DIODE(_03818_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08405__A1 (.DIODE(_03814_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08405__A3 (.DIODE(_03827_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08405__B1 (.DIODE(_03730_),
.VGND(vssd1),
.VNB(vssd1),
@@ -21142,6 +21231,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08410__A1 (.DIODE(\u_gpio_intr.cfg_gpio_out_data[21] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08410__A2 (.DIODE(_03830_),
.VGND(vssd1),
.VNB(vssd1),
@@ -21227,6 +21321,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08420__B2 (.DIODE(\u_pinmux_reg.cfg_multi_func_sel[21] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08421__A1 (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[21] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -21242,7 +21341,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08423__B1 (.DIODE(_03821_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08423__B2 (.DIODE(\u_gpio_intr.cfg_gpio_data_in[21] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -21262,6 +21361,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08424__B2 (.DIODE(\u_gpio_intr.cfg_gpio_negedge_int_sel[21] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08425__A (.DIODE(_03306_),
.VGND(vssd1),
.VNB(vssd1),
@@ -21272,6 +21376,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08427__A1 (.DIODE(\u_pinmux_reg.reg_2[21] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08427__A2 (.DIODE(_03848_),
.VGND(vssd1),
.VNB(vssd1),
@@ -21297,6 +21406,16 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08428__B2 (.DIODE(\u_gpio_intr.cfg_gpio_posedge_int_sel[21] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08429__A1 (.DIODE(\u_pinmux_reg.u_reg_9.gen_bit_reg[21].u_bit_reg.data_out ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08429__A2 (.DIODE(_03818_),
.VGND(vssd1),
.VNB(vssd1),
@@ -21332,6 +21451,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08433__A1 (.DIODE(\u_pinmux_reg.reg_28[22] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08433__A2 (.DIODE(_01390_),
.VGND(vssd1),
.VNB(vssd1),
@@ -21362,6 +21486,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08435__A2 (.DIODE(_02461_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08435__B1 (.DIODE(_03289_),
.VGND(vssd1),
.VNB(vssd1),
@@ -21402,6 +21531,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08438__C1 (.DIODE(_03858_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08440__A1 (.DIODE(\u_pinmux_reg.cfg_pwm2_high[6] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -21412,6 +21546,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08442__A1 (.DIODE(\u_pinmux_reg.reg_2[22] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08442__A2 (.DIODE(_03848_),
.VGND(vssd1),
.VNB(vssd1),
@@ -21427,7 +21566,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08443__B1 (.DIODE(_03819_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08443__B2 (.DIODE(\u_gpio_intr.cfg_gpio_posedge_int_sel[22] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -21477,26 +21616,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08448__B2 (.DIODE(net209),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08449__A1 (.DIODE(\u_pinmux_reg.cfg_pwm4_high[7] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08449__A2 (.DIODE(_02328_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08449__B1 (.DIODE(_03515_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08449__B2 (.DIODE(\u_pinmux_reg.cfg_pwm0_high[7] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -21512,6 +21636,16 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08450__C1 (.DIODE(_03869_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08451__A1 (.DIODE(\u_pinmux_reg.cfg_gpio_out_type[23] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08451__A2 (.DIODE(_03284_),
.VGND(vssd1),
.VNB(vssd1),
@@ -21527,17 +21661,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08452__B1 (.DIODE(_03645_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08453__A (.DIODE(\u_pinmux_reg.cfg_pwm3_high[7] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08453__B (.DIODE(_03582_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08454__A1 (.DIODE(\u_pinmux_reg.reg_2[23] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -21567,17 +21696,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08456__B1 (.DIODE(_03514_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08458__A (.DIODE(_03554_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08459__A3 (.DIODE(_03583_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08459__B1 (.DIODE(_01183_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -21612,6 +21736,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08461__B2 (.DIODE(\u_gpio_intr.cfg_gpio_posedge_int_sel[23] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08462__A2 (.DIODE(_03660_),
.VGND(vssd1),
.VNB(vssd1),
@@ -21627,11 +21756,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08463__B1 (.DIODE(_03265_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08464__A2 (.DIODE(_02141_),
.VGND(vssd1),
.VNB(vssd1),
@@ -21642,6 +21766,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08464__B2 (.DIODE(\u_pinmux_reg.reg_28[23] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08465__A2 (.DIODE(_03878_),
.VGND(vssd1),
.VNB(vssd1),
@@ -21652,12 +21781,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08467__A (.DIODE(_03450_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08468__A (.DIODE(_01363_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08468__A (.DIODE(_01363_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08469__A2 (.DIODE(_03887_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -21667,7 +21796,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08470__A1 (.DIODE(\u_gpio_intr.cfg_gpio_out_data[24] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08470__A2 (.DIODE(_03886_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -21697,6 +21826,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08474__A2 (.DIODE(_03890_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08474__B1 (.DIODE(_03478_),
.VGND(vssd1),
.VNB(vssd1),
@@ -21717,7 +21851,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08476__B1 (.DIODE(_03808_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08476__B2 (.DIODE(\u_pinmux_reg.reg_2[24] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -21752,6 +21886,16 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08479__B2 (.DIODE(\u_pinmux_reg.cfg_pwm1_high[8] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08480__A2 (.DIODE(_03897_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08480__B1 (.DIODE(_03431_),
.VGND(vssd1),
.VNB(vssd1),
@@ -21792,36 +21936,31 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08486__A2 (.DIODE(_03901_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08486__C1 (.DIODE(_03904_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08487__A (.DIODE(_03309_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08488__A2 (.DIODE(_03820_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08488__B1 (.DIODE(_03821_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08489__A1 (.DIODE(\u_pinmux_reg.cfg_pwm3_high[8] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08489__A2 (.DIODE(_03906_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08489__B1 (.DIODE(_03819_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08489__B2 (.DIODE(\u_gpio_intr.cfg_gpio_posedge_int_sel[24] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08490__A (.DIODE(_03311_),
.VGND(vssd1),
.VNB(vssd1),
@@ -21887,7 +22026,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08496__B2 (.DIODE(\u_pinmux_reg.reg_24[25] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08497__A1 (.DIODE(\u_pinmux_reg.cfg_glb_ctrl[25] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -21897,12 +22036,17 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08498__A2 (.DIODE(_02763_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08497__B1 (.DIODE(_03913_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08499__A1 (.DIODE(\u_gpio_intr.cfg_gpio_out_data[25] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08497__B2 (.DIODE(\u_pinmux_reg.cfg_gpio_out_type[25] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08498__A2 (.DIODE(_02763_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -21922,11 +22066,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08499__C1 (.DIODE(_03916_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08500__A2 (.DIODE(_01364_),
.VGND(vssd1),
.VNB(vssd1),
@@ -21937,16 +22076,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08500__B2 (.DIODE(\u_pinmux_reg.reg_22[25] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08501__A1 (.DIODE(\u_pinmux_reg.reg_23[25] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08501__A2 (.DIODE(_02462_),
.VGND(vssd1),
.VNB(vssd1),
@@ -22012,11 +22141,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08509__B1 (.DIODE(_03819_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08510__A (.DIODE(_03603_),
.VGND(vssd1),
.VNB(vssd1),
@@ -22057,16 +22181,36 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08514__A1 (.DIODE(_03922_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08514__B1 (.DIODE(_03868_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08514__B2 (.DIODE(net226),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08515__A2 (.DIODE(_03887_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08515__B1 (.DIODE(_03495_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08516__A2 (.DIODE(_03886_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08516__B1 (.DIODE(_03494_),
.VGND(vssd1),
.VNB(vssd1),
@@ -22092,6 +22236,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08520__A2 (.DIODE(_03890_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08520__B1 (.DIODE(_03934_),
.VGND(vssd1),
.VNB(vssd1),
@@ -22107,7 +22256,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08521__B1 (.DIODE(_03808_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08521__B2 (.DIODE(\u_pinmux_reg.reg_2[26] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -22147,6 +22296,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08525__A2 (.DIODE(_03897_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08525__B1 (.DIODE(_03940_),
.VGND(vssd1),
.VNB(vssd1),
@@ -22172,6 +22326,16 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08528__A2 (.DIODE(_03901_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08528__C1 (.DIODE(_03904_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08529__A1 (.DIODE(\u_pinmux_reg.cfg_gpio_int_mask[26] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -22182,21 +22346,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08529__B1 (.DIODE(_03821_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08530__A1 (.DIODE(\u_pinmux_reg.cfg_pwm3_high[10] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08530__A2 (.DIODE(_03906_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08530__B1 (.DIODE(_03550_),
.VGND(vssd1),
.VNB(vssd1),
@@ -22232,11 +22386,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08533__C1 (.DIODE(_03949_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08534__A1 (.DIODE(\u_pinmux_reg.u_reg_9.gen_bit_reg[26].u_bit_reg.data_out ),
.VGND(vssd1),
.VNB(vssd1),
@@ -22257,12 +22406,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08536__A (.DIODE(_02418_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08537__A (.DIODE(_03323_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08538__B1 (.DIODE(_03953_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08538__A2 (.DIODE(_03887_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08539__A2 (.DIODE(_03886_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -22282,6 +22441,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08541__A2 (.DIODE(_03890_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08541__B1 (.DIODE(_03934_),
.VGND(vssd1),
.VNB(vssd1),
@@ -22297,7 +22461,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08542__B1 (.DIODE(_03808_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08542__B2 (.DIODE(\u_pinmux_reg.reg_2[27] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -22322,11 +22486,26 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08545__A2 (.DIODE(_03960_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08545__B1 (.DIODE(_03811_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08545__B2 (.DIODE(\u_pinmux_reg.cfg_pwm1_high[11] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08546__A2 (.DIODE(_03897_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08546__B1 (.DIODE(_03940_),
.VGND(vssd1),
.VNB(vssd1),
@@ -22352,6 +22531,16 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08549__A2 (.DIODE(_03901_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08549__C1 (.DIODE(_03904_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08550__A2 (.DIODE(_03356_),
.VGND(vssd1),
.VNB(vssd1),
@@ -22372,11 +22561,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08551__C1 (.DIODE(_03966_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08552__A1 (.DIODE(\u_pinmux_reg.cfg_gpio_int_mask[27] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -22392,11 +22576,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08552__B2 (.DIODE(\u_gpio_intr.cfg_gpio_data_in[27] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08553__A1 (.DIODE(\u_pinmux_reg.cfg_pwm3_high[11] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -22412,11 +22591,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08553__B2 (.DIODE(\u_gpio_intr.cfg_gpio_posedge_int_sel[27] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08554__A1 (.DIODE(\u_pinmux_reg.u_reg_9.gen_bit_reg[27].u_bit_reg.data_out ),
.VGND(vssd1),
.VNB(vssd1),
@@ -22427,11 +22601,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08555__A (.DIODE(_01184_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08556__A1 (.DIODE(_03963_),
.VGND(vssd1),
.VNB(vssd1),
@@ -22442,7 +22611,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08557__B1 (.DIODE(_03953_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08556__B2 (.DIODE(net228),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08557__A2 (.DIODE(_03887_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -22452,6 +22626,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08558__A2 (.DIODE(_03886_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08558__B1 (.DIODE(_03952_),
.VGND(vssd1),
.VNB(vssd1),
@@ -22472,6 +22651,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08561__A2 (.DIODE(_03890_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08561__B1 (.DIODE(_03934_),
.VGND(vssd1),
.VNB(vssd1),
@@ -22512,7 +22696,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08564__A1 (.DIODE(\u_pinmux_reg.reg_3[28] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08564__A2 (.DIODE(_03960_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -22522,7 +22706,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08564__B2 (.DIODE(\u_pinmux_reg.cfg_pwm1_high[12] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08565__A2 (.DIODE(_03897_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -22532,12 +22716,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08565__B2 (.DIODE(\u_pinmux_reg.cfg_pwm0_high[12] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08566__A (.DIODE(_03973_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08566__C (.DIODE(_03978_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -22557,6 +22736,16 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08568__A2 (.DIODE(_03901_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08568__C1 (.DIODE(_03904_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08569__A2 (.DIODE(_03356_),
.VGND(vssd1),
.VNB(vssd1),
@@ -22577,11 +22766,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08570__C1 (.DIODE(_03984_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08571__A1 (.DIODE(\u_pinmux_reg.cfg_gpio_int_mask[28] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -22622,17 +22806,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08574__A1 (.DIODE(_03981_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08574__B1 (.DIODE(_03971_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08574__B2 (.DIODE(net229),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08575__A2 (.DIODE(_02080_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08575__B1 (.DIODE(_03953_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08576__A1 (.DIODE(\u_gpio_intr.cfg_gpio_out_data[29] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -22682,12 +22876,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08580__A1 (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[29] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08579__B2 (.DIODE(\u_pinmux_reg.reg_2[29] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08580__A2 (.DIODE(_01675_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08580__A1 (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[29] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -22697,12 +22891,17 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08581__A2 (.DIODE(_03960_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08581__B1 (.DIODE(_03342_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08581__B2 (.DIODE(\u_pinmux_reg.cfg_pwm1_high[13] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08582__A2 (.DIODE(_03913_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -22712,11 +22911,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08582__B2 (.DIODE(\u_pinmux_reg.cfg_pwm0_high[13] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08584__A2 (.DIODE(_03600_),
.VGND(vssd1),
.VNB(vssd1),
@@ -22762,16 +22956,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08587__C1 (.DIODE(_04000_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08588__A1 (.DIODE(\u_pinmux_reg.cfg_gpio_int_mask[29] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08588__A2 (.DIODE(_03412_),
.VGND(vssd1),
.VNB(vssd1),
@@ -22797,11 +22981,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08590__A1 (.DIODE(\u_pinmux_reg.u_reg_9.gen_bit_reg[29].u_bit_reg.data_out ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08590__A2 (.DIODE(_03878_),
.VGND(vssd1),
.VNB(vssd1),
@@ -22822,11 +23001,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08592__B1 (.DIODE(_03953_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08593__A2 (.DIODE(_03607_),
.VGND(vssd1),
.VNB(vssd1),
@@ -22857,7 +23031,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08596__A2 (.DIODE(_02200_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08596__A1 (.DIODE(\u_pinmux_reg.cfg_pwm2_high[14] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -22877,21 +23051,26 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08597__A2 (.DIODE(_01675_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08597__B1 (.DIODE(_02961_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08598__A2 (.DIODE(_03960_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08598__B1 (.DIODE(_03342_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08599__A2 (.DIODE(_03913_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08599__B1 (.DIODE(_02706_),
.VGND(vssd1),
.VNB(vssd1),
@@ -22922,11 +23101,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08603__A1 (.DIODE(\u_pinmux_reg.cfg_gpio_int_mask[30] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08603__A2 (.DIODE(_03820_),
.VGND(vssd1),
.VNB(vssd1),
@@ -22937,7 +23111,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08604__A2 (.DIODE(_03906_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08604__A1 (.DIODE(\u_pinmux_reg.cfg_pwm3_high[14] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -22967,16 +23141,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08606__C1 (.DIODE(_04018_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08607__A1 (.DIODE(\u_pinmux_reg.u_reg_9.gen_bit_reg[30].u_bit_reg.data_out ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08607__A2 (.DIODE(_03878_),
.VGND(vssd1),
.VNB(vssd1),
@@ -23007,7 +23171,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08609__B2 (.DIODE(\u_pinmux_reg.reg_24[31] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08610__A1 (.DIODE(\u_pinmux_reg.cfg_glb_ctrl[31] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -23017,6 +23181,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08610__B1 (.DIODE(_03913_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08611__A2 (.DIODE(_02763_),
.VGND(vssd1),
.VNB(vssd1),
@@ -23042,11 +23211,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08612__C1 (.DIODE(_04023_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08613__A2 (.DIODE(_01364_),
.VGND(vssd1),
.VNB(vssd1),
@@ -23057,16 +23221,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08613__B2 (.DIODE(\u_pinmux_reg.reg_22[31] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08614__A1 (.DIODE(\u_pinmux_reg.reg_23[31] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08614__A2 (.DIODE(_02462_),
.VGND(vssd1),
.VNB(vssd1),
@@ -23107,6 +23261,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08617__D (.DIODE(_04028_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08618__A1 (.DIODE(\u_pinmux_reg.cfg_pwm2_high[15] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -23137,11 +23296,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08621__A2 (.DIODE(_03906_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08621__B1 (.DIODE(_03549_),
.VGND(vssd1),
.VNB(vssd1),
@@ -23172,11 +23326,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08623__B2 (.DIODE(\u_gpio_intr.cfg_gpio_posedge_int_sel[31] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08624__A1 (.DIODE(\u_pinmux_reg.u_reg_9.gen_bit_reg[31].u_bit_reg.data_out ),
.VGND(vssd1),
.VNB(vssd1),
@@ -23187,17 +23336,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08625__A1 (.DIODE(_04029_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08625__B1 (.DIODE(_01185_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08625__B2 (.DIODE(net219),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08625__B2 (.DIODE(net233),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08626__A0 (.DIODE(_03040_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08626__A1 (.DIODE(\u_pinmux_reg.u_reg4_be0.gen_bit_reg[0].u_bit_reg.data_out ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -23207,7 +23361,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08630__A0 (.DIODE(_03046_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08628__A1 (.DIODE(\u_pinmux_reg.u_reg4_be0.gen_bit_reg[1].u_bit_reg.data_out ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08630__A1 (.DIODE(\u_pinmux_reg.u_reg4_be0.gen_bit_reg[2].u_bit_reg.data_out ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -23222,7 +23381,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08635__A0 (.DIODE(_03050_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08633__A1 (.DIODE(\u_pinmux_reg.u_reg4_be0.gen_bit_reg[3].u_bit_reg.data_out ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08635__A1 (.DIODE(\u_pinmux_reg.u_reg4_be0.gen_bit_reg[4].u_bit_reg.data_out ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -23232,12 +23396,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08639__A0 (.DIODE(_03055_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08637__A1 (.DIODE(\u_pinmux_reg.u_reg4_be0.gen_bit_reg[5].u_bit_reg.data_out ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08641__C (.DIODE(_02140_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08639__A1 (.DIODE(\u_pinmux_reg.u_reg4_be0.gen_bit_reg[6].u_bit_reg.data_out ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -23257,16 +23421,31 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08645__A0 (.DIODE(\u_pinmux_reg.cfg_timer1[1] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08645__A1 (.DIODE(_02995_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08647__A0 (.DIODE(\u_pinmux_reg.cfg_timer1[2] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08647__A1 (.DIODE(_02997_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08649__A0 (.DIODE(\u_pinmux_reg.cfg_timer1[3] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08649__A1 (.DIODE(_02999_),
.VGND(vssd1),
.VNB(vssd1),
@@ -23277,16 +23456,31 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08652__A0 (.DIODE(\u_pinmux_reg.cfg_timer1[4] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08652__A1 (.DIODE(_03001_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08654__A0 (.DIODE(\u_pinmux_reg.cfg_timer1[5] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08654__A1 (.DIODE(_03004_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08656__A0 (.DIODE(\u_pinmux_reg.cfg_timer1[6] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08656__A1 (.DIODE(_03006_),
.VGND(vssd1),
.VNB(vssd1),
@@ -23322,41 +23516,21 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08663__A1 (.DIODE(_01582_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08665__A0 (.DIODE(\u_pinmux_reg.cfg_pwm3_low[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08665__A1 (.DIODE(_01593_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08667__A0 (.DIODE(\u_pinmux_reg.cfg_pwm3_low[2] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08667__A1 (.DIODE(_01596_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08669__A0 (.DIODE(\u_pinmux_reg.cfg_pwm3_low[3] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08669__A1 (.DIODE(_01599_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08671__A (.DIODE(_04057_),
.VGND(vssd1),
.VNB(vssd1),
@@ -23367,37 +23541,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08672__A1 (.DIODE(_01602_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08674__A0 (.DIODE(\u_pinmux_reg.cfg_pwm3_low[5] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08674__A1 (.DIODE(_01606_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08676__A0 (.DIODE(\u_pinmux_reg.cfg_pwm3_low[6] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08676__A1 (.DIODE(_01609_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08678__A0 (.DIODE(\u_pinmux_reg.cfg_pwm3_low[7] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08678__A1 (.DIODE(_01612_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08680__A1 (.DIODE(\u_pinmux_reg.u_reg4_be2.gen_bit_reg[3].u_bit_reg.data_out ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -23407,6 +23566,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08682__A (.DIODE(_01309_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08683__A (.DIODE(_02582_),
.VGND(vssd1),
.VNB(vssd1),
@@ -23417,31 +23581,61 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08683__C (.DIODE(_02776_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08685__S (.DIODE(_04071_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08687__A (.DIODE(_01316_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08688__S (.DIODE(_04071_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08690__A (.DIODE(_01319_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08691__S (.DIODE(_04071_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08693__A (.DIODE(_01322_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08694__S (.DIODE(_04071_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08697__A1 (.DIODE(_02593_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08697__S (.DIODE(_04079_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08699__A1 (.DIODE(_02596_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08699__S (.DIODE(_04079_),
.VGND(vssd1),
.VNB(vssd1),
@@ -23477,41 +23671,21 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08708__S (.DIODE(_04086_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08710__A (.DIODE(net64),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08711__S (.DIODE(_04086_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08713__A (.DIODE(net65),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08714__S (.DIODE(_04086_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08716__A (.DIODE(net66),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08717__S (.DIODE(_04086_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08719__A (.DIODE(net67),
.VGND(vssd1),
.VNB(vssd1),
@@ -23552,17 +23726,52 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08734__A (.DIODE(_04104_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08735__A1 (.DIODE(_02718_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08743__A (.DIODE(_04104_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08735__S (.DIODE(_04105_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08737__A1 (.DIODE(_02722_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08737__S (.DIODE(_04105_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08739__A1 (.DIODE(_02724_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08739__S (.DIODE(_04105_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08741__A1 (.DIODE(_02726_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08741__S (.DIODE(_04105_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08744__A1 (.DIODE(_02728_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08746__A1 (.DIODE(_02731_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -23582,32 +23791,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08754__B (.DIODE(_04116_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08754__C (.DIODE(_03664_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08756__S (.DIODE(_04118_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08758__S (.DIODE(_04118_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08760__S (.DIODE(_04118_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08762__S (.DIODE(_04118_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08755__A (.DIODE(_04117_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -23617,7 +23806,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08766__S (.DIODE(_04124_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08765__A (.DIODE(_04117_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08766__A0 (.DIODE(\u_pinmux_reg.reg_15[20] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -23627,31 +23821,16 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08769__S (.DIODE(_04124_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08771__A (.DIODE(net61),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08772__S (.DIODE(_04124_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08774__A (.DIODE(net62),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08775__S (.DIODE(_04124_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08782__A1 (.DIODE(\u_pinmux_reg.cfg_pwm0_low[0] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -23662,6 +23841,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08783__S (.DIODE(_02649_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08787__A1 (.DIODE(\u_pinmux_reg.cfg_pwm0_low[1] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -23672,7 +23856,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08791__B (.DIODE(_01951_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08788__A2 (.DIODE(_02660_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08789__S (.DIODE(_02649_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -23697,6 +23886,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08802__A (.DIODE(_04154_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08803__A1 (.DIODE(\u_pinmux_reg.cfg_pwm0_high[2] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -23727,6 +23921,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08817__A (.DIODE(_02660_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08822__A1 (.DIODE(\u_pinmux_reg.cfg_pwm0_low[5] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -23747,6 +23946,16 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08827__A (.DIODE(_02660_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08828__A (.DIODE(_04154_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08829__A1 (.DIODE(\u_pinmux_reg.cfg_pwm0_high[6] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -23782,6 +23991,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08846__C1 (.DIODE(_04154_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08851__A1 (.DIODE(\u_pinmux_reg.cfg_pwm0_low[10] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -23812,17 +24026,17 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08863__A1 (.DIODE(\u_pinmux_reg.cfg_pwm0_high[12] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08867__B2 (.DIODE(\u_pinmux_reg.cfg_pwm0_low[13] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08868__A0 (.DIODE(\u_pinmux_reg.cfg_pwm0_high[13] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08869__S (.DIODE(_02649_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08872__C (.DIODE(_04154_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -23837,11 +24051,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08874__B1 (.DIODE(_04214_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08875__A1 (.DIODE(\u_pinmux_reg.cfg_pwm0_low[15] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -23867,11 +24076,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08893__A (.DIODE(_01951_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08894__A (.DIODE(\u_pinmux_reg.cfg_multi_func_sel[1] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -23882,12 +24086,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08896__B1 (.DIODE(_01220_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08895__A (.DIODE(_04233_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08898__B (.DIODE(_04116_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08896__B1 (.DIODE(_01220_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -23902,46 +24106,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08900__S (.DIODE(_04237_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08902__S (.DIODE(_04237_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08904__S (.DIODE(_04237_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08906__S (.DIODE(_04237_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08908__A (.DIODE(_04236_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08917__B (.DIODE(_04116_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08917__C (.DIODE(_03265_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08918__A (.DIODE(_04247_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08919__A0 (.DIODE(_01103_),
.VGND(vssd1),
.VNB(vssd1),
@@ -23957,6 +24126,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08921__A1 (.DIODE(_02722_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08921__S (.DIODE(_04248_),
.VGND(vssd1),
.VNB(vssd1),
@@ -23967,17 +24141,32 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08923__A1 (.DIODE(_02724_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08923__S (.DIODE(_04248_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08925__A1 (.DIODE(_02726_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08925__S (.DIODE(_04248_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08927__A (.DIODE(_04247_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08928__A1 (.DIODE(_02728_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08930__A1 (.DIODE(_02731_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -23992,16 +24181,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08936__B (.DIODE(_04116_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08936__C (.DIODE(_03265_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08938__S (.DIODE(_04259_),
.VGND(vssd1),
.VNB(vssd1),
@@ -24022,22 +24201,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08947__S (.DIODE(_04264_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08949__S (.DIODE(_04264_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08951__S (.DIODE(_04264_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08953__S (.DIODE(_04264_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08949__A0 (.DIODE(\u_pinmux_reg.cfg_multi_func_sel[21] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -24072,31 +24236,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08974__A2 (.DIODE(_04283_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08981__A1 (.DIODE(\u_pinmux_reg.cfg_pwm1_low[2] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08981__A2 (.DIODE(_04289_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08981__C1 (.DIODE(_04292_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08983__A (.DIODE(_04294_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08984__A (.DIODE(_04217_),
.VGND(vssd1),
.VNB(vssd1),
@@ -24107,17 +24251,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08986__A2 (.DIODE(_04288_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08990__A1 (.DIODE(\u_pinmux_reg.cfg_pwm1_low[3] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08990__C1 (.DIODE(_04292_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08991__A1 (.DIODE(\u_pinmux_reg.cfg_pwm1_high[3] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08991__A1 (.DIODE(\u_pinmux_reg.cfg_pwm1_high[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08992__A2 (.DIODE(_04288_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -24132,6 +24281,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08996__A2 (.DIODE(_04288_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08999__A (.DIODE(_04217_),
.VGND(vssd1),
.VNB(vssd1),
@@ -24172,7 +24326,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09013__A2 (.DIODE(_04294_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09013__C1 (.DIODE(_04233_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -24182,12 +24336,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09017__A2 (.DIODE(_04289_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09018__A2 (.DIODE(_04283_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09018__A1 (.DIODE(\u_pinmux_reg.cfg_pwm1_high[8] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -24202,27 +24351,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09026__C1 (.DIODE(_04233_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__09029__A1 (.DIODE(\u_pinmux_reg.cfg_pwm1_low[10] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09029__A2 (.DIODE(_04289_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09029__C1 (.DIODE(_04292_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__09030__A1 (.DIODE(\u_pinmux_reg.cfg_pwm1_high[10] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09030__A2 (.DIODE(_04283_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09034__A1 (.DIODE(\u_pinmux_reg.cfg_pwm1_high[11] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -24232,46 +24376,21 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09035__C1 (.DIODE(_04233_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__09038__A1 (.DIODE(\u_pinmux_reg.cfg_pwm1_low[12] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09038__A2 (.DIODE(_04289_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09038__C1 (.DIODE(_04292_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09039__A1 (.DIODE(\u_pinmux_reg.cfg_pwm1_high[12] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09039__A2 (.DIODE(_04283_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__09043__B2 (.DIODE(\u_pinmux_reg.cfg_pwm1_low[13] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09044__A (.DIODE(\u_pinmux_reg.cfg_pwm1_high[13] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09045__A1 (.DIODE(_04294_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__09048__C (.DIODE(_04217_),
.VGND(vssd1),
.VNB(vssd1),
@@ -24287,22 +24406,17 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09050__B1 (.DIODE(_04349_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__09051__A1 (.DIODE(\u_pinmux_reg.cfg_pwm1_high[15] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09051__A2 (.DIODE(_04294_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09051__B2 (.DIODE(\u_pinmux_reg.cfg_pwm1_low[15] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09051__B2 (.DIODE(\u_pinmux_reg.cfg_pwm1_low[15] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09052__B2 (.DIODE(_04288_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -24337,37 +24451,17 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09068__B (.DIODE(_04364_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__09068__C (.DIODE(_03418_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09070__S (.DIODE(_04366_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09069__A (.DIODE(_04365_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09072__S (.DIODE(_04366_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09074__S (.DIODE(_04366_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09076__S (.DIODE(_04366_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09087__B (.DIODE(_04364_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09078__A (.DIODE(_04365_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -24387,11 +24481,36 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09091__A1 (.DIODE(_02722_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09093__A1 (.DIODE(_02724_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09095__A1 (.DIODE(_02726_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__09097__A (.DIODE(_04376_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09098__A1 (.DIODE(_02728_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09100__A1 (.DIODE(_02731_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__09102__A1 (.DIODE(_02733_),
.VGND(vssd1),
.VNB(vssd1),
@@ -24402,12 +24521,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09106__B (.DIODE(_04364_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09106__C (.DIODE(_03418_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09106__C (.DIODE(_03418_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09107__A (.DIODE(_04387_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -24432,22 +24551,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09117__S (.DIODE(_04393_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09116__A (.DIODE(_04387_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09119__S (.DIODE(_04393_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09121__S (.DIODE(_04393_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09123__S (.DIODE(_04393_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09119__A0 (.DIODE(\u_gpio_intr.cfg_gpio_negedge_int_sel[21] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -24537,6 +24646,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09179__A2 (.DIODE(_04442_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__09183__A1 (.DIODE(\u_pinmux_reg.cfg_pwm2_high[7] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -24557,6 +24671,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09189__A2 (.DIODE(_04442_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__09191__A (.DIODE(\u_pinmux_reg.cfg_pwm2_high[9] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -24597,6 +24716,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09212__A2 (.DIODE(_04442_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__09215__B2 (.DIODE(\u_pinmux_reg.cfg_pwm2_low[13] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -24607,6 +24731,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09221__A0 (.DIODE(\u_pinmux_reg.cfg_pwm2_high[14] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__09221__A1 (.DIODE(\u_pinmux_reg.cfg_pwm2_low[14] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -24632,6 +24761,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09224__B2 (.DIODE(_04442_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__09226__B (.DIODE(_01918_),
.VGND(vssd1),
.VNB(vssd1),
@@ -24657,7 +24791,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09245__A1 (.DIODE(\u_gpio_intr.cfg_gpio_posedge_int_sel[24] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09245__A0 (.DIODE(_01355_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -24667,6 +24801,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09247__A0 (.DIODE(_01369_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__09247__S (.DIODE(_04500_),
.VGND(vssd1),
.VNB(vssd1),
@@ -24682,11 +24821,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09251__A1 (.DIODE(\u_gpio_intr.cfg_gpio_posedge_int_sel[27] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__09251__S (.DIODE(_04500_),
.VGND(vssd1),
.VNB(vssd1),
@@ -24697,7 +24831,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09260__A1 (.DIODE(\u_gpio_intr.cfg_gpio_posedge_int_sel[31] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09254__A0 (.DIODE(_01375_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09256__A0 (.DIODE(_01378_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09258__A0 (.DIODE(_01380_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09260__A0 (.DIODE(_01382_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -24712,6 +24861,16 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09264__A1 (.DIODE(\u_gpio_intr.cfg_gpio_posedge_int_sel[8] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09266__A1 (.DIODE(\u_gpio_intr.cfg_gpio_posedge_int_sel[9] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__09268__A1 (.DIODE(\u_gpio_intr.cfg_gpio_posedge_int_sel[10] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -24727,7 +24886,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09273__A0 (.DIODE(_01697_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09273__A1 (.DIODE(\u_gpio_intr.cfg_gpio_posedge_int_sel[12] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -24737,52 +24896,92 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09275__A1 (.DIODE(\u_gpio_intr.cfg_gpio_posedge_int_sel[13] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__09277__A0 (.DIODE(_01702_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09277__A1 (.DIODE(\u_gpio_intr.cfg_gpio_posedge_int_sel[14] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__09279__A0 (.DIODE(_01704_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09279__A1 (.DIODE(\u_gpio_intr.cfg_gpio_posedge_int_sel[15] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__09281__B (.DIODE(_03012_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09282__A (.DIODE(_04521_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__09283__A1 (.DIODE(\u_gpio_intr.cfg_gpio_posedge_int_sel[16] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09292__S (.DIODE(_04527_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09285__A1 (.DIODE(\u_gpio_intr.cfg_gpio_posedge_int_sel[17] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09294__A0 (.DIODE(_01485_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09287__A0 (.DIODE(_01748_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09294__S (.DIODE(_04527_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09287__A1 (.DIODE(\u_gpio_intr.cfg_gpio_posedge_int_sel[18] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09296__S (.DIODE(_04527_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09289__A1 (.DIODE(\u_gpio_intr.cfg_gpio_posedge_int_sel[19] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09298__S (.DIODE(_04527_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09291__A (.DIODE(_04521_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09307__A2 (.DIODE(_04532_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09292__A1 (.DIODE(\u_gpio_intr.cfg_gpio_posedge_int_sel[20] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09294__A1 (.DIODE(\u_gpio_intr.cfg_gpio_posedge_int_sel[21] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09296__A1 (.DIODE(\u_gpio_intr.cfg_gpio_posedge_int_sel[22] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09298__A1 (.DIODE(\u_gpio_intr.cfg_gpio_posedge_int_sel[23] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09307__A1 (.DIODE(\u_pinmux_reg.cfg_pwm3_high[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -24802,12 +25001,17 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09319__A1 (.DIODE(\u_pinmux_reg.cfg_pwm3_high[1] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__09323__B2 (.DIODE(\u_pinmux_reg.cfg_pwm3_low[2] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09324__S (.DIODE(_04532_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09324__A0 (.DIODE(\u_pinmux_reg.cfg_pwm3_high[2] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -24822,11 +25026,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09330__S (.DIODE(_04532_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__09335__A1 (.DIODE(\u_pinmux_reg.cfg_pwm3_low[4] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -24937,7 +25136,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09390__S (.DIODE(_04532_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09395__A0 (.DIODE(\u_pinmux_reg.cfg_pwm3_high[14] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -24987,27 +25186,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09414__A3 (.DIODE(_04624_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09415__B (.DIODE(_04364_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__09415__C (.DIODE(_03028_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09417__S (.DIODE(_04631_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09419__S (.DIODE(_04631_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09416__A (.DIODE(_04630_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -25017,17 +25201,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09421__S (.DIODE(_04631_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__09423__A0 (.DIODE(\u_pinmux_reg.cfg_gpio_int_mask[27] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09423__S (.DIODE(_04631_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09425__A (.DIODE(_04630_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -25037,16 +25216,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09428__A0 (.DIODE(\u_pinmux_reg.cfg_gpio_int_mask[29] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09430__A0 (.DIODE(\u_pinmux_reg.cfg_gpio_int_mask[30] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__09432__A0 (.DIODE(\u_pinmux_reg.cfg_gpio_int_mask[31] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -25062,11 +25231,21 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09436__A1 (.DIODE(_01417_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__09438__A0 (.DIODE(\u_pinmux_reg.cfg_gpio_int_mask[9] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09438__A1 (.DIODE(_01432_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__09440__A0 (.DIODE(\u_pinmux_reg.cfg_gpio_int_mask[10] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -25087,11 +25266,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09447__A1 (.DIODE(_01445_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__09449__A0 (.DIODE(\u_pinmux_reg.cfg_gpio_int_mask[14] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -25102,7 +25276,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09455__A0 (.DIODE(\u_pinmux_reg.cfg_gpio_int_mask[16] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09454__A (.DIODE(_04652_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -25112,21 +25286,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09457__A0 (.DIODE(\u_pinmux_reg.cfg_gpio_int_mask[17] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__09457__A1 (.DIODE(_01472_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09459__A0 (.DIODE(\u_pinmux_reg.cfg_gpio_int_mask[18] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__09459__A1 (.DIODE(_01476_),
.VGND(vssd1),
.VNB(vssd1),
@@ -25137,22 +25301,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09464__S (.DIODE(_04658_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09466__S (.DIODE(_04658_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09468__S (.DIODE(_04658_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09470__S (.DIODE(_04658_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09463__A (.DIODE(_04652_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -25192,16 +25341,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09492__A2 (.DIODE(_04624_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09493__A2 (.DIODE(_04677_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__09499__A1 (.DIODE(\u_pinmux_reg.cfg_pwm4_low[2] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -25212,11 +25351,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09501__A2 (.DIODE(_04677_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__09506__A1 (.DIODE(\u_pinmux_reg.cfg_pwm4_low[3] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -25227,11 +25361,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09508__A2 (.DIODE(_04677_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__09511__A1 (.DIODE(\u_pinmux_reg.cfg_pwm4_low[4] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -25242,11 +25371,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09513__C1 (.DIODE(_04699_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__09519__A1 (.DIODE(\u_pinmux_reg.cfg_pwm4_high[5] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -25287,12 +25411,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09536__A2 (.DIODE(_04624_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09536__B1 (.DIODE(_04699_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09541__A (.DIODE(\u_pinmux_reg.cfg_pwm4_low[9] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -25312,16 +25431,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09550__A2 (.DIODE(_04624_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09550__B1 (.DIODE(_04699_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__09553__A (.DIODE(\u_pinmux_reg.cfg_pwm4_low[11] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -25342,12 +25451,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09565__A (.DIODE(\u_pinmux_reg.cfg_pwm4_high[13] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09564__A1_N (.DIODE(\u_pinmux_reg.cfg_pwm4_low[13] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09566__C1 (.DIODE(_04699_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09565__A (.DIODE(\u_pinmux_reg.cfg_pwm4_high[13] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -25372,127 +25481,112 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09574__A1 (.DIODE(_04677_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09575__B1 (.DIODE(_01208_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09575__A2 (.DIODE(_01919_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09578__RESET_B (.DIODE(net478),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09578__RESET_B (.DIODE(net463),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09579__RESET_B (.DIODE(net478),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09579__RESET_B (.DIODE(net463),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09580__RESET_B (.DIODE(net478),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09580__RESET_B (.DIODE(net463),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09581__RESET_B (.DIODE(net478),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09581__RESET_B (.DIODE(net463),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09582__RESET_B (.DIODE(net487),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09582__RESET_B (.DIODE(net258),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09583__RESET_B (.DIODE(net273),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09583__RESET_B (.DIODE(net258),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09584__RESET_B (.DIODE(net273),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09584__RESET_B (.DIODE(net258),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09585__RESET_B (.DIODE(net487),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09585__RESET_B (.DIODE(net258),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09586__RESET_B (.DIODE(net281),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09586__RESET_B (.DIODE(net253),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09587__RESET_B (.DIODE(net279),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09587__RESET_B (.DIODE(net253),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09588__RESET_B (.DIODE(net281),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09588__RESET_B (.DIODE(net260),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09589__RESET_B (.DIODE(net279),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09589__RESET_B (.DIODE(net253),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09590__RESET_B (.DIODE(net504),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09590__RESET_B (.DIODE(net258),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09591__RESET_B (.DIODE(net480),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09591__RESET_B (.DIODE(net252),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09592__RESET_B (.DIODE(net480),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09592__RESET_B (.DIODE(net256),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09593__RESET_B (.DIODE(net266),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09593__RESET_B (.DIODE(net257),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09594__RESET_B (.DIODE(net259),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09594__CLK (.DIODE(clknet_leaf_111_mclk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09595__RESET_B (.DIODE(net258),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09594__RESET_B (.DIODE(net265),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09596__RESET_B (.DIODE(net258),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09595__RESET_B (.DIODE(net267),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09597__RESET_B (.DIODE(net258),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09596__CLK (.DIODE(clknet_leaf_111_mclk),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09596__RESET_B (.DIODE(net265),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09597__RESET_B (.DIODE(net265),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09598__RESET_B (.DIODE(net265),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09598__RESET_B (.DIODE(net268),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -25502,32 +25596,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09600__RESET_B (.DIODE(net268),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09600__RESET_B (.DIODE(net267),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09601__RESET_B (.DIODE(net267),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09601__RESET_B (.DIODE(net268),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09602__RESET_B (.DIODE(net264),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09602__RESET_B (.DIODE(net258),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09603__RESET_B (.DIODE(net266),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09603__RESET_B (.DIODE(net258),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09604__D (.DIODE(net5),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09604__RESET_B (.DIODE(net264),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09604__RESET_B (.DIODE(net258),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -25537,47 +25626,47 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09605__RESET_B (.DIODE(net269),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09605__RESET_B (.DIODE(net478),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09606__RESET_B (.DIODE(net248),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09606__RESET_B (.DIODE(net277),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09607__RESET_B (.DIODE(net248),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09607__RESET_B (.DIODE(net277),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09608__RESET_B (.DIODE(net264),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09608__RESET_B (.DIODE(net259),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09609__RESET_B (.DIODE(net264),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09609__RESET_B (.DIODE(net259),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09610__RESET_B (.DIODE(net248),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09610__RESET_B (.DIODE(net277),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09611__RESET_B (.DIODE(net248),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09611__RESET_B (.DIODE(net277),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09612__RESET_B (.DIODE(net247),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09612__RESET_B (.DIODE(net277),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09613__RESET_B (.DIODE(net248),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09613__RESET_B (.DIODE(net277),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -25587,7 +25676,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09614__RESET_B (.DIODE(net247),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09614__RESET_B (.DIODE(net480),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -25597,12 +25686,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09615__RESET_B (.DIODE(net252),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09615__RESET_B (.DIODE(net480),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09616__RESET_B (.DIODE(net264),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09616__RESET_B (.DIODE(net259),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -25612,12 +25701,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09617__RESET_B (.DIODE(net264),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09617__RESET_B (.DIODE(net480),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09618__RESET_B (.DIODE(net264),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09618__RESET_B (.DIODE(net259),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -25627,7 +25716,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09619__RESET_B (.DIODE(net264),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09619__RESET_B (.DIODE(net480),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -25637,72 +25726,57 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09620__RESET_B (.DIODE(net264),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09620__RESET_B (.DIODE(net480),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09621__RESET_B (.DIODE(net264),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09621__RESET_B (.DIODE(net259),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09622__RESET_B (.DIODE(net266),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09622__RESET_B (.DIODE(net259),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09623__RESET_B (.DIODE(net266),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09623__RESET_B (.DIODE(net259),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09624__RESET_B (.DIODE(net266),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09624__RESET_B (.DIODE(net259),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09627__CLK (.DIODE(clknet_leaf_111_mclk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09627__RESET_B (.DIODE(net259),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09627__RESET_B (.DIODE(net265),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09628__RESET_B (.DIODE(net258),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09628__RESET_B (.DIODE(net268),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09629__RESET_B (.DIODE(net259),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09629__CLK (.DIODE(clknet_leaf_111_mclk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09630__RESET_B (.DIODE(net259),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09629__RESET_B (.DIODE(net265),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09631__RESET_B (.DIODE(net258),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09630__CLK (.DIODE(clknet_leaf_111_mclk),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09630__RESET_B (.DIODE(net268),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09631__RESET_B (.DIODE(net265),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09632__RESET_B (.DIODE(net265),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09632__RESET_B (.DIODE(net268),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -25712,37 +25786,37 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09634__RESET_B (.DIODE(net268),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09634__RESET_B (.DIODE(net272),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09635__RESET_B (.DIODE(net266),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09635__RESET_B (.DIODE(net259),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09636__RESET_B (.DIODE(net265),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09636__RESET_B (.DIODE(net258),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09637__RESET_B (.DIODE(net266),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09637__RESET_B (.DIODE(net259),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09638__RESET_B (.DIODE(net265),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09638__RESET_B (.DIODE(net259),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09639__RESET_B (.DIODE(net266),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09639__RESET_B (.DIODE(net268),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09640__RESET_B (.DIODE(net266),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09640__RESET_B (.DIODE(net267),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -25752,482 +25826,477 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09642__RESET_B (.DIODE(net266),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09642__RESET_B (.DIODE(net267),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09643__RESET_B (.DIODE(net464),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09643__RESET_B (.DIODE(net282),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09644__RESET_B (.DIODE(net464),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09644__RESET_B (.DIODE(net283),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09645__RESET_B (.DIODE(net464),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09645__RESET_B (.DIODE(net282),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09646__RESET_B (.DIODE(net464),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09646__RESET_B (.DIODE(net283),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09647__RESET_B (.DIODE(net262),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09647__RESET_B (.DIODE(net283),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09648__RESET_B (.DIODE(net258),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09648__RESET_B (.DIODE(net283),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09649__RESET_B (.DIODE(net262),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09649__RESET_B (.DIODE(net283),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09650__RESET_B (.DIODE(net262),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09650__RESET_B (.DIODE(net279),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09651__RESET_B (.DIODE(net271),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09651__RESET_B (.DIODE(net275),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09652__RESET_B (.DIODE(net271),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09652__RESET_B (.DIODE(net275),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09653__RESET_B (.DIODE(net445),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09653__RESET_B (.DIODE(net282),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09654__RESET_B (.DIODE(net271),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09654__RESET_B (.DIODE(net282),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09655__RESET_B (.DIODE(net262),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09655__RESET_B (.DIODE(net281),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09656__RESET_B (.DIODE(net262),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09656__RESET_B (.DIODE(net281),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09657__RESET_B (.DIODE(net262),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09657__RESET_B (.DIODE(net282),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09658__RESET_B (.DIODE(net262),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09658__RESET_B (.DIODE(net282),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09659__RESET_B (.DIODE(net259),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09659__RESET_B (.DIODE(net276),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09660__RESET_B (.DIODE(net259),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09660__RESET_B (.DIODE(net276),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09661__RESET_B (.DIODE(net259),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09661__RESET_B (.DIODE(net276),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09662__RESET_B (.DIODE(net260),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09662__RESET_B (.DIODE(net266),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09663__RESET_B (.DIODE(net252),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09663__RESET_B (.DIODE(net504),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09664__RESET_B (.DIODE(net252),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09664__RESET_B (.DIODE(net504),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09665__RESET_B (.DIODE(net252),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09665__RESET_B (.DIODE(net478),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09666__RESET_B (.DIODE(net253),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09666__RESET_B (.DIODE(net504),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09667__RESET_B (.DIODE(net445),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09667__RESET_B (.DIODE(net282),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09668__RESET_B (.DIODE(net271),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09668__RESET_B (.DIODE(net283),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09669__RESET_B (.DIODE(net464),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09669__RESET_B (.DIODE(net283),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09670__RESET_B (.DIODE(net464),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09670__RESET_B (.DIODE(net283),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09671__RESET_B (.DIODE(net262),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09671__RESET_B (.DIODE(net283),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09672__RESET_B (.DIODE(net262),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09672__RESET_B (.DIODE(net283),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09673__RESET_B (.DIODE(net262),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09673__RESET_B (.DIODE(net283),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09674__RESET_B (.DIODE(net262),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09674__RESET_B (.DIODE(net487),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09675__RESET_B (.DIODE(net262),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09675__RESET_B (.DIODE(net282),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09676__RESET_B (.DIODE(net262),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09676__RESET_B (.DIODE(net282),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09677__RESET_B (.DIODE(net445),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09677__RESET_B (.DIODE(net281),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09678__RESET_B (.DIODE(net271),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09678__RESET_B (.DIODE(net281),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09679__RESET_B (.DIODE(net271),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09679__RESET_B (.DIODE(net281),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09680__RESET_B (.DIODE(net271),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09680__RESET_B (.DIODE(net281),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09681__RESET_B (.DIODE(net271),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09681__RESET_B (.DIODE(net280),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09682__RESET_B (.DIODE(net271),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09682__RESET_B (.DIODE(net280),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09683__RESET_B (.DIODE(net259),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09683__RESET_B (.DIODE(net279),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09684__RESET_B (.DIODE(net260),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09684__RESET_B (.DIODE(net279),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09685__RESET_B (.DIODE(net259),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09685__RESET_B (.DIODE(net279),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09686__RESET_B (.DIODE(net260),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09686__RESET_B (.DIODE(net266),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09687__RESET_B (.DIODE(net252),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09687__RESET_B (.DIODE(net480),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09688__RESET_B (.DIODE(net256),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09688__RESET_B (.DIODE(net480),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09689__RESET_B (.DIODE(net252),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09689__RESET_B (.DIODE(net480),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09690__RESET_B (.DIODE(net252),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09691__RESET_B (.DIODE(net260),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09690__RESET_B (.DIODE(net265),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09692__RESET_B (.DIODE(net259),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09691__RESET_B (.DIODE(net266),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09693__RESET_B (.DIODE(net260),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09692__RESET_B (.DIODE(net261),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09694__RESET_B (.DIODE(net260),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09693__RESET_B (.DIODE(net261),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09695__RESET_B (.DIODE(net252),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09694__RESET_B (.DIODE(net266),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09696__RESET_B (.DIODE(net251),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09695__RESET_B (.DIODE(net480),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09697__RESET_B (.DIODE(net251),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09696__RESET_B (.DIODE(net274),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09698__RESET_B (.DIODE(net258),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09697__RESET_B (.DIODE(net480),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09699__CLK (.DIODE(clknet_leaf_111_mclk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09698__RESET_B (.DIODE(net265),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09699__RESET_B (.DIODE(net265),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09699__RESET_B (.DIODE(net259),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09700__RESET_B (.DIODE(net265),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09700__RESET_B (.DIODE(net258),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09701__RESET_B (.DIODE(net265),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09701__RESET_B (.DIODE(net259),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09702__RESET_B (.DIODE(net265),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09702__RESET_B (.DIODE(net259),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09703__RESET_B (.DIODE(net265),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09703__RESET_B (.DIODE(net267),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09704__RESET_B (.DIODE(net265),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09704__RESET_B (.DIODE(net267),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09705__RESET_B (.DIODE(net265),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09705__RESET_B (.DIODE(net267),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09706__RESET_B (.DIODE(net266),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09706__RESET_B (.DIODE(net267),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09707__RESET_B (.DIODE(net260),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09707__RESET_B (.DIODE(net279),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09708__RESET_B (.DIODE(net253),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09708__RESET_B (.DIODE(net278),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09709__RESET_B (.DIODE(net260),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09709__RESET_B (.DIODE(net281),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09710__RESET_B (.DIODE(net253),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09710__RESET_B (.DIODE(net279),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09711__RESET_B (.DIODE(net258),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09711__RESET_B (.DIODE(net480),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09712__RESET_B (.DIODE(net252),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09712__RESET_B (.DIODE(net480),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09713__RESET_B (.DIODE(net255),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09713__RESET_B (.DIODE(net480),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09714__RESET_B (.DIODE(net257),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09714__RESET_B (.DIODE(net266),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09715__RESET_B (.DIODE(net271),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09715__RESET_B (.DIODE(net282),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09716__RESET_B (.DIODE(net271),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09716__RESET_B (.DIODE(net282),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09717__RESET_B (.DIODE(net271),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09717__RESET_B (.DIODE(net282),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09718__RESET_B (.DIODE(net271),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09718__RESET_B (.DIODE(net282),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09719__RESET_B (.DIODE(net271),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09719__RESET_B (.DIODE(net275),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09720__RESET_B (.DIODE(net271),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09720__RESET_B (.DIODE(net275),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09721__RESET_B (.DIODE(net271),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09721__RESET_B (.DIODE(net275),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09722__RESET_B (.DIODE(net271),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09722__RESET_B (.DIODE(net282),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09723__RESET_B (.DIODE(net265),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09723__RESET_B (.DIODE(net258),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09724__RESET_B (.DIODE(net265),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09724__RESET_B (.DIODE(net258),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09725__RESET_B (.DIODE(net265),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09725__RESET_B (.DIODE(net259),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09726__RESET_B (.DIODE(net265),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09726__RESET_B (.DIODE(net258),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09727__RESET_B (.DIODE(net265),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09727__RESET_B (.DIODE(net267),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09728__RESET_B (.DIODE(net265),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09728__RESET_B (.DIODE(net267),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09729__RESET_B (.DIODE(net268),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09729__RESET_B (.DIODE(net267),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09730__RESET_B (.DIODE(net265),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09730__RESET_B (.DIODE(net267),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09731__RESET_B (.DIODE(net266),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09731__RESET_B (.DIODE(net259),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09732__RESET_B (.DIODE(net268),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09732__RESET_B (.DIODE(net259),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09733__RESET_B (.DIODE(net266),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09733__RESET_B (.DIODE(net258),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09734__RESET_B (.DIODE(net265),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09734__RESET_B (.DIODE(net258),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09735__RESET_B (.DIODE(net265),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09735__RESET_B (.DIODE(net258),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09736__RESET_B (.DIODE(net265),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09736__RESET_B (.DIODE(net267),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -26242,67 +26311,67 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09739__RESET_B (.DIODE(net269),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09739__RESET_B (.DIODE(net282),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09740__RESET_B (.DIODE(net269),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09740__RESET_B (.DIODE(net275),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09741__RESET_B (.DIODE(net463),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09741__RESET_B (.DIODE(net275),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09742__RESET_B (.DIODE(net463),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09742__RESET_B (.DIODE(net282),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09743__RESET_B (.DIODE(net464),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09743__RESET_B (.DIODE(net282),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09744__RESET_B (.DIODE(net464),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09744__RESET_B (.DIODE(net283),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09745__RESET_B (.DIODE(net464),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09745__RESET_B (.DIODE(net282),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09746__RESET_B (.DIODE(net464),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09746__RESET_B (.DIODE(net283),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09747__RESET_B (.DIODE(net265),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09747__RESET_B (.DIODE(net259),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09748__RESET_B (.DIODE(net268),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09748__RESET_B (.DIODE(net259),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09749__RESET_B (.DIODE(net265),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09749__RESET_B (.DIODE(net259),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09750__RESET_B (.DIODE(net265),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09750__RESET_B (.DIODE(net259),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09751__RESET_B (.DIODE(net265),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09751__RESET_B (.DIODE(net268),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -26317,92 +26386,92 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09754__RESET_B (.DIODE(net267),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09754__RESET_B (.DIODE(net270),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09755__RESET_B (.DIODE(net266),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09755__RESET_B (.DIODE(net259),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09756__RESET_B (.DIODE(net266),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09756__RESET_B (.DIODE(net259),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09757__RESET_B (.DIODE(net266),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09757__RESET_B (.DIODE(net259),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09758__RESET_B (.DIODE(net266),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09758__RESET_B (.DIODE(net259),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09759__RESET_B (.DIODE(net266),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09759__RESET_B (.DIODE(net267),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09760__RESET_B (.DIODE(net266),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09760__RESET_B (.DIODE(net267),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09761__RESET_B (.DIODE(net266),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09761__RESET_B (.DIODE(net267),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09762__RESET_B (.DIODE(net266),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09762__RESET_B (.DIODE(net267),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09763__RESET_B (.DIODE(net269),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09763__RESET_B (.DIODE(net478),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09764__RESET_B (.DIODE(net463),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09764__RESET_B (.DIODE(net478),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09765__RESET_B (.DIODE(net269),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09765__RESET_B (.DIODE(net487),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09766__RESET_B (.DIODE(net269),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09766__RESET_B (.DIODE(net283),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09767__RESET_B (.DIODE(net467),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09767__RESET_B (.DIODE(net285),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09768__RESET_B (.DIODE(net467),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09768__RESET_B (.DIODE(net284),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09769__RESET_B (.DIODE(net467),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09769__RESET_B (.DIODE(net284),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09770__RESET_B (.DIODE(net467),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09770__RESET_B (.DIODE(net284),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09771__RESET_B (.DIODE(net269),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09771__RESET_B (.DIODE(net268),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -26412,152 +26481,152 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09773__RESET_B (.DIODE(net269),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09773__RESET_B (.DIODE(net270),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09774__RESET_B (.DIODE(net269),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09774__RESET_B (.DIODE(net270),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09775__RESET_B (.DIODE(net268),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09775__RESET_B (.DIODE(net270),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09776__RESET_B (.DIODE(net463),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09776__RESET_B (.DIODE(net271),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09777__RESET_B (.DIODE(net269),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09777__RESET_B (.DIODE(net270),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09778__RESET_B (.DIODE(net268),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09778__RESET_B (.DIODE(net271),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09779__RESET_B (.DIODE(net253),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09779__RESET_B (.DIODE(net276),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09780__RESET_B (.DIODE(net247),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09780__RESET_B (.DIODE(net276),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09781__RESET_B (.DIODE(net253),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09781__RESET_B (.DIODE(net276),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09782__RESET_B (.DIODE(net253),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09782__RESET_B (.DIODE(net279),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09783__RESET_B (.DIODE(net252),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09783__RESET_B (.DIODE(net480),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09784__RESET_B (.DIODE(net252),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09784__RESET_B (.DIODE(net480),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09785__RESET_B (.DIODE(net255),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09785__RESET_B (.DIODE(net480),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09786__RESET_B (.DIODE(net258),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09786__RESET_B (.DIODE(net265),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09787__RESET_B (.DIODE(net463),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09787__RESET_B (.DIODE(net285),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09788__RESET_B (.DIODE(net464),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09788__RESET_B (.DIODE(net285),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09789__RESET_B (.DIODE(net463),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09789__RESET_B (.DIODE(net283),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09790__RESET_B (.DIODE(net463),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09790__RESET_B (.DIODE(net285),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09791__RESET_B (.DIODE(net467),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09791__RESET_B (.DIODE(net284),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09792__RESET_B (.DIODE(net467),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09792__RESET_B (.DIODE(net284),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09793__RESET_B (.DIODE(net467),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09793__RESET_B (.DIODE(net284),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09794__RESET_B (.DIODE(net467),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09794__RESET_B (.DIODE(net284),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09795__RESET_B (.DIODE(net257),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09795__RESET_B (.DIODE(net283),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09796__RESET_B (.DIODE(net260),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09796__RESET_B (.DIODE(net281),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09797__RESET_B (.DIODE(net260),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09797__RESET_B (.DIODE(net281),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09798__RESET_B (.DIODE(net257),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09798__RESET_B (.DIODE(net283),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09799__RESET_B (.DIODE(net252),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09799__RESET_B (.DIODE(net504),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09800__RESET_B (.DIODE(net256),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09800__RESET_B (.DIODE(net478),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09801__RESET_B (.DIODE(net256),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09801__RESET_B (.DIODE(net478),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09802__RESET_B (.DIODE(net258),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09802__RESET_B (.DIODE(net284),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -26567,7 +26636,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09803__RESET_B (.DIODE(net463),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09803__RESET_B (.DIODE(net284),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -26577,7 +26646,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09804__RESET_B (.DIODE(net467),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09804__RESET_B (.DIODE(net273),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -26587,12 +26656,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09805__RESET_B (.DIODE(net463),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09805__RESET_B (.DIODE(net285),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09806__RESET_B (.DIODE(net269),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09806__RESET_B (.DIODE(net285),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -26602,7 +26671,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09807__RESET_B (.DIODE(net464),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09807__RESET_B (.DIODE(net273),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -26612,7 +26681,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09808__RESET_B (.DIODE(net258),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09808__RESET_B (.DIODE(net273),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -26622,7 +26691,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09809__RESET_B (.DIODE(net467),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09809__RESET_B (.DIODE(net284),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -26632,27 +26701,37 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09810__RESET_B (.DIODE(net464),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09810__RESET_B (.DIODE(net284),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09811__RESET_B (.DIODE(net253),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09811__D (.DIODE(\u_gpio_intr.gpio_prev_indata[16] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09812__D (.DIODE(net488),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09811__RESET_B (.DIODE(net281),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09812__RESET_B (.DIODE(net253),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09812__D (.DIODE(\u_gpio_intr.gpio_prev_indata[17] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09813__RESET_B (.DIODE(net260),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09812__RESET_B (.DIODE(net278),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09813__D (.DIODE(\u_gpio_intr.gpio_prev_indata[18] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09813__RESET_B (.DIODE(net281),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -26662,77 +26741,52 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09814__RESET_B (.DIODE(net253),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09814__RESET_B (.DIODE(net266),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09815__D (.DIODE(\u_gpio_intr.gpio_prev_indata[20] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09815__RESET_B (.DIODE(net504),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09815__RESET_B (.DIODE(net252),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09816__RESET_B (.DIODE(net480),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09816__RESET_B (.DIODE(net252),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09817__D (.DIODE(net520),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09817__D (.DIODE(\u_gpio_intr.gpio_prev_indata[22] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09817__RESET_B (.DIODE(net480),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09817__RESET_B (.DIODE(net256),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09818__RESET_B (.DIODE(net480),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09818__D (.DIODE(\u_gpio_intr.gpio_prev_indata[24] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09819__D (.DIODE(net493),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09818__RESET_B (.DIODE(net254),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09819__RESET_B (.DIODE(net480),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09819__D (.DIODE(\u_gpio_intr.gpio_prev_indata[25] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09820__RESET_B (.DIODE(net480),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09819__RESET_B (.DIODE(net255),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09820__D (.DIODE(\u_gpio_intr.gpio_prev_indata[26] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09820__RESET_B (.DIODE(net267),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09821__CLK (.DIODE(clknet_leaf_111_mclk),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09821__D (.DIODE(\u_gpio_intr.gpio_prev_indata[27] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09821__RESET_B (.DIODE(net268),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09821__RESET_B (.DIODE(net480),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -26742,7 +26796,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09822__RESET_B (.DIODE(net267),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09822__RESET_B (.DIODE(net274),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -26752,7 +26806,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09823__RESET_B (.DIODE(net467),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09823__RESET_B (.DIODE(net272),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -26762,212 +26816,217 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09824__RESET_B (.DIODE(net267),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09824__RESET_B (.DIODE(net272),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09825__D (.DIODE(\u_gpio_intr.gpio_prev_indata[31] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09825__D (.DIODE(net501),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09825__RESET_B (.DIODE(net267),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09825__RESET_B (.DIODE(net272),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09826__RESET_B (.DIODE(net271),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09826__RESET_B (.DIODE(net275),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09827__RESET_B (.DIODE(net464),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09827__RESET_B (.DIODE(net275),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09828__RESET_B (.DIODE(net271),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09828__RESET_B (.DIODE(net275),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09829__RESET_B (.DIODE(net271),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09829__RESET_B (.DIODE(net275),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09830__RESET_B (.DIODE(net445),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09830__RESET_B (.DIODE(net275),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09831__RESET_B (.DIODE(net445),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09831__RESET_B (.DIODE(net275),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09832__RESET_B (.DIODE(net445),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09832__RESET_B (.DIODE(net282),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09833__RESET_B (.DIODE(net445),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09833__RESET_B (.DIODE(net282),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09834__RESET_B (.DIODE(net463),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09834__RESET_B (.DIODE(net275),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09835__RESET_B (.DIODE(net463),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09835__RESET_B (.DIODE(net275),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09836__RESET_B (.DIODE(net463),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09836__RESET_B (.DIODE(net275),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09837__RESET_B (.DIODE(net463),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09837__RESET_B (.DIODE(net275),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09838__RESET_B (.DIODE(net464),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09838__RESET_B (.DIODE(net282),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09839__RESET_B (.DIODE(net464),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09839__RESET_B (.DIODE(net282),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09840__RESET_B (.DIODE(net464),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09840__RESET_B (.DIODE(net282),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09841__RESET_B (.DIODE(net464),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09841__RESET_B (.DIODE(net282),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09842__RESET_B (.DIODE(net244),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09842__RESET_B (.DIODE(net276),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09843__RESET_B (.DIODE(net244),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09843__RESET_B (.DIODE(net277),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09844__RESET_B (.DIODE(net246),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09844__CLK (.DIODE(clknet_4_13_0_mclk),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09845__RESET_B (.DIODE(net246),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09844__RESET_B (.DIODE(net276),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09846__RESET_B (.DIODE(net246),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09845__RESET_B (.DIODE(net276),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09847__RESET_B (.DIODE(net246),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09846__RESET_B (.DIODE(net277),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09848__RESET_B (.DIODE(net244),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09847__RESET_B (.DIODE(net276),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09849__RESET_B (.DIODE(net244),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09848__RESET_B (.DIODE(net276),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09850__RESET_B (.DIODE(net244),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09849__RESET_B (.DIODE(net277),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09851__RESET_B (.DIODE(net244),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09850__RESET_B (.DIODE(net277),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09852__RESET_B (.DIODE(net259),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09851__RESET_B (.DIODE(net277),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09853__RESET_B (.DIODE(net464),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09852__RESET_B (.DIODE(net277),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09854__RESET_B (.DIODE(net259),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09853__RESET_B (.DIODE(net273),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09855__RESET_B (.DIODE(net259),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09854__RESET_B (.DIODE(net277),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09856__RESET_B (.DIODE(net259),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09855__RESET_B (.DIODE(net277),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09857__RESET_B (.DIODE(net259),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09856__RESET_B (.DIODE(net277),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09858__RESET_B (.DIODE(net259),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09857__RESET_B (.DIODE(net262),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09859__RESET_B (.DIODE(net259),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09858__RESET_B (.DIODE(net277),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09860__RESET_B (.DIODE(net259),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09859__RESET_B (.DIODE(net262),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09861__RESET_B (.DIODE(net259),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09860__RESET_B (.DIODE(net262),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09862__RESET_B (.DIODE(net259),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09861__RESET_B (.DIODE(net262),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09863__RESET_B (.DIODE(net259),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09862__RESET_B (.DIODE(net262),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09864__RESET_B (.DIODE(net269),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09863__RESET_B (.DIODE(net262),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09864__RESET_B (.DIODE(net264),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -26977,62 +27036,72 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09866__RESET_B (.DIODE(net269),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09866__CLK (.DIODE(clknet_leaf_63_mclk),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09867__RESET_B (.DIODE(net269),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09866__RESET_B (.DIODE(net264),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09868__RESET_B (.DIODE(net269),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09867__CLK (.DIODE(clknet_leaf_63_mclk),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09869__RESET_B (.DIODE(net264),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09867__RESET_B (.DIODE(net262),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09870__RESET_B (.DIODE(net264),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09868__RESET_B (.DIODE(net263),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09871__RESET_B (.DIODE(net264),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09869__RESET_B (.DIODE(net263),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09872__RESET_B (.DIODE(net264),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09870__RESET_B (.DIODE(net263),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09873__RESET_B (.DIODE(net264),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09871__RESET_B (.DIODE(net263),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09874__RESET_B (.DIODE(net264),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09872__RESET_B (.DIODE(net270),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09875__RESET_B (.DIODE(net269),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09873__RESET_B (.DIODE(net271),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09876__RESET_B (.DIODE(net264),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09874__RESET_B (.DIODE(net270),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09877__RESET_B (.DIODE(net264),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09875__RESET_B (.DIODE(net270),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09876__RESET_B (.DIODE(net271),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09877__RESET_B (.DIODE(net270),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -27042,202 +27111,212 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09879__RESET_B (.DIODE(net269),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09879__RESET_B (.DIODE(net264),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09880__SET_B (.DIODE(net262),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09880__D (.DIODE(\u_timer_0.timer_hit ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09881__RESET_B (.DIODE(net245),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09880__SET_B (.DIODE(net283),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09882__RESET_B (.DIODE(net246),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09881__RESET_B (.DIODE(net275),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09883__RESET_B (.DIODE(net246),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09882__RESET_B (.DIODE(net275),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09884__RESET_B (.DIODE(net246),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09883__RESET_B (.DIODE(net275),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09885__RESET_B (.DIODE(net271),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09884__RESET_B (.DIODE(net275),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09886__RESET_B (.DIODE(net271),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09885__RESET_B (.DIODE(net275),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09887__RESET_B (.DIODE(net271),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09886__RESET_B (.DIODE(net275),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09888__RESET_B (.DIODE(net271),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09887__RESET_B (.DIODE(net275),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09889__RESET_B (.DIODE(net246),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09888__RESET_B (.DIODE(net275),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09890__RESET_B (.DIODE(net271),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09889__RESET_B (.DIODE(net275),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09891__RESET_B (.DIODE(net271),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09890__RESET_B (.DIODE(net275),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09892__RESET_B (.DIODE(net271),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09891__RESET_B (.DIODE(net275),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09893__RESET_B (.DIODE(net271),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09892__RESET_B (.DIODE(net275),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09894__RESET_B (.DIODE(net271),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09893__RESET_B (.DIODE(net282),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09895__RESET_B (.DIODE(net271),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09894__RESET_B (.DIODE(net282),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09896__RESET_B (.DIODE(net271),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09895__RESET_B (.DIODE(net282),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09897__SET_B (.DIODE(net262),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09896__RESET_B (.DIODE(net282),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09898__RESET_B (.DIODE(net246),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09897__D (.DIODE(\u_timer_1.timer_hit ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09899__RESET_B (.DIODE(net245),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09897__SET_B (.DIODE(net281),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09900__RESET_B (.DIODE(net246),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09898__RESET_B (.DIODE(net280),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09901__RESET_B (.DIODE(net246),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09899__RESET_B (.DIODE(net276),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09902__RESET_B (.DIODE(net246),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09900__RESET_B (.DIODE(net276),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09903__RESET_B (.DIODE(net246),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09901__RESET_B (.DIODE(net280),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09904__RESET_B (.DIODE(net246),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09902__RESET_B (.DIODE(net280),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09905__RESET_B (.DIODE(net245),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09903__RESET_B (.DIODE(net280),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09906__RESET_B (.DIODE(net271),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09904__RESET_B (.DIODE(net282),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09907__RESET_B (.DIODE(net271),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09905__RESET_B (.DIODE(net282),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09908__RESET_B (.DIODE(net245),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09906__RESET_B (.DIODE(net282),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09909__RESET_B (.DIODE(net245),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09907__RESET_B (.DIODE(net282),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09910__RESET_B (.DIODE(net245),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09908__RESET_B (.DIODE(net282),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09911__RESET_B (.DIODE(net271),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09909__RESET_B (.DIODE(net282),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09912__RESET_B (.DIODE(net271),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09910__RESET_B (.DIODE(net282),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09913__RESET_B (.DIODE(net271),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09911__RESET_B (.DIODE(net280),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09914__SET_B (.DIODE(net481),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09912__RESET_B (.DIODE(net280),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09915__RESET_B (.DIODE(net254),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09913__RESET_B (.DIODE(net280),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09916__RESET_B (.DIODE(net254),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09914__SET_B (.DIODE(net282),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09917__RESET_B (.DIODE(net254),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09915__RESET_B (.DIODE(net259),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09918__RESET_B (.DIODE(net254),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09916__RESET_B (.DIODE(net267),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09917__RESET_B (.DIODE(net259),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09918__RESET_B (.DIODE(net258),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -27252,11 +27331,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09921__CLK (.DIODE(clknet_leaf_111_mclk),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__09921__RESET_B (.DIODE(net268),
.VGND(vssd1),
.VNB(vssd1),
@@ -27267,57 +27341,57 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09923__RESET_B (.DIODE(net247),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09923__RESET_B (.DIODE(net269),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09924__RESET_B (.DIODE(net247),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09924__RESET_B (.DIODE(net263),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),