blob: 6d87a5f6f1c738cba355460b034133bab973443f [file] [log] [blame]
openlane/user_project_wrapper\runs\user_project_wrapper\logs\synthesis\2-sta.log[103]: wb_rst_i 5 7 -2 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\synthesis\2-sta.log[108]: max fanout violation count 1
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\15-global.log[2533]: wb_clk_i 1.50 3.35 -1.86 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\15-global.log[2534]: wb_rst_i 1.50 3.24 -1.74 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\15-global.log[2535]: io_in[35] 1.50 2.77 -1.27 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\15-global.log[2536]: io_in[36] 1.50 2.69 -1.19 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\15-global.log[2537]: io_in[37] 1.50 2.67 -1.17 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\15-global.log[2538]: io_in[34] 1.50 2.54 -1.04 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\15-global.log[2539]: io_in[33] 1.50 2.40 -0.90 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\15-global.log[2540]: io_in[32] 1.50 2.31 -0.81 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\15-global.log[2541]: io_in[24] 1.50 2.31 -0.81 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\15-global.log[2542]: io_in[23] 1.50 2.29 -0.80 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\15-global.log[2543]: io_in[25] 1.50 2.18 -0.69 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\15-global.log[2544]: io_in[31] 1.50 2.14 -0.64 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\15-global.log[2545]: io_in[22] 1.50 2.08 -0.58 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\15-global.log[2546]: io_in[26] 1.50 2.04 -0.54 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\15-global.log[2547]: io_in[30] 1.50 1.98 -0.48 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\15-global.log[2548]: io_in[27] 1.50 1.89 -0.39 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\15-global.log[2549]: io_in[21] 1.50 1.84 -0.34 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\15-global.log[2550]: io_in[29] 1.50 1.80 -0.30 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\15-global.log[2551]: io_in[28] 1.50 1.76 -0.26 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\15-global.log[2552]: io_in[0] 1.50 1.68 -0.19 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\15-global.log[2553]: io_in[20] 1.50 1.63 -0.13 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\15-global.log[2554]: io_in[1] 1.50 1.55 -0.05 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\15-global.log[2560]: wb_clk_i 5 7 -2 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\15-global.log[2561]: wb_rst_i 5 7 -2 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\15-global.log[2567]: wb_clk_i 0.33 0.77 -0.44 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\15-global.log[2568]: wb_rst_i 0.33 0.74 -0.41 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\15-global.log[2569]: io_in[35] 0.33 0.63 -0.30 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\15-global.log[2570]: io_in[36] 0.33 0.62 -0.29 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\15-global.log[2571]: io_in[37] 0.33 0.60 -0.27 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\15-global.log[2572]: io_in[34] 0.33 0.58 -0.25 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\15-global.log[2573]: io_in[33] 0.33 0.55 -0.22 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\15-global.log[2574]: io_in[24] 0.33 0.53 -0.20 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\15-global.log[2575]: io_in[23] 0.33 0.51 -0.18 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\15-global.log[2576]: io_in[32] 0.33 0.51 -0.18 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\15-global.log[2577]: io_in[25] 0.33 0.50 -0.17 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\15-global.log[2578]: io_in[31] 0.33 0.48 -0.15 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\15-global.log[2579]: io_in[22] 0.33 0.47 -0.13 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\15-global.log[2580]: io_in[26] 0.33 0.46 -0.13 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\15-global.log[2581]: io_in[30] 0.33 0.44 -0.11 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\15-global.log[2582]: io_in[27] 0.33 0.43 -0.10 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\15-global.log[2583]: io_in[21] 0.33 0.41 -0.08 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\15-global.log[2584]: io_in[29] 0.33 0.41 -0.08 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\15-global.log[2585]: io_in[28] 0.33 0.39 -0.06 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\15-global.log[2586]: io_in[0] 0.33 0.38 -0.05 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\15-global.log[2587]: io_in[20] 0.33 0.37 -0.04 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\15-global.log[2588]: io_in[1] 0.33 0.35 -0.02 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\15-global.log[2592]: max slew violation count 22
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\15-global.log[2593]: max fanout violation count 2
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\15-global.log[2594]: max cap violation count 22
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\17-detailed.log[187]: [INFO DRT-0199] Number of violations = 1713.
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\17-detailed.log[231]: [INFO DRT-0199] Number of violations = 111.
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\17-detailed.log[275]: [INFO DRT-0199] Number of violations = 75.
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\17-detailed.log[319]: [INFO DRT-0199] Number of violations = 12.
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3417]: wb_rst_i 1.50 3.86 -2.36 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3418]: io_in[34] 1.50 3.48 -1.98 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3419]: io_in[37] 1.50 3.28 -1.78 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3420]: io_in[32] 1.50 3.11 -1.62 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3421]: io_in[33] 1.50 3.10 -1.60 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3422]: io_in[31] 1.50 2.81 -1.31 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3423]: io_in[36] 1.50 2.68 -1.18 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3424]: io_in[29] 1.50 2.39 -0.89 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3425]: io_in[23] 1.50 2.33 -0.83 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3426]: io_in[35] 1.50 2.28 -0.79 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3427]: io_in[30] 1.50 2.22 -0.72 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3428]: io_in[22] 1.50 2.09 -0.59 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3429]: io_in[21] 1.50 2.01 -0.51 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3430]: wbs_adr_i[0] 1.50 1.77 -0.28 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3431]: wbs_dat_i[5] 1.50 1.75 -0.25 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3432]: wbs_dat_i[0] 1.50 1.75 -0.25 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3433]: wbs_stb_i 1.50 1.73 -0.23 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3434]: wbs_sel_i[1] 1.50 1.73 -0.23 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3435]: wbs_adr_i[9] 1.50 1.72 -0.23 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3436]: wbs_adr_i[10] 1.50 1.72 -0.23 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3437]: wbs_sel_i[2] 1.50 1.72 -0.22 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3438]: wbs_dat_i[10] 1.50 1.72 -0.22 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3439]: wbs_adr_i[15] 1.50 1.72 -0.22 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3440]: wbs_adr_i[8] 1.50 1.72 -0.22 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3441]: wbs_we_i 1.50 1.72 -0.22 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3442]: wbs_dat_i[11] 1.50 1.71 -0.21 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3443]: wbs_dat_i[16] 1.50 1.71 -0.21 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3444]: wbs_adr_i[7] 1.50 1.70 -0.20 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3445]: wbs_dat_i[8] 1.50 1.69 -0.19 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3446]: wbs_dat_i[12] 1.50 1.68 -0.19 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3447]: wbs_adr_i[6] 1.50 1.68 -0.19 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3448]: wbs_dat_i[14] 1.50 1.67 -0.18 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3449]: wbs_dat_i[7] 1.50 1.67 -0.17 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3450]: wbs_dat_i[13] 1.50 1.66 -0.16 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3451]: wbs_dat_i[9] 1.50 1.66 -0.16 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3452]: wbs_adr_i[20] 1.50 1.63 -0.13 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3453]: wbs_sel_i[3] 1.50 1.63 -0.13 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3454]: wbs_dat_i[3] 1.50 1.62 -0.12 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3455]: wbs_adr_i[18] 1.50 1.61 -0.11 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3456]: wbs_dat_i[17] 1.50 1.60 -0.11 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3457]: wbs_dat_i[24] 1.50 1.60 -0.11 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3458]: wbs_dat_i[25] 1.50 1.60 -0.10 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3459]: wbs_adr_i[24] 1.50 1.60 -0.10 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3460]: wbs_adr_i[22] 1.50 1.60 -0.10 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3461]: wbs_adr_i[13] 1.50 1.60 -0.10 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3462]: wbs_dat_i[20] 1.50 1.60 -0.10 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3463]: wbs_dat_i[26] 1.50 1.60 -0.10 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3464]: wbs_adr_i[25] 1.50 1.60 -0.10 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3465]: wbs_adr_i[11] 1.50 1.60 -0.10 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3466]: wbs_adr_i[26] 1.50 1.59 -0.09 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3467]: wbs_adr_i[23] 1.50 1.58 -0.08 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3468]: wbs_adr_i[27] 1.50 1.57 -0.08 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3469]: wbs_adr_i[14] 1.50 1.57 -0.07 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3470]: wbs_dat_i[23] 1.50 1.56 -0.06 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3471]: wbs_dat_i[29] 1.50 1.56 -0.06 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3472]: wbs_dat_i[30] 1.50 1.56 -0.06 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3473]: wbs_dat_i[28] 1.50 1.55 -0.06 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3474]: wbs_dat_i[27] 1.50 1.55 -0.05 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3475]: wbs_dat_i[22] 1.50 1.55 -0.05 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3476]: wbs_adr_i[17] 1.50 1.53 -0.03 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3477]: wbs_dat_i[19] 1.50 1.53 -0.03 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3478]: wbs_cyc_i 1.50 1.50 -0.00 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3479]: wbs_adr_i[19] 1.50 1.50 -0.00 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3485]: wb_rst_i 5 7 -2 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3491]: wb_rst_i 0.33 0.91 -0.58 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3492]: io_in[34] 0.33 0.87 -0.54 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3493]: io_in[33] 0.33 0.77 -0.44 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3494]: io_in[37] 0.33 0.73 -0.40 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3495]: io_in[32] 0.33 0.69 -0.36 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3496]: io_in[36] 0.33 0.67 -0.34 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3497]: io_in[31] 0.33 0.65 -0.32 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3498]: io_in[29] 0.33 0.60 -0.27 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3499]: io_in[35] 0.33 0.54 -0.20 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3500]: io_in[23] 0.33 0.53 -0.20 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3501]: io_in[30] 0.33 0.51 -0.18 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3502]: io_in[22] 0.33 0.48 -0.15 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3503]: io_in[21] 0.33 0.46 -0.13 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3504]: wbs_adr_i[0] 0.33 0.41 -0.08 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3505]: wbs_dat_i[0] 0.33 0.40 -0.07 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3506]: wbs_dat_i[5] 0.33 0.40 -0.07 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3507]: wbs_sel_i[2] 0.33 0.40 -0.07 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3508]: wbs_adr_i[9] 0.33 0.40 -0.06 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3509]: wbs_adr_i[10] 0.33 0.40 -0.06 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3510]: wbs_adr_i[8] 0.33 0.40 -0.06 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3511]: wbs_dat_i[10] 0.33 0.39 -0.06 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3512]: wbs_adr_i[15] 0.33 0.39 -0.06 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3513]: wbs_stb_i 0.33 0.39 -0.06 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3514]: wbs_dat_i[11] 0.33 0.39 -0.06 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3515]: wbs_adr_i[7] 0.33 0.39 -0.06 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3516]: wbs_sel_i[1] 0.33 0.39 -0.06 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3517]: wbs_we_i 0.33 0.39 -0.06 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3518]: wbs_dat_i[16] 0.33 0.39 -0.06 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3519]: wbs_dat_i[8] 0.33 0.39 -0.06 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3520]: wbs_adr_i[6] 0.33 0.39 -0.06 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3521]: wbs_dat_i[12] 0.33 0.39 -0.05 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3522]: wbs_dat_i[7] 0.33 0.38 -0.05 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3523]: wbs_dat_i[14] 0.33 0.38 -0.05 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3524]: wbs_dat_i[9] 0.33 0.38 -0.05 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3525]: wbs_dat_i[13] 0.33 0.38 -0.05 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3526]: wbs_dat_i[3] 0.33 0.37 -0.04 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3527]: wbs_adr_i[20] 0.33 0.37 -0.04 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3528]: wbs_sel_i[3] 0.33 0.37 -0.04 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3529]: wbs_adr_i[18] 0.33 0.37 -0.04 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3530]: wbs_dat_i[17] 0.33 0.37 -0.04 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3531]: wbs_adr_i[13] 0.33 0.37 -0.04 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3532]: wbs_adr_i[11] 0.33 0.37 -0.03 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3533]: wbs_dat_i[24] 0.33 0.37 -0.03 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3534]: wbs_dat_i[20] 0.33 0.37 -0.03 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3535]: wbs_dat_i[25] 0.33 0.36 -0.03 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3536]: wbs_adr_i[22] 0.33 0.36 -0.03 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3537]: wbs_adr_i[24] 0.33 0.36 -0.03 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3538]: wbs_dat_i[26] 0.33 0.36 -0.03 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3539]: wbs_adr_i[25] 0.33 0.36 -0.03 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3540]: wbs_adr_i[26] 0.33 0.36 -0.03 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3541]: wbs_adr_i[23] 0.33 0.36 -0.03 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3542]: wbs_adr_i[14] 0.33 0.36 -0.03 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3543]: wbs_adr_i[27] 0.33 0.36 -0.03 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3544]: wbs_dat_i[23] 0.33 0.36 -0.02 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3545]: wbs_dat_i[29] 0.33 0.35 -0.02 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3546]: wbs_dat_i[30] 0.33 0.35 -0.02 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3547]: wbs_dat_i[28] 0.33 0.35 -0.02 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3548]: wbs_dat_i[22] 0.33 0.35 -0.02 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3549]: wbs_dat_i[27] 0.33 0.35 -0.02 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3550]: wbs_adr_i[17] 0.33 0.35 -0.02 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3551]: wbs_dat_i[19] 0.33 0.35 -0.02 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3552]: wbs_cyc_i 0.33 0.35 -0.01 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3553]: wbs_adr_i[19] 0.33 0.34 -0.01 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3554]: io_in[24] 0.33 0.34 -0.01 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3555]: wbs_adr_i[16] 0.33 0.34 -0.01 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3556]: wbs_dat_i[4] 0.33 0.34 -0.01 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3560]: max slew violation count 63
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3561]: max fanout violation count 1
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\22-parasitics_sta.log[3562]: max cap violation count 66
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1501]: wb_rst_i 1.50 6.47 -4.98 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1502]: io_in[34] 1.50 6.10 -4.60 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1503]: io_in[33] 1.50 5.39 -3.89 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1504]: io_in[37] 1.50 5.22 -3.72 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1505]: io_in[32] 1.50 4.96 -3.46 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1506]: io_in[36] 1.50 4.70 -3.20 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1507]: io_in[31] 1.50 4.63 -3.14 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1508]: io_in[29] 1.50 4.31 -2.82 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1509]: io_in[23] 1.50 3.81 -2.31 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1510]: io_in[35] 1.50 3.80 -2.30 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1511]: io_in[30] 1.50 3.63 -2.13 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1512]: io_in[22] 1.50 3.52 -2.02 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1513]: io_in[21] 1.50 3.30 -1.80 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1514]: wbs_adr_i[0] 1.50 2.93 -1.43 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1515]: wbs_dat_i[0] 1.50 2.89 -1.39 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1516]: wbs_dat_i[5] 1.50 2.88 -1.39 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1517]: wbs_sel_i[2] 1.50 2.84 -1.34 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1518]: wbs_adr_i[9] 1.50 2.84 -1.34 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1519]: wbs_adr_i[10] 1.50 2.83 -1.34 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1520]: wbs_adr_i[8] 1.50 2.83 -1.33 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1521]: wbs_dat_i[10] 1.50 2.83 -1.33 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1522]: wbs_stb_i 1.50 2.82 -1.33 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1523]: wbs_adr_i[15] 1.50 2.82 -1.33 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1524]: wbs_dat_i[11] 1.50 2.81 -1.32 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1525]: wbs_sel_i[1] 1.50 2.80 -1.30 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1526]: wbs_we_i 1.50 2.80 -1.30 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1527]: wbs_adr_i[7] 1.50 2.80 -1.30 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1528]: wbs_dat_i[16] 1.50 2.80 -1.30 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1529]: wbs_dat_i[8] 1.50 2.78 -1.28 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1530]: wbs_adr_i[6] 1.50 2.77 -1.27 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1531]: wbs_dat_i[12] 1.50 2.77 -1.27 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1532]: wbs_dat_i[7] 1.50 2.75 -1.25 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1533]: wbs_dat_i[14] 1.50 2.75 -1.25 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1534]: wbs_dat_i[9] 1.50 2.74 -1.24 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1535]: wbs_dat_i[13] 1.50 2.73 -1.23 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1536]: wbs_dat_i[3] 1.50 2.67 -1.17 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1537]: wbs_adr_i[20] 1.50 2.66 -1.16 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1538]: wbs_sel_i[3] 1.50 2.66 -1.16 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1539]: wbs_adr_i[18] 1.50 2.64 -1.14 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1540]: wbs_dat_i[17] 1.50 2.63 -1.13 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1541]: wbs_adr_i[13] 1.50 2.63 -1.13 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1542]: wbs_adr_i[11] 1.50 2.62 -1.12 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1543]: wbs_dat_i[24] 1.50 2.62 -1.12 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1544]: wbs_dat_i[20] 1.50 2.62 -1.12 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1545]: wbs_dat_i[25] 1.50 2.62 -1.12 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1546]: wbs_adr_i[22] 1.50 2.61 -1.12 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1547]: wbs_adr_i[24] 1.50 2.61 -1.12 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1548]: wbs_dat_i[26] 1.50 2.61 -1.11 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1549]: wbs_adr_i[25] 1.50 2.60 -1.10 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1550]: wbs_adr_i[26] 1.50 2.59 -1.09 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1551]: wbs_adr_i[23] 1.50 2.58 -1.08 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1552]: wbs_adr_i[14] 1.50 2.57 -1.07 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1553]: wbs_adr_i[27] 1.50 2.57 -1.07 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1554]: wbs_dat_i[23] 1.50 2.55 -1.05 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1555]: wbs_dat_i[29] 1.50 2.54 -1.04 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1556]: wbs_dat_i[30] 1.50 2.54 -1.04 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1557]: wbs_dat_i[28] 1.50 2.54 -1.04 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1558]: wbs_dat_i[27] 1.50 2.54 -1.04 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1559]: wbs_dat_i[22] 1.50 2.53 -1.03 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1560]: wbs_adr_i[17] 1.50 2.51 -1.01 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1561]: wbs_dat_i[19] 1.50 2.49 -1.00 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1562]: wbs_cyc_i 1.50 2.48 -0.98 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1563]: wbs_adr_i[19] 1.50 2.45 -0.95 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1564]: wbs_adr_i[16] 1.50 2.42 -0.93 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1565]: wbs_dat_i[4] 1.50 2.41 -0.91 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1566]: io_in[24] 1.50 2.40 -0.91 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1567]: wbs_adr_i[12] 1.50 2.37 -0.87 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1568]: wbs_adr_i[21] 1.50 2.32 -0.82 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1569]: io_in[20] 1.50 2.32 -0.82 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1570]: wbs_dat_i[18] 1.50 2.31 -0.81 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1571]: wbs_adr_i[31] 1.50 2.28 -0.79 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1572]: io_in[16] 1.50 2.27 -0.78 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1573]: wbs_adr_i[30] 1.50 2.24 -0.74 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1574]: io_in[25] 1.50 2.22 -0.72 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1575]: io_in[3] 1.50 2.21 -0.71 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1576]: wbs_adr_i[3] 1.50 2.20 -0.71 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1577]: io_in[4] 1.50 2.16 -0.66 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1578]: io_in[2] 1.50 2.13 -0.63 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1579]: wbs_adr_i[2] 1.50 2.12 -0.62 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1580]: wbs_adr_i[29] 1.50 2.06 -0.56 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1581]: wbs_sel_i[0] 1.50 2.06 -0.56 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1582]: io_in[26] 1.50 2.04 -0.54 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1583]: wbs_dat_i[2] 1.50 2.02 -0.52 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1584]: wbs_adr_i[5] 1.50 2.00 -0.50 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1585]: wbs_dat_i[6] 1.50 1.99 -0.49 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1586]: wbs_adr_i[4] 1.50 1.98 -0.48 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1587]: wbs_adr_i[1] 1.50 1.96 -0.46 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1588]: wbs_dat_i[1] 1.50 1.96 -0.46 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1589]: io_in[1] 1.50 1.94 -0.44 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1590]: wbs_dat_i[15] 1.50 1.93 -0.44 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1591]: io_in[0] 1.50 1.91 -0.41 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1592]: io_in[27] 1.50 1.88 -0.39 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1593]: wbs_dat_i[21] 1.50 1.85 -0.35 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1594]: wbs_adr_i[28] 1.50 1.80 -0.30 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1595]: io_in[28] 1.50 1.78 -0.28 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1596]: wbs_dat_i[31] 1.50 1.77 -0.27 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1597]: io_in[15] 1.50 1.76 -0.27 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1598]: io_in[18] 1.50 1.74 -0.25 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1599]: io_in[17] 1.50 1.74 -0.24 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1600]: io_in[5] 1.50 1.73 -0.23 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1601]: io_in[6] 1.50 1.57 -0.07 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1602]: io_in[19] 1.50 1.51 -0.01 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1603]: io_in[7] 1.50 1.51 -0.01 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1609]: wb_rst_i 5 7 -2 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1615]: wb_rst_i 0.21 0.92 -0.71 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1616]: io_in[34] 0.21 0.87 -0.66 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1617]: io_in[33] 0.21 0.77 -0.56 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1618]: io_in[37] 0.21 0.73 -0.52 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1619]: io_in[32] 0.21 0.69 -0.48 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1620]: io_in[36] 0.21 0.67 -0.46 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1621]: io_in[31] 0.21 0.65 -0.45 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1622]: io_in[29] 0.21 0.62 -0.41 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1623]: io_in[35] 0.21 0.54 -0.33 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1624]: io_in[23] 0.21 0.53 -0.32 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1625]: io_in[30] 0.21 0.51 -0.30 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1626]: io_in[22] 0.21 0.49 -0.28 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1627]: io_in[21] 0.21 0.46 -0.25 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1628]: wbs_adr_i[0] 0.21 0.41 -0.20 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1629]: wbs_dat_i[0] 0.21 0.40 -0.19 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1630]: wbs_dat_i[5] 0.21 0.40 -0.19 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1631]: wbs_sel_i[2] 0.21 0.40 -0.19 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1632]: wbs_adr_i[9] 0.21 0.40 -0.19 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1633]: wbs_adr_i[10] 0.21 0.40 -0.19 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1634]: wbs_adr_i[8] 0.21 0.40 -0.19 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1635]: wbs_dat_i[10] 0.21 0.39 -0.18 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1636]: wbs_adr_i[15] 0.21 0.39 -0.18 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1637]: wbs_stb_i 0.21 0.39 -0.18 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1638]: wbs_dat_i[11] 0.21 0.39 -0.18 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1639]: wbs_adr_i[7] 0.21 0.39 -0.18 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1640]: wbs_sel_i[1] 0.21 0.39 -0.18 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1641]: wbs_we_i 0.21 0.39 -0.18 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1642]: wbs_dat_i[16] 0.21 0.39 -0.18 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1643]: wbs_dat_i[8] 0.21 0.39 -0.18 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1644]: wbs_adr_i[6] 0.21 0.39 -0.18 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1645]: wbs_dat_i[12] 0.21 0.39 -0.18 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1646]: wbs_dat_i[7] 0.21 0.38 -0.17 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1647]: wbs_dat_i[14] 0.21 0.38 -0.17 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1648]: wbs_dat_i[9] 0.21 0.38 -0.17 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1649]: wbs_dat_i[13] 0.21 0.38 -0.17 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1650]: wbs_dat_i[3] 0.21 0.37 -0.16 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1651]: wbs_adr_i[20] 0.21 0.37 -0.16 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1652]: wbs_sel_i[3] 0.21 0.37 -0.16 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1653]: wbs_adr_i[18] 0.21 0.37 -0.16 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1654]: wbs_dat_i[17] 0.21 0.37 -0.16 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1655]: wbs_adr_i[13] 0.21 0.37 -0.16 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1656]: wbs_adr_i[11] 0.21 0.37 -0.16 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1657]: wbs_dat_i[24] 0.21 0.37 -0.16 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1658]: wbs_dat_i[20] 0.21 0.37 -0.16 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1659]: wbs_dat_i[25] 0.21 0.36 -0.16 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1660]: wbs_adr_i[22] 0.21 0.36 -0.15 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1661]: wbs_adr_i[24] 0.21 0.36 -0.15 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1662]: wbs_dat_i[26] 0.21 0.36 -0.15 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1663]: wbs_adr_i[25] 0.21 0.36 -0.15 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1664]: wbs_adr_i[26] 0.21 0.36 -0.15 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1665]: wbs_adr_i[23] 0.21 0.36 -0.15 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1666]: wbs_adr_i[14] 0.21 0.36 -0.15 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1667]: wbs_adr_i[27] 0.21 0.36 -0.15 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1668]: wbs_dat_i[23] 0.21 0.36 -0.15 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1669]: wbs_dat_i[29] 0.21 0.35 -0.14 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1670]: wbs_dat_i[30] 0.21 0.35 -0.14 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1671]: wbs_dat_i[28] 0.21 0.35 -0.14 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1672]: wbs_dat_i[22] 0.21 0.35 -0.14 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1673]: wbs_dat_i[27] 0.21 0.35 -0.14 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1674]: wbs_adr_i[17] 0.21 0.35 -0.14 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1675]: wbs_dat_i[19] 0.21 0.35 -0.14 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1676]: wbs_cyc_i 0.21 0.35 -0.14 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1677]: wbs_adr_i[19] 0.21 0.34 -0.13 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1678]: io_in[24] 0.21 0.34 -0.13 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1679]: wbs_adr_i[16] 0.21 0.34 -0.13 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1680]: wbs_dat_i[4] 0.21 0.34 -0.13 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1681]: wbs_adr_i[12] 0.21 0.33 -0.12 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1682]: wbs_adr_i[21] 0.21 0.32 -0.11 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1683]: wbs_dat_i[18] 0.21 0.32 -0.11 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1684]: io_in[20] 0.21 0.32 -0.11 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1685]: io_in[16] 0.21 0.32 -0.11 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1686]: wbs_adr_i[31] 0.21 0.32 -0.11 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1687]: io_in[25] 0.21 0.31 -0.10 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1688]: wbs_adr_i[30] 0.21 0.31 -0.10 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1689]: io_in[3] 0.21 0.31 -0.10 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1690]: wbs_adr_i[3] 0.21 0.31 -0.10 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1691]: io_in[4] 0.21 0.30 -0.09 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1692]: io_in[2] 0.21 0.30 -0.09 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1693]: wbs_adr_i[2] 0.21 0.30 -0.09 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1694]: wbs_sel_i[0] 0.21 0.29 -0.08 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1695]: wbs_adr_i[29] 0.21 0.29 -0.08 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1696]: io_in[26] 0.21 0.29 -0.08 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1697]: wbs_dat_i[2] 0.21 0.28 -0.07 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1698]: wbs_adr_i[5] 0.21 0.28 -0.07 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1699]: wbs_dat_i[6] 0.21 0.28 -0.07 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1700]: wbs_adr_i[4] 0.21 0.28 -0.07 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1701]: wbs_adr_i[1] 0.21 0.27 -0.06 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1702]: wbs_dat_i[1] 0.21 0.27 -0.06 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1703]: io_in[1] 0.21 0.27 -0.06 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1704]: wbs_dat_i[15] 0.21 0.27 -0.06 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1705]: io_in[0] 0.21 0.27 -0.06 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1706]: io_in[27] 0.21 0.26 -0.05 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1707]: wbs_dat_i[21] 0.21 0.26 -0.05 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1708]: wbs_adr_i[28] 0.21 0.25 -0.04 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1709]: io_in[28] 0.21 0.25 -0.04 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1710]: io_in[15] 0.21 0.25 -0.04 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1711]: wbs_dat_i[31] 0.21 0.24 -0.04 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1712]: io_in[17] 0.21 0.24 -0.03 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1713]: io_in[18] 0.21 0.24 -0.03 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1714]: io_in[5] 0.21 0.24 -0.03 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1715]: io_in[6] 0.21 0.22 -0.01 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1724]: wb_rst_i 1.50 3.89 -2.39 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1725]: io_in[34] 1.50 3.48 -1.98 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1726]: io_in[37] 1.50 3.28 -1.78 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1727]: io_in[32] 1.50 3.11 -1.62 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1728]: io_in[33] 1.50 3.10 -1.60 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1729]: io_in[31] 1.50 2.81 -1.31 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1730]: io_in[36] 1.50 2.68 -1.18 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1731]: io_in[29] 1.50 2.45 -0.95 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1732]: io_in[23] 1.50 2.33 -0.83 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1733]: io_in[35] 1.50 2.28 -0.79 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1734]: io_in[30] 1.50 2.22 -0.72 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1735]: io_in[22] 1.50 2.16 -0.66 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1736]: io_in[21] 1.50 2.01 -0.51 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1737]: wbs_adr_i[0] 1.50 1.77 -0.28 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1738]: wbs_dat_i[5] 1.50 1.75 -0.25 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1739]: wbs_dat_i[0] 1.50 1.75 -0.25 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1740]: wbs_stb_i 1.50 1.73 -0.23 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1741]: wbs_sel_i[1] 1.50 1.73 -0.23 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1742]: wbs_adr_i[9] 1.50 1.72 -0.23 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1743]: wbs_adr_i[10] 1.50 1.72 -0.23 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1744]: wbs_sel_i[2] 1.50 1.72 -0.22 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1745]: wbs_dat_i[10] 1.50 1.72 -0.22 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1746]: wbs_adr_i[15] 1.50 1.72 -0.22 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1747]: wbs_adr_i[8] 1.50 1.72 -0.22 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1748]: wbs_we_i 1.50 1.72 -0.22 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1749]: wbs_dat_i[11] 1.50 1.71 -0.21 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1750]: wbs_dat_i[16] 1.50 1.71 -0.21 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1751]: wbs_adr_i[7] 1.50 1.70 -0.20 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1752]: wbs_dat_i[8] 1.50 1.69 -0.19 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1753]: wbs_dat_i[12] 1.50 1.68 -0.19 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1754]: wbs_adr_i[6] 1.50 1.68 -0.19 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1755]: wbs_dat_i[14] 1.50 1.67 -0.18 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1756]: wbs_dat_i[7] 1.50 1.67 -0.17 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1757]: wbs_dat_i[13] 1.50 1.66 -0.16 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1758]: wbs_dat_i[9] 1.50 1.66 -0.16 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1759]: wbs_adr_i[20] 1.50 1.63 -0.13 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1760]: wbs_sel_i[3] 1.50 1.63 -0.13 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1761]: wbs_dat_i[3] 1.50 1.62 -0.12 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1762]: wbs_adr_i[18] 1.50 1.61 -0.11 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1763]: wbs_dat_i[17] 1.50 1.60 -0.11 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1764]: wbs_dat_i[24] 1.50 1.60 -0.11 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1765]: wbs_dat_i[25] 1.50 1.60 -0.10 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1766]: wbs_adr_i[24] 1.50 1.60 -0.10 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1767]: wbs_adr_i[22] 1.50 1.60 -0.10 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1768]: wbs_adr_i[13] 1.50 1.60 -0.10 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1769]: wbs_dat_i[20] 1.50 1.60 -0.10 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1770]: wbs_dat_i[26] 1.50 1.60 -0.10 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1771]: wbs_adr_i[25] 1.50 1.60 -0.10 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1772]: wbs_adr_i[11] 1.50 1.60 -0.10 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1773]: wbs_adr_i[26] 1.50 1.59 -0.09 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1774]: wbs_adr_i[23] 1.50 1.58 -0.08 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1775]: wbs_adr_i[27] 1.50 1.57 -0.08 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1776]: wbs_adr_i[14] 1.50 1.57 -0.07 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1777]: wbs_dat_i[23] 1.50 1.56 -0.06 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1778]: wbs_dat_i[29] 1.50 1.56 -0.06 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1779]: wbs_dat_i[30] 1.50 1.56 -0.06 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1780]: wbs_dat_i[28] 1.50 1.55 -0.06 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1781]: wbs_dat_i[27] 1.50 1.55 -0.05 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1782]: wbs_dat_i[22] 1.50 1.55 -0.05 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1783]: wbs_adr_i[17] 1.50 1.53 -0.03 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1784]: wbs_dat_i[19] 1.50 1.53 -0.03 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1785]: wbs_cyc_i 1.50 1.50 -0.00 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1786]: wbs_adr_i[19] 1.50 1.50 -0.00 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1792]: wb_rst_i 5 7 -2 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1798]: wb_rst_i 0.33 0.92 -0.58 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1799]: io_in[34] 0.33 0.87 -0.54 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1800]: io_in[33] 0.33 0.77 -0.44 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1801]: io_in[37] 0.33 0.73 -0.40 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1802]: io_in[32] 0.33 0.69 -0.36 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1803]: io_in[36] 0.33 0.67 -0.34 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1804]: io_in[31] 0.33 0.65 -0.32 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1805]: io_in[29] 0.33 0.62 -0.28 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1806]: io_in[35] 0.33 0.54 -0.20 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1807]: io_in[23] 0.33 0.53 -0.20 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1808]: io_in[30] 0.33 0.51 -0.18 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1809]: io_in[22] 0.33 0.49 -0.16 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1810]: io_in[21] 0.33 0.46 -0.13 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1811]: wbs_adr_i[0] 0.33 0.41 -0.08 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1812]: wbs_dat_i[0] 0.33 0.40 -0.07 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1813]: wbs_dat_i[5] 0.33 0.40 -0.07 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1814]: wbs_sel_i[2] 0.33 0.40 -0.07 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1815]: wbs_adr_i[9] 0.33 0.40 -0.06 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1816]: wbs_adr_i[10] 0.33 0.40 -0.06 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1817]: wbs_adr_i[8] 0.33 0.40 -0.06 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1818]: wbs_dat_i[10] 0.33 0.39 -0.06 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1819]: wbs_adr_i[15] 0.33 0.39 -0.06 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1820]: wbs_stb_i 0.33 0.39 -0.06 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1821]: wbs_dat_i[11] 0.33 0.39 -0.06 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1822]: wbs_adr_i[7] 0.33 0.39 -0.06 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1823]: wbs_sel_i[1] 0.33 0.39 -0.06 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1824]: wbs_we_i 0.33 0.39 -0.06 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1825]: wbs_dat_i[16] 0.33 0.39 -0.06 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1826]: wbs_dat_i[8] 0.33 0.39 -0.06 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1827]: wbs_adr_i[6] 0.33 0.39 -0.06 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1828]: wbs_dat_i[12] 0.33 0.39 -0.05 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1829]: wbs_dat_i[7] 0.33 0.38 -0.05 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1830]: wbs_dat_i[14] 0.33 0.38 -0.05 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1831]: wbs_dat_i[9] 0.33 0.38 -0.05 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1832]: wbs_dat_i[13] 0.33 0.38 -0.05 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1833]: wbs_dat_i[3] 0.33 0.37 -0.04 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1834]: wbs_adr_i[20] 0.33 0.37 -0.04 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1835]: wbs_sel_i[3] 0.33 0.37 -0.04 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1836]: wbs_adr_i[18] 0.33 0.37 -0.04 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1837]: wbs_dat_i[17] 0.33 0.37 -0.04 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1838]: wbs_adr_i[13] 0.33 0.37 -0.04 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1839]: wbs_adr_i[11] 0.33 0.37 -0.03 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1840]: wbs_dat_i[24] 0.33 0.37 -0.03 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1841]: wbs_dat_i[20] 0.33 0.37 -0.03 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1842]: wbs_dat_i[25] 0.33 0.36 -0.03 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1843]: wbs_adr_i[22] 0.33 0.36 -0.03 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1844]: wbs_adr_i[24] 0.33 0.36 -0.03 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1845]: wbs_dat_i[26] 0.33 0.36 -0.03 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1846]: wbs_adr_i[25] 0.33 0.36 -0.03 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1847]: wbs_adr_i[26] 0.33 0.36 -0.03 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1848]: wbs_adr_i[23] 0.33 0.36 -0.03 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1849]: wbs_adr_i[14] 0.33 0.36 -0.03 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1850]: wbs_adr_i[27] 0.33 0.36 -0.03 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1851]: wbs_dat_i[23] 0.33 0.36 -0.02 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1852]: wbs_dat_i[29] 0.33 0.35 -0.02 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1853]: wbs_dat_i[30] 0.33 0.35 -0.02 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1854]: wbs_dat_i[28] 0.33 0.35 -0.02 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1855]: wbs_dat_i[22] 0.33 0.35 -0.02 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1856]: wbs_dat_i[27] 0.33 0.35 -0.02 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1857]: wbs_adr_i[17] 0.33 0.35 -0.02 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1858]: wbs_dat_i[19] 0.33 0.35 -0.02 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1859]: wbs_cyc_i 0.33 0.35 -0.01 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1860]: wbs_adr_i[19] 0.33 0.34 -0.01 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1861]: io_in[24] 0.33 0.34 -0.01 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1862]: wbs_adr_i[16] 0.33 0.34 -0.01 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1863]: wbs_dat_i[4] 0.33 0.34 -0.01 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1872]: wb_rst_i 1.50 2.78 -1.28 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1873]: io_in[37] 1.50 2.46 -0.96 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1874]: io_in[34] 1.50 2.39 -0.89 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1875]: io_in[32] 1.50 2.35 -0.85 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1876]: io_in[33] 1.50 2.14 -0.64 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1877]: io_in[31] 1.50 2.05 -0.55 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1878]: io_in[36] 1.50 1.83 -0.33 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1879]: io_in[23] 1.50 1.73 -0.23 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1880]: io_in[29] 1.50 1.68 -0.18 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1881]: io_in[35] 1.50 1.64 -0.14 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1882]: io_in[30] 1.50 1.63 -0.13 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1883]: io_in[22] 1.50 1.60 -0.10 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1889]: wb_rst_i 5 7 -2 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1895]: wb_rst_i 0.43 0.92 -0.48 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1896]: io_in[34] 0.43 0.87 -0.44 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1897]: io_in[33] 0.43 0.77 -0.34 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1898]: io_in[37] 0.43 0.73 -0.30 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1899]: io_in[32] 0.43 0.69 -0.26 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1900]: io_in[36] 0.43 0.67 -0.24 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1901]: io_in[31] 0.43 0.65 -0.22 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1902]: io_in[29] 0.43 0.62 -0.18 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1903]: io_in[35] 0.43 0.54 -0.10 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1904]: io_in[23] 0.43 0.53 -0.10 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1905]: io_in[30] 0.43 0.51 -0.08 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1906]: io_in[22] 0.43 0.49 -0.06 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1907]: io_in[21] 0.43 0.46 -0.03 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1911]: max slew violation count 103
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1912]: max fanout violation count 1
openlane/user_project_wrapper\runs\user_project_wrapper\logs\routing\23-parasitics_multi_corner_sta.log[1913]: max cap violation count 101
openlane/user_project_wrapper\runs\user_project_wrapper\reports\synthesis\2-synthesis_sta.slew.rpt[8]: wb_rst_i 5 7 -2 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\synthesis\2-synthesis_sta.slew.rpt[13]: max fanout violation count 1
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[8]: wb_rst_i 1.50 3.86 -2.36 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[9]: io_in[34] 1.50 3.48 -1.98 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[10]: io_in[37] 1.50 3.28 -1.78 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[11]: io_in[32] 1.50 3.11 -1.62 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[12]: io_in[33] 1.50 3.10 -1.60 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[13]: io_in[31] 1.50 2.81 -1.31 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[14]: io_in[36] 1.50 2.68 -1.18 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[15]: io_in[29] 1.50 2.39 -0.89 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[16]: io_in[23] 1.50 2.33 -0.83 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[17]: io_in[35] 1.50 2.28 -0.79 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[18]: io_in[30] 1.50 2.22 -0.72 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[19]: io_in[22] 1.50 2.09 -0.59 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[20]: io_in[21] 1.50 2.01 -0.51 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[21]: wbs_adr_i[0] 1.50 1.77 -0.28 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[22]: wbs_dat_i[5] 1.50 1.75 -0.25 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[23]: wbs_dat_i[0] 1.50 1.75 -0.25 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[24]: wbs_stb_i 1.50 1.73 -0.23 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[25]: wbs_sel_i[1] 1.50 1.73 -0.23 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[26]: wbs_adr_i[9] 1.50 1.72 -0.23 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[27]: wbs_adr_i[10] 1.50 1.72 -0.23 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[28]: wbs_sel_i[2] 1.50 1.72 -0.22 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[29]: wbs_dat_i[10] 1.50 1.72 -0.22 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[30]: wbs_adr_i[15] 1.50 1.72 -0.22 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[31]: wbs_adr_i[8] 1.50 1.72 -0.22 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[32]: wbs_we_i 1.50 1.72 -0.22 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[33]: wbs_dat_i[11] 1.50 1.71 -0.21 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[34]: wbs_dat_i[16] 1.50 1.71 -0.21 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[35]: wbs_adr_i[7] 1.50 1.70 -0.20 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[36]: wbs_dat_i[8] 1.50 1.69 -0.19 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[37]: wbs_dat_i[12] 1.50 1.68 -0.19 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[38]: wbs_adr_i[6] 1.50 1.68 -0.19 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[39]: wbs_dat_i[14] 1.50 1.67 -0.18 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[40]: wbs_dat_i[7] 1.50 1.67 -0.17 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[41]: wbs_dat_i[13] 1.50 1.66 -0.16 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[42]: wbs_dat_i[9] 1.50 1.66 -0.16 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[43]: wbs_adr_i[20] 1.50 1.63 -0.13 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[44]: wbs_sel_i[3] 1.50 1.63 -0.13 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[45]: wbs_dat_i[3] 1.50 1.62 -0.12 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[46]: wbs_adr_i[18] 1.50 1.61 -0.11 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[47]: wbs_dat_i[17] 1.50 1.60 -0.11 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[48]: wbs_dat_i[24] 1.50 1.60 -0.11 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[49]: wbs_dat_i[25] 1.50 1.60 -0.10 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[50]: wbs_adr_i[24] 1.50 1.60 -0.10 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[51]: wbs_adr_i[22] 1.50 1.60 -0.10 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[52]: wbs_adr_i[13] 1.50 1.60 -0.10 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[53]: wbs_dat_i[20] 1.50 1.60 -0.10 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[54]: wbs_dat_i[26] 1.50 1.60 -0.10 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[55]: wbs_adr_i[25] 1.50 1.60 -0.10 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[56]: wbs_adr_i[11] 1.50 1.60 -0.10 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[57]: wbs_adr_i[26] 1.50 1.59 -0.09 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[58]: wbs_adr_i[23] 1.50 1.58 -0.08 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[59]: wbs_adr_i[27] 1.50 1.57 -0.08 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[60]: wbs_adr_i[14] 1.50 1.57 -0.07 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[61]: wbs_dat_i[23] 1.50 1.56 -0.06 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[62]: wbs_dat_i[29] 1.50 1.56 -0.06 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[63]: wbs_dat_i[30] 1.50 1.56 -0.06 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[64]: wbs_dat_i[28] 1.50 1.55 -0.06 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[65]: wbs_dat_i[27] 1.50 1.55 -0.05 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[66]: wbs_dat_i[22] 1.50 1.55 -0.05 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[67]: wbs_adr_i[17] 1.50 1.53 -0.03 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[68]: wbs_dat_i[19] 1.50 1.53 -0.03 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[69]: wbs_cyc_i 1.50 1.50 -0.00 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[70]: wbs_adr_i[19] 1.50 1.50 -0.00 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[76]: wb_rst_i 5 7 -2 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[82]: wb_rst_i 0.33 0.91 -0.58 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[83]: io_in[34] 0.33 0.87 -0.54 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[84]: io_in[33] 0.33 0.77 -0.44 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[85]: io_in[37] 0.33 0.73 -0.40 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[86]: io_in[32] 0.33 0.69 -0.36 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[87]: io_in[36] 0.33 0.67 -0.34 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[88]: io_in[31] 0.33 0.65 -0.32 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[89]: io_in[29] 0.33 0.60 -0.27 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[90]: io_in[35] 0.33 0.54 -0.20 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[91]: io_in[23] 0.33 0.53 -0.20 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[92]: io_in[30] 0.33 0.51 -0.18 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[93]: io_in[22] 0.33 0.48 -0.15 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[94]: io_in[21] 0.33 0.46 -0.13 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[95]: wbs_adr_i[0] 0.33 0.41 -0.08 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[96]: wbs_dat_i[0] 0.33 0.40 -0.07 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[97]: wbs_dat_i[5] 0.33 0.40 -0.07 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[98]: wbs_sel_i[2] 0.33 0.40 -0.07 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[99]: wbs_adr_i[9] 0.33 0.40 -0.06 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[100]: wbs_adr_i[10] 0.33 0.40 -0.06 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[101]: wbs_adr_i[8] 0.33 0.40 -0.06 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[102]: wbs_dat_i[10] 0.33 0.39 -0.06 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[103]: wbs_adr_i[15] 0.33 0.39 -0.06 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[104]: wbs_stb_i 0.33 0.39 -0.06 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[105]: wbs_dat_i[11] 0.33 0.39 -0.06 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[106]: wbs_adr_i[7] 0.33 0.39 -0.06 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[107]: wbs_sel_i[1] 0.33 0.39 -0.06 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[108]: wbs_we_i 0.33 0.39 -0.06 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[109]: wbs_dat_i[16] 0.33 0.39 -0.06 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[110]: wbs_dat_i[8] 0.33 0.39 -0.06 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[111]: wbs_adr_i[6] 0.33 0.39 -0.06 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[112]: wbs_dat_i[12] 0.33 0.39 -0.05 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[113]: wbs_dat_i[7] 0.33 0.38 -0.05 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[114]: wbs_dat_i[14] 0.33 0.38 -0.05 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[115]: wbs_dat_i[9] 0.33 0.38 -0.05 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[116]: wbs_dat_i[13] 0.33 0.38 -0.05 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[117]: wbs_dat_i[3] 0.33 0.37 -0.04 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[118]: wbs_adr_i[20] 0.33 0.37 -0.04 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[119]: wbs_sel_i[3] 0.33 0.37 -0.04 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[120]: wbs_adr_i[18] 0.33 0.37 -0.04 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[121]: wbs_dat_i[17] 0.33 0.37 -0.04 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[122]: wbs_adr_i[13] 0.33 0.37 -0.04 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[123]: wbs_adr_i[11] 0.33 0.37 -0.03 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[124]: wbs_dat_i[24] 0.33 0.37 -0.03 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[125]: wbs_dat_i[20] 0.33 0.37 -0.03 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[126]: wbs_dat_i[25] 0.33 0.36 -0.03 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[127]: wbs_adr_i[22] 0.33 0.36 -0.03 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[128]: wbs_adr_i[24] 0.33 0.36 -0.03 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[129]: wbs_dat_i[26] 0.33 0.36 -0.03 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[130]: wbs_adr_i[25] 0.33 0.36 -0.03 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[131]: wbs_adr_i[26] 0.33 0.36 -0.03 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[132]: wbs_adr_i[23] 0.33 0.36 -0.03 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[133]: wbs_adr_i[14] 0.33 0.36 -0.03 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[134]: wbs_adr_i[27] 0.33 0.36 -0.03 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[135]: wbs_dat_i[23] 0.33 0.36 -0.02 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[136]: wbs_dat_i[29] 0.33 0.35 -0.02 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[137]: wbs_dat_i[30] 0.33 0.35 -0.02 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[138]: wbs_dat_i[28] 0.33 0.35 -0.02 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[139]: wbs_dat_i[22] 0.33 0.35 -0.02 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[140]: wbs_dat_i[27] 0.33 0.35 -0.02 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[141]: wbs_adr_i[17] 0.33 0.35 -0.02 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[142]: wbs_dat_i[19] 0.33 0.35 -0.02 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[143]: wbs_cyc_i 0.33 0.35 -0.01 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[144]: wbs_adr_i[19] 0.33 0.34 -0.01 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[145]: io_in[24] 0.33 0.34 -0.01 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[146]: wbs_adr_i[16] 0.33 0.34 -0.01 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[147]: wbs_dat_i[4] 0.33 0.34 -0.01 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[151]: max slew violation count 63
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[152]: max fanout violation count 1
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\22-parasitics_sta.slew.rpt[153]: max cap violation count 66
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[11]: wb_rst_i 1.50 6.47 -4.98 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[12]: io_in[34] 1.50 6.10 -4.60 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[13]: io_in[33] 1.50 5.39 -3.89 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[14]: io_in[37] 1.50 5.22 -3.72 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[15]: io_in[32] 1.50 4.96 -3.46 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[16]: io_in[36] 1.50 4.70 -3.20 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[17]: io_in[31] 1.50 4.63 -3.14 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[18]: io_in[29] 1.50 4.31 -2.82 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[19]: io_in[23] 1.50 3.81 -2.31 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[20]: io_in[35] 1.50 3.80 -2.30 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[21]: io_in[30] 1.50 3.63 -2.13 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[22]: io_in[22] 1.50 3.52 -2.02 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[23]: io_in[21] 1.50 3.30 -1.80 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[24]: wbs_adr_i[0] 1.50 2.93 -1.43 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[25]: wbs_dat_i[0] 1.50 2.89 -1.39 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[26]: wbs_dat_i[5] 1.50 2.88 -1.39 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[27]: wbs_sel_i[2] 1.50 2.84 -1.34 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[28]: wbs_adr_i[9] 1.50 2.84 -1.34 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[29]: wbs_adr_i[10] 1.50 2.83 -1.34 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[30]: wbs_adr_i[8] 1.50 2.83 -1.33 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[31]: wbs_dat_i[10] 1.50 2.83 -1.33 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[32]: wbs_stb_i 1.50 2.82 -1.33 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[33]: wbs_adr_i[15] 1.50 2.82 -1.33 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[34]: wbs_dat_i[11] 1.50 2.81 -1.32 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[35]: wbs_sel_i[1] 1.50 2.80 -1.30 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[36]: wbs_we_i 1.50 2.80 -1.30 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[37]: wbs_adr_i[7] 1.50 2.80 -1.30 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[38]: wbs_dat_i[16] 1.50 2.80 -1.30 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[39]: wbs_dat_i[8] 1.50 2.78 -1.28 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[40]: wbs_adr_i[6] 1.50 2.77 -1.27 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[41]: wbs_dat_i[12] 1.50 2.77 -1.27 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[42]: wbs_dat_i[7] 1.50 2.75 -1.25 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[43]: wbs_dat_i[14] 1.50 2.75 -1.25 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[44]: wbs_dat_i[9] 1.50 2.74 -1.24 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[45]: wbs_dat_i[13] 1.50 2.73 -1.23 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[46]: wbs_dat_i[3] 1.50 2.67 -1.17 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[47]: wbs_adr_i[20] 1.50 2.66 -1.16 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[48]: wbs_sel_i[3] 1.50 2.66 -1.16 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[49]: wbs_adr_i[18] 1.50 2.64 -1.14 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[50]: wbs_dat_i[17] 1.50 2.63 -1.13 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[51]: wbs_adr_i[13] 1.50 2.63 -1.13 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[52]: wbs_adr_i[11] 1.50 2.62 -1.12 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[53]: wbs_dat_i[24] 1.50 2.62 -1.12 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[54]: wbs_dat_i[20] 1.50 2.62 -1.12 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[55]: wbs_dat_i[25] 1.50 2.62 -1.12 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[56]: wbs_adr_i[22] 1.50 2.61 -1.12 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[57]: wbs_adr_i[24] 1.50 2.61 -1.12 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[58]: wbs_dat_i[26] 1.50 2.61 -1.11 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[59]: wbs_adr_i[25] 1.50 2.60 -1.10 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[60]: wbs_adr_i[26] 1.50 2.59 -1.09 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[61]: wbs_adr_i[23] 1.50 2.58 -1.08 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[62]: wbs_adr_i[14] 1.50 2.57 -1.07 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[63]: wbs_adr_i[27] 1.50 2.57 -1.07 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[64]: wbs_dat_i[23] 1.50 2.55 -1.05 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[65]: wbs_dat_i[29] 1.50 2.54 -1.04 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[66]: wbs_dat_i[30] 1.50 2.54 -1.04 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[67]: wbs_dat_i[28] 1.50 2.54 -1.04 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[68]: wbs_dat_i[27] 1.50 2.54 -1.04 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[69]: wbs_dat_i[22] 1.50 2.53 -1.03 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[70]: wbs_adr_i[17] 1.50 2.51 -1.01 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[71]: wbs_dat_i[19] 1.50 2.49 -1.00 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[72]: wbs_cyc_i 1.50 2.48 -0.98 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[73]: wbs_adr_i[19] 1.50 2.45 -0.95 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[74]: wbs_adr_i[16] 1.50 2.42 -0.93 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[75]: wbs_dat_i[4] 1.50 2.41 -0.91 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[76]: io_in[24] 1.50 2.40 -0.91 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[77]: wbs_adr_i[12] 1.50 2.37 -0.87 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[78]: wbs_adr_i[21] 1.50 2.32 -0.82 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[79]: io_in[20] 1.50 2.32 -0.82 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[80]: wbs_dat_i[18] 1.50 2.31 -0.81 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[81]: wbs_adr_i[31] 1.50 2.28 -0.79 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[82]: io_in[16] 1.50 2.27 -0.78 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[83]: wbs_adr_i[30] 1.50 2.24 -0.74 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[84]: io_in[25] 1.50 2.22 -0.72 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[85]: io_in[3] 1.50 2.21 -0.71 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[86]: wbs_adr_i[3] 1.50 2.20 -0.71 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[87]: io_in[4] 1.50 2.16 -0.66 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[88]: io_in[2] 1.50 2.13 -0.63 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[89]: wbs_adr_i[2] 1.50 2.12 -0.62 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[90]: wbs_adr_i[29] 1.50 2.06 -0.56 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[91]: wbs_sel_i[0] 1.50 2.06 -0.56 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[92]: io_in[26] 1.50 2.04 -0.54 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[93]: wbs_dat_i[2] 1.50 2.02 -0.52 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[94]: wbs_adr_i[5] 1.50 2.00 -0.50 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[95]: wbs_dat_i[6] 1.50 1.99 -0.49 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[96]: wbs_adr_i[4] 1.50 1.98 -0.48 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[97]: wbs_adr_i[1] 1.50 1.96 -0.46 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[98]: wbs_dat_i[1] 1.50 1.96 -0.46 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[99]: io_in[1] 1.50 1.94 -0.44 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[100]: wbs_dat_i[15] 1.50 1.93 -0.44 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[101]: io_in[0] 1.50 1.91 -0.41 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[102]: io_in[27] 1.50 1.88 -0.39 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[103]: wbs_dat_i[21] 1.50 1.85 -0.35 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[104]: wbs_adr_i[28] 1.50 1.80 -0.30 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[105]: io_in[28] 1.50 1.78 -0.28 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[106]: wbs_dat_i[31] 1.50 1.77 -0.27 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[107]: io_in[15] 1.50 1.76 -0.27 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[108]: io_in[18] 1.50 1.74 -0.25 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[109]: io_in[17] 1.50 1.74 -0.24 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[110]: io_in[5] 1.50 1.73 -0.23 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[111]: io_in[6] 1.50 1.57 -0.07 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[112]: io_in[19] 1.50 1.51 -0.01 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[113]: io_in[7] 1.50 1.51 -0.01 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[119]: wb_rst_i 5 7 -2 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[125]: wb_rst_i 0.21 0.92 -0.71 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[126]: io_in[34] 0.21 0.87 -0.66 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[127]: io_in[33] 0.21 0.77 -0.56 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[128]: io_in[37] 0.21 0.73 -0.52 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[129]: io_in[32] 0.21 0.69 -0.48 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[130]: io_in[36] 0.21 0.67 -0.46 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[131]: io_in[31] 0.21 0.65 -0.45 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[132]: io_in[29] 0.21 0.62 -0.41 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[133]: io_in[35] 0.21 0.54 -0.33 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[134]: io_in[23] 0.21 0.53 -0.32 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[135]: io_in[30] 0.21 0.51 -0.30 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[136]: io_in[22] 0.21 0.49 -0.28 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[137]: io_in[21] 0.21 0.46 -0.25 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[138]: wbs_adr_i[0] 0.21 0.41 -0.20 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[139]: wbs_dat_i[0] 0.21 0.40 -0.19 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[140]: wbs_dat_i[5] 0.21 0.40 -0.19 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[141]: wbs_sel_i[2] 0.21 0.40 -0.19 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[142]: wbs_adr_i[9] 0.21 0.40 -0.19 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[143]: wbs_adr_i[10] 0.21 0.40 -0.19 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[144]: wbs_adr_i[8] 0.21 0.40 -0.19 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[145]: wbs_dat_i[10] 0.21 0.39 -0.18 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[146]: wbs_adr_i[15] 0.21 0.39 -0.18 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[147]: wbs_stb_i 0.21 0.39 -0.18 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[148]: wbs_dat_i[11] 0.21 0.39 -0.18 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[149]: wbs_adr_i[7] 0.21 0.39 -0.18 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[150]: wbs_sel_i[1] 0.21 0.39 -0.18 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[151]: wbs_we_i 0.21 0.39 -0.18 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[152]: wbs_dat_i[16] 0.21 0.39 -0.18 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[153]: wbs_dat_i[8] 0.21 0.39 -0.18 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[154]: wbs_adr_i[6] 0.21 0.39 -0.18 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[155]: wbs_dat_i[12] 0.21 0.39 -0.18 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[156]: wbs_dat_i[7] 0.21 0.38 -0.17 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[157]: wbs_dat_i[14] 0.21 0.38 -0.17 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[158]: wbs_dat_i[9] 0.21 0.38 -0.17 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[159]: wbs_dat_i[13] 0.21 0.38 -0.17 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[160]: wbs_dat_i[3] 0.21 0.37 -0.16 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[161]: wbs_adr_i[20] 0.21 0.37 -0.16 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[162]: wbs_sel_i[3] 0.21 0.37 -0.16 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[163]: wbs_adr_i[18] 0.21 0.37 -0.16 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[164]: wbs_dat_i[17] 0.21 0.37 -0.16 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[165]: wbs_adr_i[13] 0.21 0.37 -0.16 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[166]: wbs_adr_i[11] 0.21 0.37 -0.16 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[167]: wbs_dat_i[24] 0.21 0.37 -0.16 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[168]: wbs_dat_i[20] 0.21 0.37 -0.16 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[169]: wbs_dat_i[25] 0.21 0.36 -0.16 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[170]: wbs_adr_i[22] 0.21 0.36 -0.15 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[171]: wbs_adr_i[24] 0.21 0.36 -0.15 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[172]: wbs_dat_i[26] 0.21 0.36 -0.15 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[173]: wbs_adr_i[25] 0.21 0.36 -0.15 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[174]: wbs_adr_i[26] 0.21 0.36 -0.15 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[175]: wbs_adr_i[23] 0.21 0.36 -0.15 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[176]: wbs_adr_i[14] 0.21 0.36 -0.15 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[177]: wbs_adr_i[27] 0.21 0.36 -0.15 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[178]: wbs_dat_i[23] 0.21 0.36 -0.15 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[179]: wbs_dat_i[29] 0.21 0.35 -0.14 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[180]: wbs_dat_i[30] 0.21 0.35 -0.14 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[181]: wbs_dat_i[28] 0.21 0.35 -0.14 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[182]: wbs_dat_i[22] 0.21 0.35 -0.14 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[183]: wbs_dat_i[27] 0.21 0.35 -0.14 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[184]: wbs_adr_i[17] 0.21 0.35 -0.14 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[185]: wbs_dat_i[19] 0.21 0.35 -0.14 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[186]: wbs_cyc_i 0.21 0.35 -0.14 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[187]: wbs_adr_i[19] 0.21 0.34 -0.13 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[188]: io_in[24] 0.21 0.34 -0.13 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[189]: wbs_adr_i[16] 0.21 0.34 -0.13 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[190]: wbs_dat_i[4] 0.21 0.34 -0.13 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[191]: wbs_adr_i[12] 0.21 0.33 -0.12 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[192]: wbs_adr_i[21] 0.21 0.32 -0.11 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[193]: wbs_dat_i[18] 0.21 0.32 -0.11 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[194]: io_in[20] 0.21 0.32 -0.11 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[195]: io_in[16] 0.21 0.32 -0.11 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[196]: wbs_adr_i[31] 0.21 0.32 -0.11 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[197]: io_in[25] 0.21 0.31 -0.10 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[198]: wbs_adr_i[30] 0.21 0.31 -0.10 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[199]: io_in[3] 0.21 0.31 -0.10 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[200]: wbs_adr_i[3] 0.21 0.31 -0.10 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[201]: io_in[4] 0.21 0.30 -0.09 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[202]: io_in[2] 0.21 0.30 -0.09 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[203]: wbs_adr_i[2] 0.21 0.30 -0.09 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[204]: wbs_sel_i[0] 0.21 0.29 -0.08 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[205]: wbs_adr_i[29] 0.21 0.29 -0.08 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[206]: io_in[26] 0.21 0.29 -0.08 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[207]: wbs_dat_i[2] 0.21 0.28 -0.07 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[208]: wbs_adr_i[5] 0.21 0.28 -0.07 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[209]: wbs_dat_i[6] 0.21 0.28 -0.07 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[210]: wbs_adr_i[4] 0.21 0.28 -0.07 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[211]: wbs_adr_i[1] 0.21 0.27 -0.06 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[212]: wbs_dat_i[1] 0.21 0.27 -0.06 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[213]: io_in[1] 0.21 0.27 -0.06 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[214]: wbs_dat_i[15] 0.21 0.27 -0.06 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[215]: io_in[0] 0.21 0.27 -0.06 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[216]: io_in[27] 0.21 0.26 -0.05 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[217]: wbs_dat_i[21] 0.21 0.26 -0.05 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[218]: wbs_adr_i[28] 0.21 0.25 -0.04 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[219]: io_in[28] 0.21 0.25 -0.04 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[220]: io_in[15] 0.21 0.25 -0.04 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[221]: wbs_dat_i[31] 0.21 0.24 -0.04 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[222]: io_in[17] 0.21 0.24 -0.03 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[223]: io_in[18] 0.21 0.24 -0.03 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[224]: io_in[5] 0.21 0.24 -0.03 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[225]: io_in[6] 0.21 0.22 -0.01 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[234]: wb_rst_i 1.50 3.89 -2.39 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[235]: io_in[34] 1.50 3.48 -1.98 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[236]: io_in[37] 1.50 3.28 -1.78 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[237]: io_in[32] 1.50 3.11 -1.62 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[238]: io_in[33] 1.50 3.10 -1.60 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[239]: io_in[31] 1.50 2.81 -1.31 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[240]: io_in[36] 1.50 2.68 -1.18 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[241]: io_in[29] 1.50 2.45 -0.95 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[242]: io_in[23] 1.50 2.33 -0.83 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[243]: io_in[35] 1.50 2.28 -0.79 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[244]: io_in[30] 1.50 2.22 -0.72 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[245]: io_in[22] 1.50 2.16 -0.66 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[246]: io_in[21] 1.50 2.01 -0.51 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[247]: wbs_adr_i[0] 1.50 1.77 -0.28 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[248]: wbs_dat_i[5] 1.50 1.75 -0.25 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[249]: wbs_dat_i[0] 1.50 1.75 -0.25 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[250]: wbs_stb_i 1.50 1.73 -0.23 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[251]: wbs_sel_i[1] 1.50 1.73 -0.23 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[252]: wbs_adr_i[9] 1.50 1.72 -0.23 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[253]: wbs_adr_i[10] 1.50 1.72 -0.23 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[254]: wbs_sel_i[2] 1.50 1.72 -0.22 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[255]: wbs_dat_i[10] 1.50 1.72 -0.22 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[256]: wbs_adr_i[15] 1.50 1.72 -0.22 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[257]: wbs_adr_i[8] 1.50 1.72 -0.22 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[258]: wbs_we_i 1.50 1.72 -0.22 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[259]: wbs_dat_i[11] 1.50 1.71 -0.21 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[260]: wbs_dat_i[16] 1.50 1.71 -0.21 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[261]: wbs_adr_i[7] 1.50 1.70 -0.20 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[262]: wbs_dat_i[8] 1.50 1.69 -0.19 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[263]: wbs_dat_i[12] 1.50 1.68 -0.19 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[264]: wbs_adr_i[6] 1.50 1.68 -0.19 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[265]: wbs_dat_i[14] 1.50 1.67 -0.18 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[266]: wbs_dat_i[7] 1.50 1.67 -0.17 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[267]: wbs_dat_i[13] 1.50 1.66 -0.16 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[268]: wbs_dat_i[9] 1.50 1.66 -0.16 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[269]: wbs_adr_i[20] 1.50 1.63 -0.13 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[270]: wbs_sel_i[3] 1.50 1.63 -0.13 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[271]: wbs_dat_i[3] 1.50 1.62 -0.12 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[272]: wbs_adr_i[18] 1.50 1.61 -0.11 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[273]: wbs_dat_i[17] 1.50 1.60 -0.11 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[274]: wbs_dat_i[24] 1.50 1.60 -0.11 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[275]: wbs_dat_i[25] 1.50 1.60 -0.10 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[276]: wbs_adr_i[24] 1.50 1.60 -0.10 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[277]: wbs_adr_i[22] 1.50 1.60 -0.10 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[278]: wbs_adr_i[13] 1.50 1.60 -0.10 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[279]: wbs_dat_i[20] 1.50 1.60 -0.10 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[280]: wbs_dat_i[26] 1.50 1.60 -0.10 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[281]: wbs_adr_i[25] 1.50 1.60 -0.10 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[282]: wbs_adr_i[11] 1.50 1.60 -0.10 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[283]: wbs_adr_i[26] 1.50 1.59 -0.09 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[284]: wbs_adr_i[23] 1.50 1.58 -0.08 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[285]: wbs_adr_i[27] 1.50 1.57 -0.08 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[286]: wbs_adr_i[14] 1.50 1.57 -0.07 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[287]: wbs_dat_i[23] 1.50 1.56 -0.06 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[288]: wbs_dat_i[29] 1.50 1.56 -0.06 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[289]: wbs_dat_i[30] 1.50 1.56 -0.06 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[290]: wbs_dat_i[28] 1.50 1.55 -0.06 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[291]: wbs_dat_i[27] 1.50 1.55 -0.05 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[292]: wbs_dat_i[22] 1.50 1.55 -0.05 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[293]: wbs_adr_i[17] 1.50 1.53 -0.03 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[294]: wbs_dat_i[19] 1.50 1.53 -0.03 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[295]: wbs_cyc_i 1.50 1.50 -0.00 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[296]: wbs_adr_i[19] 1.50 1.50 -0.00 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[302]: wb_rst_i 5 7 -2 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[308]: wb_rst_i 0.33 0.92 -0.58 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[309]: io_in[34] 0.33 0.87 -0.54 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[310]: io_in[33] 0.33 0.77 -0.44 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[311]: io_in[37] 0.33 0.73 -0.40 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[312]: io_in[32] 0.33 0.69 -0.36 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[313]: io_in[36] 0.33 0.67 -0.34 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[314]: io_in[31] 0.33 0.65 -0.32 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[315]: io_in[29] 0.33 0.62 -0.28 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[316]: io_in[35] 0.33 0.54 -0.20 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[317]: io_in[23] 0.33 0.53 -0.20 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[318]: io_in[30] 0.33 0.51 -0.18 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[319]: io_in[22] 0.33 0.49 -0.16 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[320]: io_in[21] 0.33 0.46 -0.13 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[321]: wbs_adr_i[0] 0.33 0.41 -0.08 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[322]: wbs_dat_i[0] 0.33 0.40 -0.07 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[323]: wbs_dat_i[5] 0.33 0.40 -0.07 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[324]: wbs_sel_i[2] 0.33 0.40 -0.07 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[325]: wbs_adr_i[9] 0.33 0.40 -0.06 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[326]: wbs_adr_i[10] 0.33 0.40 -0.06 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[327]: wbs_adr_i[8] 0.33 0.40 -0.06 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[328]: wbs_dat_i[10] 0.33 0.39 -0.06 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[329]: wbs_adr_i[15] 0.33 0.39 -0.06 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[330]: wbs_stb_i 0.33 0.39 -0.06 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[331]: wbs_dat_i[11] 0.33 0.39 -0.06 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[332]: wbs_adr_i[7] 0.33 0.39 -0.06 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[333]: wbs_sel_i[1] 0.33 0.39 -0.06 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[334]: wbs_we_i 0.33 0.39 -0.06 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[335]: wbs_dat_i[16] 0.33 0.39 -0.06 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[336]: wbs_dat_i[8] 0.33 0.39 -0.06 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[337]: wbs_adr_i[6] 0.33 0.39 -0.06 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[338]: wbs_dat_i[12] 0.33 0.39 -0.05 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[339]: wbs_dat_i[7] 0.33 0.38 -0.05 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[340]: wbs_dat_i[14] 0.33 0.38 -0.05 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[341]: wbs_dat_i[9] 0.33 0.38 -0.05 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[342]: wbs_dat_i[13] 0.33 0.38 -0.05 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[343]: wbs_dat_i[3] 0.33 0.37 -0.04 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[344]: wbs_adr_i[20] 0.33 0.37 -0.04 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[345]: wbs_sel_i[3] 0.33 0.37 -0.04 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[346]: wbs_adr_i[18] 0.33 0.37 -0.04 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[347]: wbs_dat_i[17] 0.33 0.37 -0.04 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[348]: wbs_adr_i[13] 0.33 0.37 -0.04 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[349]: wbs_adr_i[11] 0.33 0.37 -0.03 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[350]: wbs_dat_i[24] 0.33 0.37 -0.03 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[351]: wbs_dat_i[20] 0.33 0.37 -0.03 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[352]: wbs_dat_i[25] 0.33 0.36 -0.03 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[353]: wbs_adr_i[22] 0.33 0.36 -0.03 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[354]: wbs_adr_i[24] 0.33 0.36 -0.03 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[355]: wbs_dat_i[26] 0.33 0.36 -0.03 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[356]: wbs_adr_i[25] 0.33 0.36 -0.03 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[357]: wbs_adr_i[26] 0.33 0.36 -0.03 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[358]: wbs_adr_i[23] 0.33 0.36 -0.03 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[359]: wbs_adr_i[14] 0.33 0.36 -0.03 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[360]: wbs_adr_i[27] 0.33 0.36 -0.03 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[361]: wbs_dat_i[23] 0.33 0.36 -0.02 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[362]: wbs_dat_i[29] 0.33 0.35 -0.02 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[363]: wbs_dat_i[30] 0.33 0.35 -0.02 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[364]: wbs_dat_i[28] 0.33 0.35 -0.02 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[365]: wbs_dat_i[22] 0.33 0.35 -0.02 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[366]: wbs_dat_i[27] 0.33 0.35 -0.02 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[367]: wbs_adr_i[17] 0.33 0.35 -0.02 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[368]: wbs_dat_i[19] 0.33 0.35 -0.02 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[369]: wbs_cyc_i 0.33 0.35 -0.01 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[370]: wbs_adr_i[19] 0.33 0.34 -0.01 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[371]: io_in[24] 0.33 0.34 -0.01 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[372]: wbs_adr_i[16] 0.33 0.34 -0.01 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[373]: wbs_dat_i[4] 0.33 0.34 -0.01 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[382]: wb_rst_i 1.50 2.78 -1.28 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[383]: io_in[37] 1.50 2.46 -0.96 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[384]: io_in[34] 1.50 2.39 -0.89 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[385]: io_in[32] 1.50 2.35 -0.85 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[386]: io_in[33] 1.50 2.14 -0.64 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[387]: io_in[31] 1.50 2.05 -0.55 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[388]: io_in[36] 1.50 1.83 -0.33 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[389]: io_in[23] 1.50 1.73 -0.23 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[390]: io_in[29] 1.50 1.68 -0.18 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[391]: io_in[35] 1.50 1.64 -0.14 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[392]: io_in[30] 1.50 1.63 -0.13 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[393]: io_in[22] 1.50 1.60 -0.10 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[399]: wb_rst_i 5 7 -2 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[405]: wb_rst_i 0.43 0.92 -0.48 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[406]: io_in[34] 0.43 0.87 -0.44 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[407]: io_in[33] 0.43 0.77 -0.34 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[408]: io_in[37] 0.43 0.73 -0.30 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[409]: io_in[32] 0.43 0.69 -0.26 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[410]: io_in[36] 0.43 0.67 -0.24 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[411]: io_in[31] 0.43 0.65 -0.22 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[412]: io_in[29] 0.43 0.62 -0.18 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[413]: io_in[35] 0.43 0.54 -0.10 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[414]: io_in[23] 0.43 0.53 -0.10 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[415]: io_in[30] 0.43 0.51 -0.08 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[416]: io_in[22] 0.43 0.49 -0.06 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[417]: io_in[21] 0.43 0.46 -0.03 (VIOLATED)
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[421]: max slew violation count 103
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[422]: max fanout violation count 1
openlane/user_project_wrapper\runs\user_project_wrapper\reports\routing\23-parasitics_multi_corner_sta.slew.rpt[423]: max cap violation count 101