blob: d312df83b10533d6094ea04765a5965a02c8afee [file] [log] [blame]
create_clock -name "wb_clk_i" -add -period 40 [get_ports wb_clk_i]
create_clock -name "ariel_fpga_top_inst.config_block_clk_buf.tech_clkbuf" -add -period 1000 [get_pins ariel_fpga_top_inst.config_block_clk_buf.tech_clkbuf/X]
create_clock -name "ariel_fpga_top_inst.config_vrnode_clk_buf.tech_clkbuf" -add -period 1000 [get_pins ariel_fpga_top_inst.config_vrnode_clk_buf.tech_clkbuf/X]
create_clock -name "ariel_fpga_top_inst.config_hrnode_clk_buf.tech_clkbuf" -add -period 1000 [get_pins ariel_fpga_top_inst.config_hrnode_clk_buf.tech_clkbuf/X]
set_units -time 1ns
#set input_delay_value [expr $::env(CLOCK_PERIOD) * $::env(IO_PCT)]
#set output_delay_value [expr $::env(CLOCK_PERIOD) * $::env(IO_PCT)]
#puts "\[INFO\]: Setting output delay to: $output_delay_value"
#puts "\[INFO\]: Setting input delay to: $input_delay_value"
set_max_fanout $::env(SYNTH_MAX_FANOUT) [current_design]
if {[info exists CLOCK_PORT]} {
set clk_indx [lsearch [all_inputs] [get_port $::env(CLOCK_PORT)]]
#set rst_indx [lsearch [all_inputs] [get_port resetn]]
set all_inputs_wo_clk [lreplace [all_inputs] $clk_indx $clk_indx]
#set all_inputs_wo_clk_rst [lreplace $all_inputs_wo_clk $rst_indx $rst_indx]
set all_inputs_wo_clk_rst $all_inputs_wo_clk
puts "\[INFO\]: Setting clock uncertainity to: $::env(SYNTH_CLOCK_UNCERTAINITY)"
set_clock_uncertainty $::env(SYNTH_CLOCK_UNCERTAINITY) [get_clocks $::env(CLOCK_PORT)]
}
# TODO set this as parameter
set_driving_cell -lib_cell $::env(SYNTH_DRIVING_CELL) -pin $::env(SYNTH_DRIVING_CELL_PIN) [all_inputs]
set cap_load [expr $::env(SYNTH_CAP_LOAD) / 1000.0]
puts "\[INFO\]: Setting load to: $cap_load"
set_load $cap_load [all_outputs]
puts "\[INFO\]: Setting clock transition to: $::env(SYNTH_CLOCK_TRANSITION)"
#set_clock_transition $::env(SYNTH_CLOCK_TRANSITION) [get_clocks $::env(CLOCK_PORT)]
puts "\[INFO\]: Setting timing derate to: [expr {$::env(SYNTH_TIMING_DERATE) * 10}] %"
set_timing_derate -early [expr {1-$::env(SYNTH_TIMING_DERATE)}]
set_timing_derate -late [expr {1+$::env(SYNTH_TIMING_DERATE)}]
# Disable all cross-clocking paths
set_false_path -from [get_clocks wb_clk_i] -to [get_clocks ariel_fpga_top_inst.config_block_clk_buf.tech_clkbuf]
set_false_path -from [get_clocks wb_clk_i] -to [get_clocks ariel_fpga_top_inst.config_vrnode_clk_buf.tech_clkbuf]
set_false_path -from [get_clocks wb_clk_i] -to [get_clocks ariel_fpga_top_inst.config_hrnode_clk_buf.tech_clkbuf]
set_false_path -from [get_clocks ariel_fpga_top_inst.config_block_clk_buf.tech_clkbuf] -to [get_clocks wb_clk_i]
set_false_path -from [get_clocks ariel_fpga_top_inst.config_block_clk_buf.tech_clkbuf] -to [get_clocks ariel_fpga_top_inst.config_vrnode_clk_buf.tech_clkbuf]
set_false_path -from [get_clocks ariel_fpga_top_inst.config_block_clk_buf.tech_clkbuf] -to [get_clocks ariel_fpga_top_inst.config_hrnode_clk_buf.tech_clkbuf]
set_false_path -from [get_clocks ariel_fpga_top_inst.config_vrnode_clk_buf.tech_clkbuf] -to [get_clocks wb_clk_i]
set_false_path -from [get_clocks ariel_fpga_top_inst.config_vrnode_clk_buf.tech_clkbuf] -to [get_clocks ariel_fpga_top_inst.config_block_clk_buf.tech_clkbuf]
set_false_path -from [get_clocks ariel_fpga_top_inst.config_vrnode_clk_buf.tech_clkbuf] -to [get_clocks ariel_fpga_top_inst.config_hrnode_clk_buf.tech_clkbuf]
set_false_path -from [get_clocks ariel_fpga_top_inst.config_hrnode_clk_buf.tech_clkbuf] -to [get_clocks wb_clk_i]
set_false_path -from [get_clocks ariel_fpga_top_inst.config_hrnode_clk_buf.tech_clkbuf] -to [get_clocks ariel_fpga_top_inst.config_block_clk_buf.tech_clkbuf]
set_false_path -from [get_clocks ariel_fpga_top_inst.config_hrnode_clk_buf.tech_clkbuf] -to [get_clocks ariel_fpga_top_inst.config_vrnode_clk_buf.tech_clkbuf]
set BUFIPIN [lindex [lreverse [split [lindex [get_name [lindex [get_pin -hier *tech_buf/*] 0]] 0] /]] 0]
set BUFOPIN [lindex [lreverse [split [lindex [get_name [lindex [get_pin -hier *tech_buf/*] 1]] 0] /]] 0]
set_disable_timing [get_cells *loop_breaker*]
# Routing node <-> LB constraints
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:1.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:1.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:1.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:1.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:1.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:1.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:1.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:1.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:1.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:1.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:1.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:1.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:1.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:1.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:1.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:1.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:1.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:1.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:1.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:1.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:1.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:1.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:1.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:1.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:1.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:1.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:1.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:1.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:2.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:2.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:2.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:2.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:2.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:2.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:2.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:2.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:2.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:2.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:2.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:2.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:2.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:2.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:2.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:2.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:2.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:2.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:2.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:2.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:2.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:2.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:2.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:2.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:3.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:3.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:3.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:3.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:3.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:3.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:3.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:3.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:3.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:3.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:3.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:3.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:3.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:3.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:3.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:3.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:3.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:3.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:3.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:3.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:3.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:3.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:3.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:3.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:4.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:4.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:4.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:4.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:4.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:4.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:4.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:4.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:4.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:4.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:4.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:4.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:4.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:4.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:4.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:4.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:4.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:4.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:4.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:4.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:4.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:4.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:4.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:4.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:5.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:5.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:5.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:5.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:5.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:5.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:5.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:5.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:5.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:5.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:5.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:5.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:5.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:5.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:5.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:5.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:5.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:5.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:5.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:5.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:5.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:5.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:5.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:5.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:6.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:6.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:6.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:6.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:6.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:6.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:6.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:6.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:6.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:6.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:6.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:6.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:6.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:6.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:6.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:6.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:6.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:6.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:6.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:6.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:6.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:6.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:6.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:6.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:7.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:7.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:7.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:7.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:7.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:7.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:7.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:7.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:7.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:7.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:7.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:7.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:7.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:7.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:7.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:7.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:7.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:7.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:7.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:7.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:7.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:7.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:7.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:7.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:8.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:8.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:8.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:8.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:8.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:8.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:8.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:8.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:8.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:8.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:8.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:8.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:8.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:8.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:8.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:8.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:8.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:8.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:8.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:8.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:8.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:8.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:8.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:8.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:9.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:9.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:9.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:9.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:9.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:9.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:9.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:9.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:9.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:9.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:9.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:9.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:9.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:9.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:9.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:9.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:9.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:9.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:9.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:9.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:9.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:9.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:9.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:9.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:10.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:10.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:10.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:10.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:10.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:10.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:10.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:10.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:10.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:10.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:10.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:10.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:10.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:10.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:10.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:10.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:10.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:10.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:10.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:10.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:10.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:10.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:10.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:10.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:11.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:11.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:11.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:11.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:11.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:11.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:11.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:11.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:12.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:11.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:11.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:12.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:11.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:11.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:11.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:11.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:11.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:11.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:11.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:11.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:11.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:11.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:12.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:11.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:11.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:12.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:11.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:1.struct_blocks_y:11.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:1.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:1.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:1.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:1.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:1.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:1.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:1.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:1.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:1.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:1.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:1.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:1.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:1.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:1.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:1.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:1.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:1.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:1.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:1.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:1.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:1.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:1.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:1.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:1.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:1.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:1.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:1.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:1.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:2.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:2.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:2.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:2.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:2.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:2.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:2.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:2.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:2.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:2.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:2.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:2.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:2.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:2.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:2.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:2.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:2.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:2.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:2.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:2.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:2.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:2.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:2.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:2.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:3.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:3.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:3.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:3.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:3.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:3.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:3.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:3.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:3.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:3.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:3.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:3.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:3.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:3.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:3.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:3.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:3.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:3.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:3.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:3.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:3.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:3.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:3.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:3.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:4.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:4.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:4.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:4.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:4.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:4.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:4.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:4.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:4.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:4.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:4.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:4.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:4.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:4.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:4.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:4.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:4.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:4.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:4.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:4.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:4.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:4.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:4.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:4.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:5.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:5.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:5.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:5.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:5.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:5.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:5.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:5.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:5.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:5.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:5.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:5.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:5.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:5.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:5.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:5.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:5.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:5.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:5.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:5.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:5.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:5.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:5.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:5.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:6.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:6.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:6.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:6.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:6.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:6.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:6.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:6.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:6.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:6.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:6.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:6.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:6.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:6.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:6.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:6.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:6.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:6.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:6.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:6.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:6.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:6.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:6.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:6.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:7.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:7.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:7.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:7.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:7.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:7.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:7.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:7.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:7.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:7.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:7.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:7.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:7.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:7.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:7.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:7.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:7.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:7.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:7.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:7.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:7.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:7.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:7.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:7.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:8.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:8.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:8.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:8.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:8.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:8.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:8.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:8.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:8.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:8.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:8.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:8.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:8.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:8.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:8.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:8.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:8.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:8.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:8.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:8.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:8.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:8.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:8.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:8.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:9.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:9.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:9.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:9.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:9.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:9.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:9.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:9.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:9.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:9.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:9.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:9.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:9.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:9.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:9.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:9.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:9.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:9.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:9.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:9.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:9.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:9.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:9.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:9.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:10.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:10.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:10.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:10.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:10.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:10.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:10.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:10.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:10.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:10.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:10.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:10.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:10.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:10.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:10.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:10.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:10.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:10.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:10.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:10.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:10.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:10.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:10.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:10.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:11.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:11.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:11.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:11.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:11.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:11.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:11.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:11.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:12.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:11.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:11.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:12.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:11.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:11.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:11.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:11.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:11.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:11.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:11.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:11.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:11.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:11.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:12.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:11.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:11.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:12.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:11.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:2.struct_blocks_y:11.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:1.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:1.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:1.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:1.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:1.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:1.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:1.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:1.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:1.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:1.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:1.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:1.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:1.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:1.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:1.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:1.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:1.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:1.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:1.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:1.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:1.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:1.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:1.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:1.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:1.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:1.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:1.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:1.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:2.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:2.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:2.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:2.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:2.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:2.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:2.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:2.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:2.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:2.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:2.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:2.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:2.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:2.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:2.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:2.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:2.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:2.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:2.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:2.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:2.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:2.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:2.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:2.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:3.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:3.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:3.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:3.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:3.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:3.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:3.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:3.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:3.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:3.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:3.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:3.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:3.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:3.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:3.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:3.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:3.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:3.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:3.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:3.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:3.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:3.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:3.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:3.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:4.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:4.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:4.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:4.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:4.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:4.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:4.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:4.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:4.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:4.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:4.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:4.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:4.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:4.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:4.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:4.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:4.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:4.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:4.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:4.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:4.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:4.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:4.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:4.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:5.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:5.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:5.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:5.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:5.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:5.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:5.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:5.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:5.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:5.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:5.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:5.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:5.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:5.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:5.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:5.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:5.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:5.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:5.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:5.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:5.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:5.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:5.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:5.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:6.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:6.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:6.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:6.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:6.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:6.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:6.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:6.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:6.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:6.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:6.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:6.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:6.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:6.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:6.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:6.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:6.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:6.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:6.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:6.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:6.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:6.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:6.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:6.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:7.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:7.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:7.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:7.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:7.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:7.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:7.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:7.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:7.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:7.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:7.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:7.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:7.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:7.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:7.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:7.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:7.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:7.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:7.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:7.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:7.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:7.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:7.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:7.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:8.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:8.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:8.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:8.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:8.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:8.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:8.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:8.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:8.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:8.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:8.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:8.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:8.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:8.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:8.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:8.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:8.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:8.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:8.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:8.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:8.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:8.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:8.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:8.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:9.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:9.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:9.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:9.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:9.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:9.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:9.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:9.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:9.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:9.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:9.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:9.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:9.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:9.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:9.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:9.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:9.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:9.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:9.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:9.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:9.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:9.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:9.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:9.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:10.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:10.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:10.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:10.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:10.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:10.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:10.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:10.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:10.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:10.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:10.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:10.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:10.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:10.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:10.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:10.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:10.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:10.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:10.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:10.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:10.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:10.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:10.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:10.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:11.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:11.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:11.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:11.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:11.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:11.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:11.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:11.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:12.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:11.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:11.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:12.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:11.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:11.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:11.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:11.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:11.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:11.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:11.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:11.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:11.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:11.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:12.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:11.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:11.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:12.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:11.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:3.struct_blocks_y:11.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:1.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:1.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:1.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:1.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:1.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:1.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:1.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:1.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:1.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:1.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:1.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:1.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:1.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:1.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:1.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:1.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:1.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:1.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:1.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:1.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:1.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:1.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:1.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:1.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:1.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:1.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:1.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:1.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:2.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:2.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:2.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:2.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:2.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:2.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:2.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:2.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:2.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:2.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:2.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:2.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:2.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:2.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:2.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:2.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:2.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:2.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:2.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:2.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:2.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:2.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:2.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:2.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:3.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:3.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:3.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:3.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:3.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:3.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:3.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:3.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:3.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:3.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:3.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:3.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:3.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:3.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:3.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:3.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:3.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:3.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:3.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:3.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:3.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:3.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:3.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:3.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:4.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:4.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:4.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:4.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:4.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:4.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:4.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:4.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:4.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:4.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:4.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:4.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:4.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:4.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:4.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:4.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:4.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:4.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:4.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:4.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:4.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:4.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:4.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:4.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:5.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:5.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:5.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:5.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:5.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:5.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:5.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:5.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:5.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:5.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:5.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:5.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:5.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:5.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:5.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:5.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:5.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:5.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:5.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:5.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:5.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:5.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:5.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:5.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:6.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:6.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:6.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:6.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:6.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:6.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:6.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:6.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:6.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:6.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:6.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:6.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:6.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:6.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:6.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:6.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:6.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:6.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:6.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:6.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:6.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:6.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:6.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:6.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:7.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:7.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:7.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:7.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:7.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:7.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:7.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:7.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:7.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:7.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:7.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:7.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:7.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:7.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:7.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:7.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:7.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:7.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:7.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:7.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:7.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:7.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:7.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:7.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:8.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:8.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:8.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:8.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:8.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:8.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:8.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:8.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:8.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:8.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:8.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:8.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:8.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:8.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:8.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:8.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:8.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:8.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:8.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:8.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:8.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:8.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:8.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:8.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:9.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:9.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:9.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:9.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:9.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:9.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:9.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:9.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:9.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:9.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:9.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:9.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:9.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:9.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:9.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:9.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:9.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:9.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:9.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:9.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:9.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:9.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:9.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:9.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:10.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:10.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:10.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:10.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:10.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:10.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:10.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:10.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:10.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:10.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:10.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:10.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:10.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:10.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:10.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:10.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:10.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:10.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:10.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:10.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:10.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:10.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:10.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:10.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:11.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:11.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:11.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:11.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:11.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:11.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:11.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:11.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:12.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:11.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:11.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:12.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:11.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:11.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:11.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:11.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:11.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:11.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:11.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:11.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:11.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:11.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:12.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:11.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:11.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:12.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:11.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:4.struct_blocks_y:11.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:1.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:1.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:1.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:1.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:1.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:1.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:1.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:1.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:1.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:1.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:1.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:1.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:1.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:1.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:1.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:1.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:1.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:1.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:1.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:1.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:1.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:1.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:1.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:1.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:1.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:1.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:1.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:1.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:2.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:2.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:2.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:2.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:2.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:2.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:2.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:2.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:2.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:2.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:2.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:2.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:2.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:2.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:2.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:2.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:2.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:2.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:2.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:2.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:2.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:2.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:2.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:2.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:3.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:3.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:3.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:3.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:3.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:3.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:3.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:3.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:3.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:3.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:3.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:3.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:3.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:3.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:3.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:3.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:3.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:3.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:3.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:3.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:3.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:3.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:3.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:3.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:4.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:4.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:4.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:4.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:4.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:4.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:4.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:4.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:4.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:4.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:4.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:4.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:4.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:4.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:4.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:4.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:4.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:4.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:4.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:4.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:4.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:4.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:4.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:4.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:5.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:5.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:5.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:5.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:5.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:5.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:5.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:5.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:5.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:5.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:5.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:5.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:5.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:5.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:5.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:5.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:5.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:5.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:5.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:5.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:5.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:5.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:5.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:5.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:6.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:6.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:6.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:6.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:6.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:6.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:6.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:6.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:6.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:6.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:6.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:6.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:6.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:6.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:6.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:6.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:6.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:6.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:6.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:6.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:6.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:6.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:6.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:6.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:7.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:7.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:7.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:7.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:7.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:7.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:7.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:7.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:7.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:7.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:7.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:7.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:7.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:7.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:7.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:7.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:7.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:7.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:7.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:7.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:7.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:7.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:7.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:7.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:8.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:8.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:8.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:8.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:8.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:8.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:8.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:8.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:8.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:8.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:8.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:8.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:8.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:8.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:8.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:8.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:8.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:8.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:8.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:8.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:8.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:8.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:8.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:8.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:9.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:9.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:9.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:9.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:9.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:9.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:9.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:9.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:9.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:9.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:9.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:9.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:9.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:9.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:9.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:9.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:9.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:9.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:9.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:9.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:9.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:9.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:9.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:9.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:10.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:10.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:10.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:10.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:10.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:10.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:10.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:10.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:10.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:10.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:10.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:10.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:10.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:10.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:10.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:10.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:10.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:10.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:10.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:10.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:10.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:10.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:10.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:10.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:11.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:11.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:11.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:11.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:11.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:11.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:11.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:11.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:12.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:11.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:11.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:12.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:11.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:11.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:11.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:11.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:11.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:11.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:11.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:11.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:11.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:11.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:12.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:11.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:11.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:12.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:11.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:5.struct_blocks_y:11.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:1.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:1.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:1.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:1.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:1.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:1.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:1.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:1.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:1.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:1.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:1.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:1.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:1.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:1.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:1.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:1.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:1.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:1.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:1.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:1.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:1.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:1.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:1.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:1.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:1.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:1.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:1.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:1.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:2.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:2.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:2.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:2.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:2.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:2.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:2.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:2.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:2.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:2.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:2.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:2.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:2.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:2.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:2.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:2.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:2.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:2.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:2.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:2.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:2.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:2.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:2.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:2.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:3.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:3.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:3.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:3.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:3.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:3.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:3.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:3.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:3.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:3.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:3.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:3.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:3.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:3.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:3.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:3.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:3.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:3.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:3.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:3.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:3.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:3.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:3.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:3.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:4.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:4.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:4.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:4.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:4.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:4.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:4.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:4.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:4.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:4.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:4.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:4.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:4.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:4.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:4.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:4.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:4.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:4.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:4.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:4.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:4.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:4.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:4.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:4.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:5.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:5.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:5.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:5.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:5.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:5.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:5.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:5.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:5.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:5.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:5.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:5.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:5.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:5.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:5.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:5.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:5.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:5.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:5.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:5.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:5.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:5.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:5.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:5.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:6.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:6.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:6.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:6.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:6.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:6.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:6.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:6.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:6.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:6.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:6.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:6.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:6.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:6.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:6.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:6.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:6.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:6.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:6.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:6.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:6.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:6.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:6.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:6.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:7.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:7.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:7.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:7.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:7.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:7.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:7.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:7.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:7.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:7.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:7.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:7.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:7.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:7.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:7.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:7.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:7.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:7.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:7.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:7.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:7.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:7.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:7.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:7.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:8.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:8.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:8.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:8.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:8.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:8.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:8.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:8.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:8.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:8.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:8.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:8.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:8.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:8.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:8.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:8.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:8.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:8.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:8.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:8.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:8.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:8.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:8.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:8.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:9.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:9.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:9.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:9.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:9.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:9.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:9.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:9.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:9.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:9.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:9.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:9.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:9.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:9.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:9.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:9.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:9.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:9.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:9.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:9.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:9.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:9.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:9.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:9.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:10.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:10.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:10.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:10.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:10.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:10.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:10.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:10.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:10.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:10.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:10.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:10.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:10.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:10.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:10.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:10.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:10.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:10.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:10.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:10.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:10.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:10.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:10.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:10.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:11.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:11.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:11.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:11.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:11.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:11.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:11.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:11.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:12.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:11.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:11.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:12.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:11.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:11.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:11.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:11.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:11.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:11.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:11.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:11.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:11.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:11.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:12.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:11.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:11.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:12.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:11.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:6.struct_blocks_y:11.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:1.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:1.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:1.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:1.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:1.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:1.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:1.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:1.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:1.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:1.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:1.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:1.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:1.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:1.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:1.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:1.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:1.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:1.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:1.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:1.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:1.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:1.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:1.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:1.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:1.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:1.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:1.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:1.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:2.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:2.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:2.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:2.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:2.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:2.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:2.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:2.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:2.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:2.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:2.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:2.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:2.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:2.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:2.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:2.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:2.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:2.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:2.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:2.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:2.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:2.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:2.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:2.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:3.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:3.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:3.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:3.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:3.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:3.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:3.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:3.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:3.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:3.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:3.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:3.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:3.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:3.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:3.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:3.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:3.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:3.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:3.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:3.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:3.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:3.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:3.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:3.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:4.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:4.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:4.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:4.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:4.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:4.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:4.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:4.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:4.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:4.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:4.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:4.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:4.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:4.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:4.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:4.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:4.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:4.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:4.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:4.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:4.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:4.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:4.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:4.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:5.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:5.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:5.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:5.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:5.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:5.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:5.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:5.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:5.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:5.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:5.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:5.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:5.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:5.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:5.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:5.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:5.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:5.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:5.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:5.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:5.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:5.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:5.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:5.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:6.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:6.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:6.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:6.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:6.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:6.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:6.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:6.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:6.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:6.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:6.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:6.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:6.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:6.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:6.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:6.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:6.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:6.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:6.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:6.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:6.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:6.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:6.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:6.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:7.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:7.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:7.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:7.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:7.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:7.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:7.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:7.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:7.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:7.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:7.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:7.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:7.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:7.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:7.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:7.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:7.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:7.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:7.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:7.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:7.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:7.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:7.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:7.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:8.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:8.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:8.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:8.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:8.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:8.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:8.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:8.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:8.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:8.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:8.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:8.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:8.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:8.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:8.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:8.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:8.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:8.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:8.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:8.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:8.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:8.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:8.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:8.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:9.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:9.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:9.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:9.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:9.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:9.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:9.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:9.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:9.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:9.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:9.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:9.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:9.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:9.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:9.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:9.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:9.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:9.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:9.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:9.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:9.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:9.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:9.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:9.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:10.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:10.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:10.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:10.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:10.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:10.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:10.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:10.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:10.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:10.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:10.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:10.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:10.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:10.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:10.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:10.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:10.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:10.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:10.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:10.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:10.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:10.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:10.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:10.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:11.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:11.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:11.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:11.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:11.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:11.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:11.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:11.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:12.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:11.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:11.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:12.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:11.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:11.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:11.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:11.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:11.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:11.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:11.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:11.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:11.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:11.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:12.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:11.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:11.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:12.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:11.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:7.struct_blocks_y:11.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:1.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:1.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:1.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:1.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:1.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:1.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:1.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:1.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:1.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:1.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:1.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:1.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:1.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:1.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:1.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:1.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:1.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:1.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:1.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:1.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:1.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:1.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:1.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:1.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:1.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:1.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:1.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:1.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:2.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:2.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:2.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:2.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:2.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:2.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:2.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:2.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:2.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:2.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:2.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:2.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:2.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:2.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:2.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:2.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:2.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:2.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:2.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:2.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:2.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:2.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:2.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:2.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:3.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:3.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:3.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:3.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:3.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:3.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:3.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:3.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:3.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:3.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:3.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:3.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:3.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:3.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:3.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:3.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:3.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:3.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:3.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:3.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:3.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:3.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:3.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:3.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:4.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:4.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:4.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:4.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:4.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:4.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:4.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:4.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:4.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:4.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:4.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:4.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:4.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:4.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:4.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:4.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:4.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:4.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:4.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:4.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:4.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:4.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:4.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:4.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:5.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:5.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:5.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:5.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:5.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:5.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:5.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:5.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:5.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:5.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:5.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:5.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:5.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:5.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:5.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:5.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:5.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:5.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:5.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:5.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:5.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:5.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:5.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:5.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:6.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:6.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:6.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:6.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:6.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:6.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:6.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:6.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:6.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:6.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:6.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:6.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:6.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:6.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:6.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:6.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:6.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:6.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:6.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:6.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:6.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:6.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:6.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:6.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:7.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:7.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:7.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:7.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:7.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:7.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:7.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:7.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:7.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:7.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:7.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:7.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:7.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:7.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:7.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:7.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:7.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:7.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:7.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:7.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:7.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:7.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:7.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:7.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:8.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:8.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:8.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:8.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:8.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:8.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:8.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:8.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:8.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:8.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:8.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:8.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:8.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:8.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:8.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:8.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:8.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:8.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:8.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:8.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:8.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:8.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:8.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:8.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:9.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:9.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:9.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:9.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:9.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:9.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:9.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:9.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:9.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:9.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:9.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:9.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:9.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:9.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:9.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:9.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:9.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:9.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:9.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:9.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:9.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:9.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:9.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:9.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:10.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:10.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:10.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:10.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:10.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:10.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:10.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:10.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:10.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:10.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:10.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:10.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:10.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:10.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:10.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:10.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:10.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:10.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:10.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:10.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:10.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:10.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:10.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:10.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:11.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:11.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:11.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:11.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:11.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:11.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:11.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:11.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:12.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:11.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:11.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:12.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:11.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:11.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:11.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:11.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:11.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:11.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:11.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:11.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:11.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:11.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:12.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:11.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:11.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:12.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:11.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:8.struct_blocks_y:11.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:1.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:1.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:1.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:1.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:1.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:1.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:1.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:1.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:1.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:1.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:1.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:1.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:1.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:1.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:1.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:1.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:1.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:1.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:1.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:1.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:1.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:1.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:1.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:1.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:1.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:1.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:1.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:1.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:2.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:2.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:2.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:2.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:2.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:2.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:2.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:2.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:2.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:2.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:2.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:2.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:2.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:2.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:2.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:2.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:2.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:2.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:2.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:2.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:2.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:2.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:2.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:2.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:3.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:3.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:3.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:3.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:3.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:3.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:3.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:3.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:3.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:3.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:3.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:3.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:3.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:3.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:3.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:3.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:3.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:3.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:3.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:3.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:3.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:3.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:3.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:3.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:4.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:4.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:4.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:4.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:4.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:4.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:4.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:4.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:4.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:4.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:4.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:4.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:4.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:4.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:4.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:4.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:4.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:4.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:4.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:4.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:4.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:4.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:4.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:4.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:5.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:5.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:5.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:5.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:5.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:5.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:5.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:5.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:5.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:5.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:5.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:5.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:5.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:5.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:5.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:5.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:5.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:5.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:5.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:5.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:5.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:5.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:5.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:5.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:6.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:6.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:6.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:6.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:6.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:6.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:6.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:6.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:6.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:6.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:6.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:6.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:6.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:6.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:6.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:6.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:6.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:6.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:6.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:6.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:6.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:6.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:6.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:6.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:7.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:7.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:7.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:7.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:7.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:7.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:7.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:7.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:7.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:7.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:7.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:7.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:7.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:7.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:7.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:7.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:7.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:7.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:7.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:7.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:7.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:7.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:7.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:7.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:8.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:8.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:8.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:8.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:8.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:8.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:8.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:8.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:8.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:8.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:8.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:8.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:8.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:8.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:8.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:8.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:8.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:8.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:8.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:8.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:8.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:8.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:8.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:8.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:9.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:9.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:9.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:9.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:9.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:9.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:9.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:9.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:9.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:9.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:9.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:9.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:9.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:9.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:9.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:9.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:9.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:9.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:9.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:9.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:9.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:9.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:9.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:9.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:10.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:10.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:10.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:10.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:10.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:10.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:10.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:10.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:10.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:10.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:10.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:10.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:10.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:10.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:10.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:10.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:10.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:10.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:10.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:10.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:10.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:10.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:10.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:10.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:11.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:11.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:11.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:11.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:11.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:11.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:11.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:11.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:12.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:11.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:11.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:12.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:11.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:11.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:11.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:11.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:11.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:11.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:11.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:11.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:11.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:11.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:12.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:11.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:11.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:12.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:11.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:9.struct_blocks_y:11.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:1.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:1.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:1.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:1.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:1.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:1.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:1.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:1.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:1.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:1.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:1.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:1.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:1.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:1.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:1.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:1.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:1.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:1.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:1.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:1.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:1.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:1.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:1.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:1.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:1.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:1.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:1.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:1.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:2.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:2.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:2.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:2.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:2.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:2.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:2.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:2.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:2.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:2.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:2.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:2.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:2.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:2.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:2.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:2.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:2.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:2.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:2.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:2.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:2.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:2.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:2.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:2.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:3.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:3.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:3.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:3.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:3.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:3.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:3.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:3.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:3.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:3.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:3.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:3.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:3.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:3.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:3.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:3.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:3.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:3.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:3.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:3.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:3.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:3.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:3.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:3.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:4.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:4.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:4.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:4.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:4.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:4.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:4.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:4.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:4.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:4.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:4.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:4.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:4.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:4.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:4.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:4.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:4.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:4.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:4.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:4.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:4.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:4.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:4.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:4.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:5.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:5.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:5.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:5.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:5.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:5.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:5.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:5.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:5.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:5.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:5.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:5.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:5.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:5.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:5.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:5.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:5.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:5.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:5.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:5.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:5.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:5.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:5.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:5.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:6.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:6.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:6.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:6.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:6.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:6.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:6.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:6.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:6.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:6.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:6.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:6.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:6.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:6.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:6.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:6.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:6.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:6.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:6.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:6.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:6.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:6.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:6.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:6.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:7.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:7.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:7.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:7.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:7.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:7.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:7.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:7.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:7.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:7.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:7.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:7.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:7.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:7.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:7.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:7.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:7.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:7.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:7.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:7.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:7.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:7.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:7.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:7.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:8.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:8.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:8.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:8.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:8.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:8.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:8.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:8.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:8.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:8.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:8.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:8.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:8.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:8.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:8.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:8.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:8.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:8.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:8.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:8.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:8.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:8.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:8.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:8.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:9.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:9.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:9.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:9.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:9.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:9.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:9.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:9.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:9.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:9.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:9.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:9.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:9.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:9.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:9.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:9.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:9.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:9.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:9.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:9.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:9.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:9.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:9.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:9.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:10.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:10.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:10.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:10.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:10.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:10.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:10.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:10.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:10.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:10.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:10.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:10.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:10.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:10.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:10.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:10.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:10.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:10.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:10.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:10.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:10.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:10.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:10.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:10.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:11.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:11.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:11.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:11.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:11.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:11.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:11.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:11.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:12.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:11.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:11.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:12.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:11.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:11.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:11.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:11.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:11.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:11.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:11.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:11.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:11.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:11.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:12.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:11.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:11.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:12.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:11.struct_block/input*_i]
set_max_delay -ignore_clock_latency 0.05 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.struct_blocks_x:10.struct_blocks_y:11.struct_block/outputs_o*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
# Routing node internal && RN <-> RN constraints
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:1.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:1.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:1.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:2.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:1.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:1.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:1.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:1.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:1.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:2.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:2.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:2.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:3.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:2.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:2.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:2.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:2.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:1.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:2.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:3.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:3.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:3.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:4.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:3.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:3.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:3.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:3.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:2.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:3.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:4.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:4.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:4.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:5.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:4.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:4.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:4.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:4.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:3.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:4.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:5.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:5.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:5.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:6.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:5.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:5.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:5.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:5.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:4.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:5.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:6.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:6.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:6.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:7.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:6.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:6.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:6.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:6.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:5.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:6.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:7.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:7.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:7.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:8.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:7.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:7.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:7.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:7.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:6.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:7.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:8.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:8.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:8.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:9.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:8.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:8.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:8.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:8.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:7.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:8.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:9.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:9.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:9.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:10.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:9.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:9.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:9.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:9.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:8.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:9.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:10.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:10.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:10.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:11.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:10.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:10.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:10.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:10.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:9.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:10.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:11.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:11.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:11.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:12.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:11.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:11.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:11.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:10.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:11.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:1.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:1.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:1.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:1.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:1.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:1.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:1.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:1.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:1.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:1.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:1.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:1.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:1.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:2.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:1.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:1.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:1.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:2.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:1.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:1.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:1.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:1.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:1.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:1.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:2.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:1.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:2.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:2.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:2.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:3.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:2.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:2.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:2.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:3.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:2.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:2.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:2.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:1.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:2.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:2.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:3.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:2.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:3.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:3.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:3.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:4.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:3.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:3.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:3.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:4.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:3.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:3.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:3.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:2.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:3.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:3.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:4.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:3.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:4.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:4.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:4.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:5.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:4.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:4.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:4.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:5.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:4.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:4.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:4.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:3.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:4.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:4.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:5.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:4.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:5.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:5.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:5.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:6.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:5.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:5.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:5.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:6.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:5.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:5.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:5.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:4.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:5.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:5.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:6.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:5.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:6.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:6.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:6.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:7.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:6.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:6.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:6.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:7.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:6.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:6.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:6.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:5.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:6.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:6.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:7.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:6.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:7.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:7.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:7.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:8.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:7.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:7.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:7.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:8.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:7.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:7.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:7.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:6.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:7.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:7.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:8.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:7.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:8.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:8.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:8.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:9.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:8.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:8.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:8.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:9.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:8.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:8.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:8.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:7.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:8.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:8.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:9.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:8.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:9.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:9.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:9.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:10.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:9.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:9.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:9.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:10.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:9.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:9.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:9.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:8.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:9.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:9.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:10.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:9.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:10.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:10.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:10.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:11.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:10.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:10.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:10.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:11.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:10.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:10.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:10.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:9.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:10.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:10.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:11.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:10.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:11.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:11.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:11.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:12.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:11.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:12.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:12.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:12.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:12.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:12.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:12.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:11.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:11.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:11.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:11.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:10.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:11.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:11.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:12.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:12.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:12.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:1.vertical_routing_network_y:11.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:1.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:1.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:1.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:1.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:1.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:1.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:1.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:1.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:1.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:1.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:1.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:1.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:1.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:1.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:1.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:2.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:1.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:1.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:1.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:2.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:1.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:1.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:1.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:1.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:1.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:1.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:2.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:1.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:2.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:2.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:2.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:3.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:2.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:2.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:2.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:3.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:2.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:2.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:2.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:1.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:2.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:2.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:3.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:2.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:3.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:3.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:3.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:4.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:3.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:3.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:3.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:4.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:3.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:3.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:3.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:2.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:3.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:3.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:4.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:3.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:4.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:4.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:4.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:5.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:4.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:4.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:4.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:5.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:4.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:4.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:4.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:3.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:4.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:4.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:5.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:4.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:5.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:5.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:5.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:6.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:5.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:5.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:5.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:6.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:5.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:5.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:5.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:4.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:5.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:5.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:6.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:5.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:6.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:6.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:6.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:7.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:6.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:6.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:6.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:7.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:6.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:6.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:6.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:5.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:6.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:6.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:7.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:6.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:7.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:7.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:7.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:8.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:7.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:7.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:7.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:8.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:7.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:7.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:7.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:6.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:7.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:7.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:8.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:7.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:8.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:8.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:8.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:9.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:8.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:8.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:8.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:9.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:8.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:8.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:8.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:7.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:8.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:8.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:9.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:8.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:9.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:9.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:9.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:10.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:9.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:9.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:9.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:10.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:9.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:9.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:9.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:8.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:9.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:9.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:10.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:9.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:10.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:10.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:10.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:11.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:10.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:10.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:10.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:11.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:10.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:10.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:10.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:9.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:10.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:10.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:11.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:10.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:11.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:11.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:11.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:12.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:11.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:12.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:12.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:12.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:12.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:12.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:12.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:11.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:11.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:11.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:11.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:10.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:11.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:11.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:12.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:12.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:12.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:1.horizontal_routing_network_y:12.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:12.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:2.vertical_routing_network_y:11.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:1.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:1.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:1.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:1.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:1.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:1.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:1.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:1.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:1.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:1.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:1.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:1.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:1.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:1.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:1.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:2.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:1.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:1.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:1.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:2.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:1.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:1.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:1.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:1.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:1.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:1.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:2.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:1.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:2.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:2.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:2.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:3.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:2.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:2.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:2.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:3.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:2.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:2.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:2.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:1.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:2.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:2.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:3.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:2.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:3.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:3.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:3.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:4.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:3.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:3.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:3.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:4.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:3.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:3.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:3.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:2.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:3.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:3.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:4.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:3.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:4.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:4.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:4.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:5.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:4.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:4.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:4.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:5.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:4.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:4.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:4.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:3.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:4.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:4.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:5.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:4.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:5.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:5.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:5.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:6.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:5.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:5.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:5.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:6.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:5.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:5.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:5.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:4.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:5.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:5.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:6.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:5.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:6.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:6.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:6.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:7.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:6.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:6.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:6.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:7.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:6.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:6.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:6.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:5.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:6.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:6.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:7.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:6.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:7.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:7.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:7.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:8.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:7.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:7.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:7.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:8.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:7.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:7.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:7.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:6.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:7.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:7.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:8.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:7.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:8.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:8.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:8.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:9.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:8.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:8.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:8.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:9.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:8.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:8.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:8.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:7.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:8.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:8.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:9.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:8.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:9.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:9.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:9.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:10.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:9.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:9.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:9.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:10.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:9.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:9.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:9.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:8.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:9.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:9.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:10.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:9.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:10.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:10.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:10.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:11.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:10.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:10.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:10.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:11.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:10.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:10.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:10.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:9.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:10.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:10.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:11.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:10.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:11.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:11.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:11.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:12.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:11.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:12.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:12.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:12.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:12.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:12.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:12.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:11.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:11.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:11.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:11.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:10.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:11.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:11.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:12.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:12.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:12.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:2.horizontal_routing_network_y:12.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:12.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:3.vertical_routing_network_y:11.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:1.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:1.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:1.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:1.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:1.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:1.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:1.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:1.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:1.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:1.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:1.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:1.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:1.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:1.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:1.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:2.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:1.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:1.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:1.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:2.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:1.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:1.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:1.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:1.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:1.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:1.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:2.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:1.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:2.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:2.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:2.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:3.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:2.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:2.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:2.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:3.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:2.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:2.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:2.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:1.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:2.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:2.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:3.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:2.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:3.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:3.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:3.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:4.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:3.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:3.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:3.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:4.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:3.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:3.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:3.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:2.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:3.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:3.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:4.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:3.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:4.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:4.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:4.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:5.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:4.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:4.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:4.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:5.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:4.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:4.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:4.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:3.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:4.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:4.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:5.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:4.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:5.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:5.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:5.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:6.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:5.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:5.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:5.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:6.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:5.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:5.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:5.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:4.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:5.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:5.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:6.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:5.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:6.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:6.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:6.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:7.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:6.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:6.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:6.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:7.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:6.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:6.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:6.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:5.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:6.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:6.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:7.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:6.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:7.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:7.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:7.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:8.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:7.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:7.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:7.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:8.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:7.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:7.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:7.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:6.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:7.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:7.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:8.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:7.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:8.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:8.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:8.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:9.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:8.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:8.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:8.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:9.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:8.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:8.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:8.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:7.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:8.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:8.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:9.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:8.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:9.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:9.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:9.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:10.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:9.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:9.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:9.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:10.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:9.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:9.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:9.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:8.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:9.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:9.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:10.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:9.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:10.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:10.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:10.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:11.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:10.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:10.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:10.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:11.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:10.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:10.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:10.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:9.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:10.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:10.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:11.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:10.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:11.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:11.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:11.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:12.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:11.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:12.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:12.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:12.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:12.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:12.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:12.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:11.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:11.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:11.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:11.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:10.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:11.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:11.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:12.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:12.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:12.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:3.horizontal_routing_network_y:12.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:12.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:4.vertical_routing_network_y:11.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:1.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:1.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:1.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:1.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:1.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:1.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:1.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:1.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:1.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:1.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:1.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:1.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:1.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:1.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:1.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:2.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:1.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:1.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:1.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:2.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:1.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:1.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:1.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:1.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:1.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:1.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:2.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:1.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:2.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:2.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:2.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:3.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:2.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:2.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:2.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:3.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:2.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:2.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:2.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:1.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:2.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:2.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:3.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:2.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:3.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:3.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:3.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:4.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:3.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:3.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:3.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:4.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:3.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:3.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:3.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:2.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:3.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:3.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:4.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:3.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:4.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:4.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:4.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:5.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:4.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:4.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:4.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:5.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:4.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:4.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:4.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:3.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:4.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:4.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:5.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:4.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:5.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:5.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:5.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:6.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:5.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:5.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:5.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:6.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:5.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:5.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:5.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:4.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:5.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:5.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:6.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:5.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:6.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:6.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:6.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:7.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:6.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:6.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:6.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:7.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:6.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:6.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:6.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:5.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:6.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:6.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:7.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:6.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:7.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:7.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:7.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:8.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:7.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:7.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:7.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:8.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:7.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:7.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:7.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:6.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:7.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:7.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:8.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:7.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:8.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:8.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:8.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:9.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:8.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:8.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:8.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:9.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:8.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:8.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:8.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:7.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:8.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:8.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:9.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:8.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:9.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:9.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:9.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:10.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:9.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:9.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:9.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:10.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:9.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:9.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:9.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:8.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:9.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:9.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:10.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:9.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:10.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:10.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:10.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:11.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:10.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:10.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:10.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:11.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:10.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:10.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:10.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:9.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:10.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:10.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:11.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:10.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:11.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:11.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:11.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:12.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:11.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:12.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:12.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:12.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:12.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:12.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:12.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:11.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:11.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:11.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:11.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:10.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:11.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:11.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:12.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:12.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:12.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:4.horizontal_routing_network_y:12.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:12.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:5.vertical_routing_network_y:11.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:1.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:1.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:1.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:1.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:1.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:1.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:1.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:1.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:1.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:1.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:1.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:1.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:1.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:1.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:1.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:2.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:1.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:1.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:1.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:2.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:1.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:1.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:1.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:1.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:1.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:1.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:2.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:1.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:2.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:2.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:2.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:3.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:2.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:2.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:2.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:3.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:2.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:2.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:2.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:1.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:2.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:2.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:3.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:2.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:3.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:3.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:3.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:4.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:3.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:3.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:3.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:4.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:3.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:3.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:3.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:2.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:3.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:3.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:4.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:3.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:4.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:4.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:4.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:5.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:4.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:4.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:4.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:5.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:4.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:4.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:4.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:3.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:4.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:4.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:5.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:4.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:5.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:5.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:5.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:6.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:5.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:5.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:5.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:6.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:5.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:5.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:5.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:4.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:5.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:5.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:6.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:5.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:6.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:6.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:6.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:7.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:6.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:6.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:6.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:7.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:6.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:6.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:6.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:5.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:6.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:6.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:7.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:6.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:7.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:7.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:7.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:8.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:7.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:7.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:7.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:8.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:7.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:7.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:7.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:6.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:7.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:7.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:8.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:7.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:8.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:8.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:8.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:9.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:8.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:8.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:8.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:9.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:8.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:8.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:8.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:7.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:8.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:8.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:9.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:8.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:9.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:9.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:9.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:10.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:9.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:9.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:9.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:10.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:9.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:9.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:9.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:8.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:9.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:9.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:10.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:9.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:10.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:10.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:10.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:11.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:10.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:10.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:10.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:11.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:10.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:10.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:10.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:9.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:10.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:10.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:11.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:10.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:11.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:11.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:11.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:12.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:11.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:12.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:12.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:12.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:12.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:12.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:12.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:11.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:11.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:11.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:11.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:10.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:11.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:11.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:12.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:12.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:12.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:5.horizontal_routing_network_y:12.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:12.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:6.vertical_routing_network_y:11.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:1.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:1.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:1.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:1.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:1.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:1.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:1.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:1.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:1.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:1.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:1.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:1.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:1.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:1.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:1.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:2.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:1.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:1.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:1.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:2.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:1.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:1.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:1.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:1.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:1.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:1.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:2.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:1.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:2.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:2.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:2.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:3.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:2.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:2.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:2.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:3.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:2.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:2.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:2.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:1.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:2.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:2.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:3.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:2.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:3.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:3.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:3.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:4.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:3.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:3.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:3.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:4.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:3.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:3.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:3.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:2.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:3.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:3.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:4.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:3.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:4.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:4.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:4.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:5.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:4.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:4.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:4.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:5.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:4.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:4.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:4.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:3.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:4.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:4.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:5.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:4.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:5.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:5.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:5.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:6.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:5.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:5.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:5.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:6.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:5.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:5.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:5.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:4.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:5.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:5.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:6.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:5.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:6.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:6.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:6.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:7.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:6.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:6.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:6.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:7.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:6.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:6.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:6.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:5.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:6.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:6.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:7.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:6.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:7.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:7.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:7.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:8.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:7.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:7.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:7.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:8.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:7.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:7.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:7.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:6.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:7.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:7.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:8.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:7.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:8.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:8.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:8.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:9.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:8.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:8.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:8.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:9.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:8.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:8.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:8.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:7.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:8.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:8.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:9.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:8.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:9.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:9.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:9.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:10.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:9.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:9.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:9.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:10.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:9.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:9.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:9.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:8.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:9.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:9.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:10.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:9.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:10.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:10.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:10.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:11.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:10.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:10.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:10.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:11.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:10.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:10.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:10.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:9.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:10.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:10.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:11.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:10.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:11.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:11.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:11.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:12.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:11.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:12.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:12.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:12.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:12.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:12.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:12.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:11.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:11.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:11.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:11.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:10.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:11.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:11.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:12.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:12.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:12.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:6.horizontal_routing_network_y:12.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:12.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:7.vertical_routing_network_y:11.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:1.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:1.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:1.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:1.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:1.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:1.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:1.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:1.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:1.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:1.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:1.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:1.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:1.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:1.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:1.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:2.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:1.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:1.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:1.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:2.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:1.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:1.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:1.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:1.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:1.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:1.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:2.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:1.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:2.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:2.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:2.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:3.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:2.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:2.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:2.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:3.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:2.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:2.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:2.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:1.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:2.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:2.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:3.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:2.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:3.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:3.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:3.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:4.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:3.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:3.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:3.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:4.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:3.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:3.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:3.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:2.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:3.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:3.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:4.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:3.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:4.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:4.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:4.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:5.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:4.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:4.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:4.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:5.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:4.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:4.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:4.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:3.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:4.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:4.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:5.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:4.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:5.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:5.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:5.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:6.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:5.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:5.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:5.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:6.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:5.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:5.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:5.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:4.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:5.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:5.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:6.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:5.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:6.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:6.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:6.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:7.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:6.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:6.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:6.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:7.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:6.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:6.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:6.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:5.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:6.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:6.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:7.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:6.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:7.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:7.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:7.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:8.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:7.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:7.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:7.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:8.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:7.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:7.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:7.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:6.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:7.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:7.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:8.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:7.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:8.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:8.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:8.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:9.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:8.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:8.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:8.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:9.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:8.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:8.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:8.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:7.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:8.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:8.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:9.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:8.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:9.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:9.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:9.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:10.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:9.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:9.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:9.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:10.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:9.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:9.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:9.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:8.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:9.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:9.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:10.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:9.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:10.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:10.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:10.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:11.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:10.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:10.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:10.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:11.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:10.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:10.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:10.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:9.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:10.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:10.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:11.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:10.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:11.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:11.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:11.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:12.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:11.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:12.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:12.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:12.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:12.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:12.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:12.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:11.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:11.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:11.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:11.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:10.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:11.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:11.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:12.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:12.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:12.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:7.horizontal_routing_network_y:12.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:12.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:8.vertical_routing_network_y:11.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:1.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:1.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:1.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:1.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:1.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:1.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:1.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:1.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:1.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:1.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:1.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:1.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:1.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:1.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:1.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:2.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:1.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:1.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:1.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:2.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:1.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:1.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:1.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:1.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:1.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:1.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:2.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:1.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:2.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:2.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:2.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:3.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:2.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:2.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:2.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:3.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:2.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:2.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:2.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:1.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:2.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:2.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:3.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:2.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:3.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:3.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:3.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:4.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:3.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:3.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:3.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:4.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:3.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:3.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:3.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:2.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:3.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:3.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:4.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:3.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:4.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:4.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:4.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:5.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:4.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:4.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:4.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:5.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:4.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:4.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:4.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:3.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:4.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:4.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:5.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:4.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:5.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:5.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:5.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:6.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:5.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:5.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:5.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:6.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:5.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:5.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:5.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:4.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:5.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:5.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:6.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:5.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:6.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:6.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:6.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:7.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:6.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:6.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:6.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:7.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:6.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:6.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:6.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:5.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:6.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:6.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:7.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:6.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:7.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:7.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:7.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:8.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:7.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:7.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:7.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:8.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:7.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:7.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:7.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:6.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:7.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:7.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:8.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:7.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:8.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:8.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:8.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:9.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:8.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:8.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:8.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:9.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:8.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:8.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:8.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:7.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:8.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:8.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:9.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:8.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:9.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:9.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:9.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:10.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:9.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:9.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:9.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:10.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:9.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:9.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:9.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:8.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:9.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:9.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:10.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:9.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:10.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:10.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:10.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:11.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:10.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:10.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:10.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:11.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:10.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:10.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:10.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:9.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:10.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:10.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:11.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:10.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:11.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:11.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:11.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:12.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:11.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:12.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:12.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:12.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:12.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:12.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:12.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:11.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:11.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:11.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:11.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:10.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:11.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:11.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:12.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:12.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:12.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:8.horizontal_routing_network_y:12.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:12.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:9.vertical_routing_network_y:11.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:1.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:1.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:1.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:1.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:1.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:1.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:1.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:1.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:1.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:1.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:1.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:1.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:1.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:2.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:1.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:1.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:2.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:2.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:1.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:1.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:1.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:1.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:2.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:1.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:2.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:2.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:2.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:3.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:2.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:2.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:3.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:3.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:2.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:2.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:2.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:1.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:2.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:2.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:3.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:2.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:3.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:3.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:3.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:4.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:3.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:3.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:4.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:4.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:3.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:3.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:3.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:2.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:3.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:3.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:4.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:3.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:4.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:4.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:4.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:5.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:4.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:4.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:5.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:5.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:4.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:4.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:4.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:3.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:4.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:4.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:5.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:4.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:5.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:5.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:5.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:6.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:5.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:5.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:6.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:6.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:5.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:5.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:5.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:4.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:5.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:5.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:6.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:5.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:6.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:6.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:6.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:7.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:6.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:6.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:7.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:7.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:6.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:6.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:6.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:5.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:6.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:6.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:7.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:6.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:7.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:7.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:7.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:8.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:7.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:7.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:8.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:8.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:7.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:7.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:7.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:6.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:7.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:7.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:8.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:7.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:8.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:8.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:8.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:9.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:8.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:8.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:9.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:9.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:8.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:8.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:8.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:7.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:8.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:8.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:9.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:8.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:9.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:9.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:9.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:10.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:9.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:9.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:10.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:10.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:9.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:9.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:9.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:8.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:9.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:9.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:10.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:9.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:10.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:10.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:10.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:11.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:10.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:10.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:11.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:11.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:10.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:10.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:10.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:9.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:10.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:10.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:11.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:10.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:11.routing_node_up.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:11.routing_node_up.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:11.routing_node_up.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:12.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:12.routing_node_right.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:12.routing_node_right.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:12.routing_node_right.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:11.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:11.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:11.routing_node_down.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:11.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:10.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:11.vertical_routing_network_y:11.routing_node_down.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:11.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.7 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:12.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:12.routing_node_left.node.muxes:*.rnode_tfinish.tech_buf/$BUFOPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:12.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:9.horizontal_routing_network_y:12.routing_node_left.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 0.35 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.horizontal_routing_network_x:10.horizontal_routing_network_y:12.routing_node_left.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.vertical_routing_network_x:10.vertical_routing_network_y:11.routing_node_down.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
# From IO to routing nodes constraints
set_max_delay -ignore_clock_latency 1.0 -from [get_ports io_in*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.*_routing_network_x:1.*_routing_network_y:*.routing_node_*.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 2.1 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.*_routing_network_x:1.*_routing_network_y:*.routing_node_*.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_ports io_out*]
set_max_delay -ignore_clock_latency 1.0 -from [get_ports io_in*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.*_routing_network_x:11.*_routing_network_y:*.routing_node_*.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 2.1 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.*_routing_network_x:11.*_routing_network_y:*.routing_node_*.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_ports io_out*]
set_max_delay -ignore_clock_latency 1.0 -from [get_ports io_in*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.*_routing_network_x:*.*_routing_network_y:1.routing_node_*.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 2.1 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.*_routing_network_x:*.*_routing_network_y:1.routing_node_*.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_ports io_out*]
set_max_delay -ignore_clock_latency 1.0 -from [get_ports io_in*] -to [get_pins ariel_fpga_top_inst.fpga_fabric_inst.*_routing_network_x:*.*_routing_network_y:12.routing_node_*.node.muxes:*.bufs:*.rnode_in.tech_buf/$BUFIPIN]
set_max_delay -ignore_clock_latency 2.1 -from [get_pins ariel_fpga_top_inst.fpga_fabric_inst.*_routing_network_x:*.*_routing_network_y:12.routing_node_*.node.muxes:*.rnode_tstart.tech_buf/$BUFIPIN] -to [get_ports io_out*]
set_input_delay 0 -clock [get_clocks wb_clk_i] [get_ports wbs*_i]