blob: eeac7949a11aa825c8e7e3b3690d870a00e37db3 [file] [log] [blame]
module mprj2_logic_high (HI,
vccd2,
vssd2);
output HI;
input vccd2;
input vssd2;
sky130_fd_sc_hd__decap_3 FILLER_0_109 (.VGND(vssd2),
.VNB(vssd2),
.VPB(vccd2),
.VPWR(vccd2));
sky130_fd_sc_hd__decap_12 FILLER_0_113 (.VGND(vssd2),
.VNB(vssd2),
.VPB(vccd2),
.VPWR(vccd2));
sky130_fd_sc_hd__decap_12 FILLER_0_125 (.VGND(vssd2),
.VNB(vssd2),
.VPB(vccd2),
.VPWR(vccd2));
sky130_fd_sc_hd__decap_3 FILLER_0_137 (.VGND(vssd2),
.VNB(vssd2),
.VPB(vccd2),
.VPWR(vccd2));
sky130_fd_sc_hd__decap_12 FILLER_0_141 (.VGND(vssd2),
.VNB(vssd2),
.VPB(vccd2),
.VPWR(vccd2));
sky130_fd_sc_hd__decap_12 FILLER_0_15 (.VGND(vssd2),
.VNB(vssd2),
.VPB(vccd2),
.VPWR(vccd2));
sky130_fd_sc_hd__decap_12 FILLER_0_153 (.VGND(vssd2),
.VNB(vssd2),
.VPB(vccd2),
.VPWR(vccd2));
sky130_fd_sc_hd__decap_3 FILLER_0_165 (.VGND(vssd2),
.VNB(vssd2),
.VPB(vccd2),
.VPWR(vccd2));
sky130_fd_sc_hd__decap_12 FILLER_0_169 (.VGND(vssd2),
.VNB(vssd2),
.VPB(vccd2),
.VPWR(vccd2));
sky130_fd_sc_hd__decap_12 FILLER_0_181 (.VGND(vssd2),
.VNB(vssd2),
.VPB(vccd2),
.VPWR(vccd2));
sky130_fd_sc_hd__decap_3 FILLER_0_193 (.VGND(vssd2),
.VNB(vssd2),
.VPB(vccd2),
.VPWR(vccd2));
sky130_fd_sc_hd__decap_12 FILLER_0_197 (.VGND(vssd2),
.VNB(vssd2),
.VPB(vccd2),
.VPWR(vccd2));
sky130_fd_sc_hd__decap_4 FILLER_0_209 (.VGND(vssd2),
.VNB(vssd2),
.VPB(vccd2),
.VPWR(vccd2));
sky130_fd_sc_hd__fill_1 FILLER_0_213 (.VGND(vssd2),
.VNB(vssd2),
.VPB(vccd2),
.VPWR(vccd2));
sky130_fd_sc_hd__fill_1 FILLER_0_27 (.VGND(vssd2),
.VNB(vssd2),
.VPB(vccd2),
.VPWR(vccd2));
sky130_fd_sc_hd__decap_12 FILLER_0_29 (.VGND(vssd2),
.VNB(vssd2),
.VPB(vccd2),
.VPWR(vccd2));
sky130_fd_sc_hd__decap_12 FILLER_0_3 (.VGND(vssd2),
.VNB(vssd2),
.VPB(vccd2),
.VPWR(vccd2));
sky130_fd_sc_hd__decap_12 FILLER_0_41 (.VGND(vssd2),
.VNB(vssd2),
.VPB(vccd2),
.VPWR(vccd2));
sky130_fd_sc_hd__decap_3 FILLER_0_53 (.VGND(vssd2),
.VNB(vssd2),
.VPB(vccd2),
.VPWR(vccd2));
sky130_fd_sc_hd__decap_12 FILLER_0_57 (.VGND(vssd2),
.VNB(vssd2),
.VPB(vccd2),
.VPWR(vccd2));
sky130_fd_sc_hd__decap_12 FILLER_0_69 (.VGND(vssd2),
.VNB(vssd2),
.VPB(vccd2),
.VPWR(vccd2));
sky130_fd_sc_hd__decap_3 FILLER_0_81 (.VGND(vssd2),
.VNB(vssd2),
.VPB(vccd2),
.VPWR(vccd2));
sky130_fd_sc_hd__decap_12 FILLER_0_85 (.VGND(vssd2),
.VNB(vssd2),
.VPB(vccd2),
.VPWR(vccd2));
sky130_fd_sc_hd__decap_12 FILLER_0_97 (.VGND(vssd2),
.VNB(vssd2),
.VPB(vccd2),
.VPWR(vccd2));
sky130_fd_sc_hd__decap_4 FILLER_1_107 (.VGND(vssd2),
.VNB(vssd2),
.VPB(vccd2),
.VPWR(vccd2));
sky130_fd_sc_hd__fill_1 FILLER_1_111 (.VGND(vssd2),
.VNB(vssd2),
.VPB(vccd2),
.VPWR(vccd2));
sky130_fd_sc_hd__decap_12 FILLER_1_113 (.VGND(vssd2),
.VNB(vssd2),
.VPB(vccd2),
.VPWR(vccd2));
sky130_fd_sc_hd__decap_12 FILLER_1_125 (.VGND(vssd2),
.VNB(vssd2),
.VPB(vccd2),
.VPWR(vccd2));
sky130_fd_sc_hd__decap_3 FILLER_1_137 (.VGND(vssd2),
.VNB(vssd2),
.VPB(vccd2),
.VPWR(vccd2));
sky130_fd_sc_hd__decap_12 FILLER_1_141 (.VGND(vssd2),
.VNB(vssd2),
.VPB(vccd2),
.VPWR(vccd2));
sky130_fd_sc_hd__decap_12 FILLER_1_15 (.VGND(vssd2),
.VNB(vssd2),
.VPB(vccd2),
.VPWR(vccd2));
sky130_fd_sc_hd__decap_12 FILLER_1_153 (.VGND(vssd2),
.VNB(vssd2),
.VPB(vccd2),
.VPWR(vccd2));
sky130_fd_sc_hd__decap_3 FILLER_1_165 (.VGND(vssd2),
.VNB(vssd2),
.VPB(vccd2),
.VPWR(vccd2));
sky130_fd_sc_hd__decap_12 FILLER_1_169 (.VGND(vssd2),
.VNB(vssd2),
.VPB(vccd2),
.VPWR(vccd2));
sky130_fd_sc_hd__decap_12 FILLER_1_181 (.VGND(vssd2),
.VNB(vssd2),
.VPB(vccd2),
.VPWR(vccd2));
sky130_fd_sc_hd__decap_3 FILLER_1_193 (.VGND(vssd2),
.VNB(vssd2),
.VPB(vccd2),
.VPWR(vccd2));
sky130_fd_sc_hd__decap_12 FILLER_1_197 (.VGND(vssd2),
.VNB(vssd2),
.VPB(vccd2),
.VPWR(vccd2));
sky130_fd_sc_hd__decap_4 FILLER_1_209 (.VGND(vssd2),
.VNB(vssd2),
.VPB(vccd2),
.VPWR(vccd2));
sky130_fd_sc_hd__fill_1 FILLER_1_213 (.VGND(vssd2),
.VNB(vssd2),
.VPB(vccd2),
.VPWR(vccd2));
sky130_fd_sc_hd__fill_1 FILLER_1_27 (.VGND(vssd2),
.VNB(vssd2),
.VPB(vccd2),
.VPWR(vccd2));
sky130_fd_sc_hd__decap_12 FILLER_1_29 (.VGND(vssd2),
.VNB(vssd2),
.VPB(vccd2),
.VPWR(vccd2));
sky130_fd_sc_hd__decap_12 FILLER_1_3 (.VGND(vssd2),
.VNB(vssd2),
.VPB(vccd2),
.VPWR(vccd2));
sky130_fd_sc_hd__decap_12 FILLER_1_41 (.VGND(vssd2),
.VNB(vssd2),
.VPB(vccd2),
.VPWR(vccd2));
sky130_fd_sc_hd__decap_3 FILLER_1_53 (.VGND(vssd2),
.VNB(vssd2),
.VPB(vccd2),
.VPWR(vccd2));
sky130_fd_sc_hd__decap_12 FILLER_1_57 (.VGND(vssd2),
.VNB(vssd2),
.VPB(vccd2),
.VPWR(vccd2));
sky130_fd_sc_hd__decap_12 FILLER_1_69 (.VGND(vssd2),
.VNB(vssd2),
.VPB(vccd2),
.VPWR(vccd2));
sky130_fd_sc_hd__decap_3 FILLER_1_81 (.VGND(vssd2),
.VNB(vssd2),
.VPB(vccd2),
.VPWR(vccd2));
sky130_fd_sc_hd__decap_6 FILLER_1_85 (.VGND(vssd2),
.VNB(vssd2),
.VPB(vccd2),
.VPWR(vccd2));
sky130_fd_sc_hd__fill_1 FILLER_1_91 (.VGND(vssd2),
.VNB(vssd2),
.VPB(vccd2),
.VPWR(vccd2));
sky130_fd_sc_hd__decap_12 FILLER_1_95 (.VGND(vssd2),
.VNB(vssd2),
.VPB(vccd2),
.VPWR(vccd2));
sky130_fd_sc_hd__decap_3 PHY_0 (.VGND(vssd2),
.VNB(vssd2),
.VPB(vccd2),
.VPWR(vccd2));
sky130_fd_sc_hd__decap_3 PHY_1 (.VGND(vssd2),
.VNB(vssd2),
.VPB(vccd2),
.VPWR(vccd2));
sky130_fd_sc_hd__decap_3 PHY_2 (.VGND(vssd2),
.VNB(vssd2),
.VPB(vccd2),
.VPWR(vccd2));
sky130_fd_sc_hd__decap_3 PHY_3 (.VGND(vssd2),
.VNB(vssd2),
.VPB(vccd2),
.VPWR(vccd2));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_10 (.VGND(vssd2),
.VPWR(vccd2));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_11 (.VGND(vssd2),
.VPWR(vccd2));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_12 (.VGND(vssd2),
.VPWR(vccd2));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_13 (.VGND(vssd2),
.VPWR(vccd2));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_14 (.VGND(vssd2),
.VPWR(vccd2));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_15 (.VGND(vssd2),
.VPWR(vccd2));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_16 (.VGND(vssd2),
.VPWR(vccd2));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_17 (.VGND(vssd2),
.VPWR(vccd2));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_4 (.VGND(vssd2),
.VPWR(vccd2));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_5 (.VGND(vssd2),
.VPWR(vccd2));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_6 (.VGND(vssd2),
.VPWR(vccd2));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_7 (.VGND(vssd2),
.VPWR(vccd2));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_8 (.VGND(vssd2),
.VPWR(vccd2));
sky130_fd_sc_hd__tapvpwrvgnd_1 TAP_9 (.VGND(vssd2),
.VPWR(vccd2));
sky130_fd_sc_hd__conb_1 inst (.HI(HI),
.VGND(vssd2),
.VNB(vssd2),
.VPB(vccd2),
.VPWR(vccd2));
endmodule