blob: 9a1f89b62852552bd6aced85acd9c8a3012f312b [file] [log] [blame]
VERSION 5.8 ;
DIVIDERCHAR "/" ;
BUSBITCHARS "[]" ;
DESIGN user_project_wrapper ;
UNITS DISTANCE MICRONS 1000 ;
DIEAREA ( 0 0 ) ( 2920000 3520000 ) ;
ROW ROW_0 unithd 5520 10880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1 unithd 5520 13600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_2 unithd 5520 16320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_3 unithd 5520 19040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_4 unithd 5520 21760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_5 unithd 5520 24480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_6 unithd 5520 27200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_7 unithd 5520 29920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_8 unithd 5520 32640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_9 unithd 5520 35360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_10 unithd 5520 38080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_11 unithd 5520 40800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_12 unithd 5520 43520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_13 unithd 5520 46240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_14 unithd 5520 48960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_15 unithd 5520 51680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_16 unithd 5520 54400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_17 unithd 5520 57120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_18 unithd 5520 59840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_19 unithd 5520 62560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_20 unithd 5520 65280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_21 unithd 5520 68000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_22 unithd 5520 70720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_23 unithd 5520 73440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_24 unithd 5520 76160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_25 unithd 5520 78880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_26 unithd 5520 81600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_27 unithd 5520 84320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_28 unithd 5520 87040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_29 unithd 5520 89760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_30 unithd 5520 92480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_31 unithd 5520 95200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_32 unithd 5520 97920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_33 unithd 5520 100640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_34 unithd 5520 103360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_35 unithd 5520 106080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_36 unithd 5520 108800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_37 unithd 5520 111520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_38 unithd 5520 114240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_39 unithd 5520 116960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_40 unithd 5520 119680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_41 unithd 5520 122400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_42 unithd 5520 125120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_43 unithd 5520 127840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_44 unithd 5520 130560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_45 unithd 5520 133280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_46 unithd 5520 136000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_47 unithd 5520 138720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_48 unithd 5520 141440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_49 unithd 5520 144160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_50 unithd 5520 146880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_51 unithd 5520 149600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_52 unithd 5520 152320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_53 unithd 5520 155040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_54 unithd 5520 157760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_55 unithd 5520 160480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_56 unithd 5520 163200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_57 unithd 5520 165920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_58 unithd 5520 168640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_59 unithd 5520 171360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_60 unithd 5520 174080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_61 unithd 5520 176800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_62 unithd 5520 179520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_63 unithd 5520 182240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_64 unithd 5520 184960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_65 unithd 5520 187680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_66 unithd 5520 190400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_67 unithd 5520 193120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_68 unithd 5520 195840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_69 unithd 5520 198560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_70 unithd 5520 201280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_71 unithd 5520 204000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_72 unithd 5520 206720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_73 unithd 5520 209440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_74 unithd 5520 212160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_75 unithd 5520 214880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_76 unithd 5520 217600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_77 unithd 5520 220320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_78 unithd 5520 223040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_79 unithd 5520 225760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_80 unithd 5520 228480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_81 unithd 5520 231200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_82 unithd 5520 233920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_83 unithd 5520 236640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_84 unithd 5520 239360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_85 unithd 5520 242080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_86 unithd 5520 244800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_87 unithd 5520 247520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_88 unithd 5520 250240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_89 unithd 5520 252960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_90 unithd 5520 255680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_91 unithd 5520 258400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_92 unithd 5520 261120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_93 unithd 5520 263840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_94 unithd 5520 266560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_95 unithd 5520 269280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_96 unithd 5520 272000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_97 unithd 5520 274720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_98 unithd 5520 277440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_99 unithd 5520 280160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_100 unithd 5520 282880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_101 unithd 5520 285600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_102 unithd 5520 288320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_103 unithd 5520 291040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_104 unithd 5520 293760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_105 unithd 5520 296480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_106 unithd 5520 299200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_107 unithd 5520 301920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_108 unithd 5520 304640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_109 unithd 5520 307360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_110 unithd 5520 310080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_111 unithd 5520 312800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_112 unithd 5520 315520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_113 unithd 5520 318240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_114 unithd 5520 320960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_115 unithd 5520 323680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_116 unithd 5520 326400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_117 unithd 5520 329120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_118 unithd 5520 331840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_119 unithd 5520 334560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_120 unithd 5520 337280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_121 unithd 5520 340000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_122 unithd 5520 342720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_123 unithd 5520 345440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_124 unithd 5520 348160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_125 unithd 5520 350880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_126 unithd 5520 353600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_127 unithd 5520 356320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_128 unithd 5520 359040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_129 unithd 5520 361760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_130 unithd 5520 364480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_131 unithd 5520 367200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_132 unithd 5520 369920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_133 unithd 5520 372640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_134 unithd 5520 375360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_135 unithd 5520 378080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_136 unithd 5520 380800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_137 unithd 5520 383520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_138 unithd 5520 386240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_139 unithd 5520 388960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_140 unithd 5520 391680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_141 unithd 5520 394400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_142 unithd 5520 397120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_143 unithd 5520 399840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_144 unithd 5520 402560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_145 unithd 5520 405280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_146 unithd 5520 408000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_147 unithd 5520 410720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_148 unithd 5520 413440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_149 unithd 5520 416160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_150 unithd 5520 418880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_151 unithd 5520 421600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_152 unithd 5520 424320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_153 unithd 5520 427040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_154 unithd 5520 429760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_155 unithd 5520 432480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_156 unithd 5520 435200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_157 unithd 5520 437920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_158 unithd 5520 440640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_159 unithd 5520 443360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_160 unithd 5520 446080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_161 unithd 5520 448800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_162 unithd 5520 451520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_163 unithd 5520 454240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_164 unithd 5520 456960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_165 unithd 5520 459680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_166 unithd 5520 462400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_167 unithd 5520 465120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_168 unithd 5520 467840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_169 unithd 5520 470560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_170 unithd 5520 473280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_171 unithd 5520 476000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_172 unithd 5520 478720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_173 unithd 5520 481440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_174 unithd 5520 484160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_175 unithd 5520 486880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_176 unithd 5520 489600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_177 unithd 5520 492320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_178 unithd 5520 495040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_179 unithd 5520 497760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_180 unithd 5520 500480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_181 unithd 5520 503200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_182 unithd 5520 505920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_183 unithd 5520 508640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_184 unithd 5520 511360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_185 unithd 5520 514080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_186 unithd 5520 516800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_187 unithd 5520 519520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_188 unithd 5520 522240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_189 unithd 5520 524960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_190 unithd 5520 527680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_191 unithd 5520 530400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_192 unithd 5520 533120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_193 unithd 5520 535840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_194 unithd 5520 538560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_195 unithd 5520 541280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_196 unithd 5520 544000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_197 unithd 5520 546720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_198 unithd 5520 549440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_199 unithd 5520 552160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_200 unithd 5520 554880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_201 unithd 5520 557600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_202 unithd 5520 560320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_203 unithd 5520 563040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_204 unithd 5520 565760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_205 unithd 5520 568480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_206 unithd 5520 571200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_207 unithd 5520 573920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_208 unithd 5520 576640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_209 unithd 5520 579360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_210 unithd 5520 582080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_211 unithd 5520 584800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_212 unithd 5520 587520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_213 unithd 5520 590240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_214 unithd 5520 592960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_215 unithd 5520 595680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_216 unithd 5520 598400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_217 unithd 5520 601120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_218 unithd 5520 603840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_219 unithd 5520 606560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_220 unithd 5520 609280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_221 unithd 5520 612000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_222 unithd 5520 614720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_223 unithd 5520 617440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_224 unithd 5520 620160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_225 unithd 5520 622880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_226 unithd 5520 625600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_227 unithd 5520 628320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_228 unithd 5520 631040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_229 unithd 5520 633760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_230 unithd 5520 636480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_231 unithd 5520 639200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_232 unithd 5520 641920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_233 unithd 5520 644640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_234 unithd 5520 647360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_235 unithd 5520 650080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_236 unithd 5520 652800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_237 unithd 5520 655520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_238 unithd 5520 658240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_239 unithd 5520 660960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_240 unithd 5520 663680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_241 unithd 5520 666400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_242 unithd 5520 669120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_243 unithd 5520 671840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_244 unithd 5520 674560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_245 unithd 5520 677280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_246 unithd 5520 680000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_247 unithd 5520 682720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_248 unithd 5520 685440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_249 unithd 5520 688160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_250 unithd 5520 690880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_251 unithd 5520 693600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_252 unithd 5520 696320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_253 unithd 5520 699040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_254 unithd 5520 701760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_255 unithd 5520 704480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_256 unithd 5520 707200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_257 unithd 5520 709920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_258 unithd 5520 712640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_259 unithd 5520 715360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_260 unithd 5520 718080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_261 unithd 5520 720800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_262 unithd 5520 723520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_263 unithd 5520 726240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_264 unithd 5520 728960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_265 unithd 5520 731680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_266 unithd 5520 734400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_267 unithd 5520 737120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_268 unithd 5520 739840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_269 unithd 5520 742560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_270 unithd 5520 745280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_271 unithd 5520 748000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_272 unithd 5520 750720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_273 unithd 5520 753440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_274 unithd 5520 756160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_275 unithd 5520 758880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_276 unithd 5520 761600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_277 unithd 5520 764320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_278 unithd 5520 767040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_279 unithd 5520 769760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_280 unithd 5520 772480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_281 unithd 5520 775200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_282 unithd 5520 777920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_283 unithd 5520 780640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_284 unithd 5520 783360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_285 unithd 5520 786080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_286 unithd 5520 788800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_287 unithd 5520 791520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_288 unithd 5520 794240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_289 unithd 5520 796960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_290 unithd 5520 799680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_291 unithd 5520 802400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_292 unithd 5520 805120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_293 unithd 5520 807840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_294 unithd 5520 810560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_295 unithd 5520 813280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_296 unithd 5520 816000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_297 unithd 5520 818720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_298 unithd 5520 821440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_299 unithd 5520 824160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_300 unithd 5520 826880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_301 unithd 5520 829600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_302 unithd 5520 832320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_303 unithd 5520 835040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_304 unithd 5520 837760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_305 unithd 5520 840480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_306 unithd 5520 843200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_307 unithd 5520 845920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_308 unithd 5520 848640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_309 unithd 5520 851360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_310 unithd 5520 854080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_311 unithd 5520 856800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_312 unithd 5520 859520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_313 unithd 5520 862240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_314 unithd 5520 864960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_315 unithd 5520 867680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_316 unithd 5520 870400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_317 unithd 5520 873120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_318 unithd 5520 875840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_319 unithd 5520 878560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_320 unithd 5520 881280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_321 unithd 5520 884000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_322 unithd 5520 886720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_323 unithd 5520 889440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_324 unithd 5520 892160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_325 unithd 5520 894880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_326 unithd 5520 897600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_327 unithd 5520 900320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_328 unithd 5520 903040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_329 unithd 5520 905760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_330 unithd 5520 908480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_331 unithd 5520 911200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_332 unithd 5520 913920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_333 unithd 5520 916640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_334 unithd 5520 919360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_335 unithd 5520 922080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_336 unithd 5520 924800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_337 unithd 5520 927520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_338 unithd 5520 930240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_339 unithd 5520 932960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_340 unithd 5520 935680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_341 unithd 5520 938400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_342 unithd 5520 941120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_343 unithd 5520 943840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_344 unithd 5520 946560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_345 unithd 5520 949280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_346 unithd 5520 952000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_347 unithd 5520 954720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_348 unithd 5520 957440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_349 unithd 5520 960160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_350 unithd 5520 962880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_351 unithd 5520 965600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_352 unithd 5520 968320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_353 unithd 5520 971040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_354 unithd 5520 973760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_355 unithd 5520 976480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_356 unithd 5520 979200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_357 unithd 5520 981920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_358 unithd 5520 984640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_359 unithd 5520 987360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_360 unithd 5520 990080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_361 unithd 5520 992800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_362 unithd 5520 995520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_363 unithd 5520 998240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_364 unithd 5520 1000960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_365 unithd 5520 1003680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_366 unithd 5520 1006400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_367 unithd 5520 1009120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_368 unithd 5520 1011840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_369 unithd 5520 1014560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_370 unithd 5520 1017280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_371 unithd 5520 1020000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_372 unithd 5520 1022720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_373 unithd 5520 1025440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_374 unithd 5520 1028160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_375 unithd 5520 1030880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_376 unithd 5520 1033600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_377 unithd 5520 1036320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_378 unithd 5520 1039040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_379 unithd 5520 1041760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_380 unithd 5520 1044480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_381 unithd 5520 1047200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_382 unithd 5520 1049920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_383 unithd 5520 1052640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_384 unithd 5520 1055360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_385 unithd 5520 1058080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_386 unithd 5520 1060800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_387 unithd 5520 1063520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_388 unithd 5520 1066240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_389 unithd 5520 1068960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_390 unithd 5520 1071680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_391 unithd 5520 1074400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_392 unithd 5520 1077120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_393 unithd 5520 1079840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_394 unithd 5520 1082560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_395 unithd 5520 1085280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_396 unithd 5520 1088000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_397 unithd 5520 1090720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_398 unithd 5520 1093440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_399 unithd 5520 1096160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_400 unithd 5520 1098880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_401 unithd 5520 1101600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_402 unithd 5520 1104320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_403 unithd 5520 1107040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_404 unithd 5520 1109760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_405 unithd 5520 1112480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_406 unithd 5520 1115200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_407 unithd 5520 1117920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_408 unithd 5520 1120640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_409 unithd 5520 1123360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_410 unithd 5520 1126080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_411 unithd 5520 1128800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_412 unithd 5520 1131520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_413 unithd 5520 1134240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_414 unithd 5520 1136960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_415 unithd 5520 1139680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_416 unithd 5520 1142400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_417 unithd 5520 1145120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_418 unithd 5520 1147840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_419 unithd 5520 1150560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_420 unithd 5520 1153280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_421 unithd 5520 1156000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_422 unithd 5520 1158720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_423 unithd 5520 1161440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_424 unithd 5520 1164160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_425 unithd 5520 1166880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_426 unithd 5520 1169600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_427 unithd 5520 1172320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_428 unithd 5520 1175040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_429 unithd 5520 1177760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_430 unithd 5520 1180480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_431 unithd 5520 1183200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_432 unithd 5520 1185920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_433 unithd 5520 1188640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_434 unithd 5520 1191360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_435 unithd 5520 1194080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_436 unithd 5520 1196800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_437 unithd 5520 1199520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_438 unithd 5520 1202240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_439 unithd 5520 1204960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_440 unithd 5520 1207680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_441 unithd 5520 1210400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_442 unithd 5520 1213120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_443 unithd 5520 1215840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_444 unithd 5520 1218560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_445 unithd 5520 1221280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_446 unithd 5520 1224000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_447 unithd 5520 1226720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_448 unithd 5520 1229440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_449 unithd 5520 1232160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_450 unithd 5520 1234880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_451 unithd 5520 1237600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_452 unithd 5520 1240320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_453 unithd 5520 1243040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_454 unithd 5520 1245760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_455 unithd 5520 1248480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_456 unithd 5520 1251200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_457 unithd 5520 1253920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_458 unithd 5520 1256640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_459 unithd 5520 1259360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_460 unithd 5520 1262080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_461 unithd 5520 1264800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_462 unithd 5520 1267520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_463 unithd 5520 1270240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_464 unithd 5520 1272960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_465 unithd 5520 1275680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_466 unithd 5520 1278400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_467 unithd 5520 1281120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_468 unithd 5520 1283840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_469 unithd 5520 1286560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_470 unithd 5520 1289280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_471 unithd 5520 1292000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_472 unithd 5520 1294720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_473 unithd 5520 1297440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_474 unithd 5520 1300160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_475 unithd 5520 1302880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_476 unithd 5520 1305600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_477 unithd 5520 1308320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_478 unithd 5520 1311040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_479 unithd 5520 1313760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_480 unithd 5520 1316480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_481 unithd 5520 1319200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_482 unithd 5520 1321920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_483 unithd 5520 1324640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_484 unithd 5520 1327360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_485 unithd 5520 1330080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_486 unithd 5520 1332800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_487 unithd 5520 1335520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_488 unithd 5520 1338240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_489 unithd 5520 1340960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_490 unithd 5520 1343680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_491 unithd 5520 1346400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_492 unithd 5520 1349120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_493 unithd 5520 1351840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_494 unithd 5520 1354560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_495 unithd 5520 1357280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_496 unithd 5520 1360000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_497 unithd 5520 1362720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_498 unithd 5520 1365440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_499 unithd 5520 1368160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_500 unithd 5520 1370880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_501 unithd 5520 1373600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_502 unithd 5520 1376320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_503 unithd 5520 1379040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_504 unithd 5520 1381760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_505 unithd 5520 1384480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_506 unithd 5520 1387200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_507 unithd 5520 1389920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_508 unithd 5520 1392640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_509 unithd 5520 1395360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_510 unithd 5520 1398080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_511 unithd 5520 1400800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_512 unithd 5520 1403520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_513 unithd 5520 1406240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_514 unithd 5520 1408960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_515 unithd 5520 1411680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_516 unithd 5520 1414400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_517 unithd 5520 1417120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_518 unithd 5520 1419840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_519 unithd 5520 1422560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_520 unithd 5520 1425280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_521 unithd 5520 1428000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_522 unithd 5520 1430720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_523 unithd 5520 1433440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_524 unithd 5520 1436160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_525 unithd 5520 1438880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_526 unithd 5520 1441600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_527 unithd 5520 1444320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_528 unithd 5520 1447040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_529 unithd 5520 1449760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_530 unithd 5520 1452480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_531 unithd 5520 1455200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_532 unithd 5520 1457920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_533 unithd 5520 1460640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_534 unithd 5520 1463360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_535 unithd 5520 1466080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_536 unithd 5520 1468800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_537 unithd 5520 1471520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_538 unithd 5520 1474240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_539 unithd 5520 1476960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_540 unithd 5520 1479680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_541 unithd 5520 1482400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_542 unithd 5520 1485120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_543 unithd 5520 1487840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_544 unithd 5520 1490560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_545 unithd 5520 1493280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_546 unithd 5520 1496000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_547 unithd 5520 1498720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_548 unithd 5520 1501440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_549 unithd 5520 1504160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_550 unithd 5520 1506880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_551 unithd 5520 1509600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_552 unithd 5520 1512320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_553 unithd 5520 1515040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_554 unithd 5520 1517760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_555 unithd 5520 1520480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_556 unithd 5520 1523200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_557 unithd 5520 1525920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_558 unithd 5520 1528640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_559 unithd 5520 1531360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_560 unithd 5520 1534080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_561 unithd 5520 1536800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_562 unithd 5520 1539520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_563 unithd 5520 1542240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_564 unithd 5520 1544960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_565 unithd 5520 1547680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_566 unithd 5520 1550400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_567 unithd 5520 1553120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_568 unithd 5520 1555840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_569 unithd 5520 1558560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_570 unithd 5520 1561280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_571 unithd 5520 1564000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_572 unithd 5520 1566720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_573 unithd 5520 1569440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_574 unithd 5520 1572160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_575 unithd 5520 1574880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_576 unithd 5520 1577600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_577 unithd 5520 1580320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_578 unithd 5520 1583040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_579 unithd 5520 1585760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_580 unithd 5520 1588480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_581 unithd 5520 1591200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_582 unithd 5520 1593920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_583 unithd 5520 1596640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_584 unithd 5520 1599360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_585 unithd 5520 1602080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_586 unithd 5520 1604800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_587 unithd 5520 1607520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_588 unithd 5520 1610240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_589 unithd 5520 1612960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_590 unithd 5520 1615680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_591 unithd 5520 1618400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_592 unithd 5520 1621120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_593 unithd 5520 1623840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_594 unithd 5520 1626560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_595 unithd 5520 1629280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_596 unithd 5520 1632000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_597 unithd 5520 1634720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_598 unithd 5520 1637440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_599 unithd 5520 1640160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_600 unithd 5520 1642880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_601 unithd 5520 1645600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_602 unithd 5520 1648320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_603 unithd 5520 1651040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_604 unithd 5520 1653760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_605 unithd 5520 1656480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_606 unithd 5520 1659200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_607 unithd 5520 1661920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_608 unithd 5520 1664640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_609 unithd 5520 1667360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_610 unithd 5520 1670080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_611 unithd 5520 1672800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_612 unithd 5520 1675520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_613 unithd 5520 1678240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_614 unithd 5520 1680960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_615 unithd 5520 1683680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_616 unithd 5520 1686400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_617 unithd 5520 1689120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_618 unithd 5520 1691840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_619 unithd 5520 1694560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_620 unithd 5520 1697280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_621 unithd 5520 1700000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_622 unithd 5520 1702720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_623 unithd 5520 1705440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_624 unithd 5520 1708160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_625 unithd 5520 1710880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_626 unithd 5520 1713600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_627 unithd 5520 1716320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_628 unithd 5520 1719040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_629 unithd 5520 1721760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_630 unithd 5520 1724480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_631 unithd 5520 1727200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_632 unithd 5520 1729920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_633 unithd 5520 1732640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_634 unithd 5520 1735360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_635 unithd 5520 1738080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_636 unithd 5520 1740800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_637 unithd 5520 1743520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_638 unithd 5520 1746240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_639 unithd 5520 1748960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_640 unithd 5520 1751680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_641 unithd 5520 1754400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_642 unithd 5520 1757120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_643 unithd 5520 1759840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_644 unithd 5520 1762560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_645 unithd 5520 1765280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_646 unithd 5520 1768000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_647 unithd 5520 1770720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_648 unithd 5520 1773440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_649 unithd 5520 1776160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_650 unithd 5520 1778880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_651 unithd 5520 1781600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_652 unithd 5520 1784320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_653 unithd 5520 1787040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_654 unithd 5520 1789760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_655 unithd 5520 1792480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_656 unithd 5520 1795200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_657 unithd 5520 1797920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_658 unithd 5520 1800640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_659 unithd 5520 1803360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_660 unithd 5520 1806080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_661 unithd 5520 1808800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_662 unithd 5520 1811520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_663 unithd 5520 1814240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_664 unithd 5520 1816960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_665 unithd 5520 1819680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_666 unithd 5520 1822400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_667 unithd 5520 1825120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_668 unithd 5520 1827840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_669 unithd 5520 1830560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_670 unithd 5520 1833280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_671 unithd 5520 1836000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_672 unithd 5520 1838720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_673 unithd 5520 1841440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_674 unithd 5520 1844160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_675 unithd 5520 1846880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_676 unithd 5520 1849600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_677 unithd 5520 1852320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_678 unithd 5520 1855040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_679 unithd 5520 1857760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_680 unithd 5520 1860480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_681 unithd 5520 1863200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_682 unithd 5520 1865920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_683 unithd 5520 1868640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_684 unithd 5520 1871360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_685 unithd 5520 1874080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_686 unithd 5520 1876800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_687 unithd 5520 1879520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_688 unithd 5520 1882240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_689 unithd 5520 1884960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_690 unithd 5520 1887680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_691 unithd 5520 1890400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_692 unithd 5520 1893120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_693 unithd 5520 1895840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_694 unithd 5520 1898560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_695 unithd 5520 1901280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_696 unithd 5520 1904000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_697 unithd 5520 1906720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_698 unithd 5520 1909440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_699 unithd 5520 1912160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_700 unithd 5520 1914880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_701 unithd 5520 1917600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_702 unithd 5520 1920320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_703 unithd 5520 1923040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_704 unithd 5520 1925760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_705 unithd 5520 1928480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_706 unithd 5520 1931200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_707 unithd 5520 1933920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_708 unithd 5520 1936640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_709 unithd 5520 1939360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_710 unithd 5520 1942080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_711 unithd 5520 1944800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_712 unithd 5520 1947520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_713 unithd 5520 1950240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_714 unithd 5520 1952960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_715 unithd 5520 1955680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_716 unithd 5520 1958400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_717 unithd 5520 1961120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_718 unithd 5520 1963840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_719 unithd 5520 1966560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_720 unithd 5520 1969280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_721 unithd 5520 1972000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_722 unithd 5520 1974720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_723 unithd 5520 1977440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_724 unithd 5520 1980160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_725 unithd 5520 1982880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_726 unithd 5520 1985600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_727 unithd 5520 1988320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_728 unithd 5520 1991040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_729 unithd 5520 1993760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_730 unithd 5520 1996480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_731 unithd 5520 1999200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_732 unithd 5520 2001920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_733 unithd 5520 2004640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_734 unithd 5520 2007360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_735 unithd 5520 2010080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_736 unithd 5520 2012800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_737 unithd 5520 2015520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_738 unithd 5520 2018240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_739 unithd 5520 2020960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_740 unithd 5520 2023680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_741 unithd 5520 2026400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_742 unithd 5520 2029120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_743 unithd 5520 2031840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_744 unithd 5520 2034560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_745 unithd 5520 2037280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_746 unithd 5520 2040000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_747 unithd 5520 2042720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_748 unithd 5520 2045440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_749 unithd 5520 2048160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_750 unithd 5520 2050880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_751 unithd 5520 2053600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_752 unithd 5520 2056320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_753 unithd 5520 2059040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_754 unithd 5520 2061760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_755 unithd 5520 2064480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_756 unithd 5520 2067200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_757 unithd 5520 2069920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_758 unithd 5520 2072640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_759 unithd 5520 2075360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_760 unithd 5520 2078080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_761 unithd 5520 2080800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_762 unithd 5520 2083520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_763 unithd 5520 2086240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_764 unithd 5520 2088960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_765 unithd 5520 2091680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_766 unithd 5520 2094400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_767 unithd 5520 2097120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_768 unithd 5520 2099840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_769 unithd 5520 2102560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_770 unithd 5520 2105280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_771 unithd 5520 2108000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_772 unithd 5520 2110720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_773 unithd 5520 2113440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_774 unithd 5520 2116160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_775 unithd 5520 2118880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_776 unithd 5520 2121600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_777 unithd 5520 2124320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_778 unithd 5520 2127040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_779 unithd 5520 2129760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_780 unithd 5520 2132480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_781 unithd 5520 2135200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_782 unithd 5520 2137920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_783 unithd 5520 2140640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_784 unithd 5520 2143360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_785 unithd 5520 2146080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_786 unithd 5520 2148800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_787 unithd 5520 2151520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_788 unithd 5520 2154240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_789 unithd 5520 2156960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_790 unithd 5520 2159680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_791 unithd 5520 2162400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_792 unithd 5520 2165120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_793 unithd 5520 2167840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_794 unithd 5520 2170560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_795 unithd 5520 2173280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_796 unithd 5520 2176000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_797 unithd 5520 2178720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_798 unithd 5520 2181440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_799 unithd 5520 2184160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_800 unithd 5520 2186880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_801 unithd 5520 2189600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_802 unithd 5520 2192320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_803 unithd 5520 2195040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_804 unithd 5520 2197760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_805 unithd 5520 2200480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_806 unithd 5520 2203200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_807 unithd 5520 2205920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_808 unithd 5520 2208640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_809 unithd 5520 2211360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_810 unithd 5520 2214080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_811 unithd 5520 2216800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_812 unithd 5520 2219520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_813 unithd 5520 2222240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_814 unithd 5520 2224960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_815 unithd 5520 2227680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_816 unithd 5520 2230400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_817 unithd 5520 2233120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_818 unithd 5520 2235840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_819 unithd 5520 2238560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_820 unithd 5520 2241280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_821 unithd 5520 2244000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_822 unithd 5520 2246720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_823 unithd 5520 2249440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_824 unithd 5520 2252160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_825 unithd 5520 2254880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_826 unithd 5520 2257600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_827 unithd 5520 2260320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_828 unithd 5520 2263040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_829 unithd 5520 2265760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_830 unithd 5520 2268480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_831 unithd 5520 2271200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_832 unithd 5520 2273920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_833 unithd 5520 2276640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_834 unithd 5520 2279360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_835 unithd 5520 2282080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_836 unithd 5520 2284800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_837 unithd 5520 2287520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_838 unithd 5520 2290240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_839 unithd 5520 2292960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_840 unithd 5520 2295680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_841 unithd 5520 2298400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_842 unithd 5520 2301120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_843 unithd 5520 2303840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_844 unithd 5520 2306560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_845 unithd 5520 2309280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_846 unithd 5520 2312000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_847 unithd 5520 2314720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_848 unithd 5520 2317440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_849 unithd 5520 2320160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_850 unithd 5520 2322880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_851 unithd 5520 2325600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_852 unithd 5520 2328320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_853 unithd 5520 2331040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_854 unithd 5520 2333760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_855 unithd 5520 2336480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_856 unithd 5520 2339200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_857 unithd 5520 2341920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_858 unithd 5520 2344640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_859 unithd 5520 2347360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_860 unithd 5520 2350080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_861 unithd 5520 2352800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_862 unithd 5520 2355520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_863 unithd 5520 2358240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_864 unithd 5520 2360960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_865 unithd 5520 2363680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_866 unithd 5520 2366400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_867 unithd 5520 2369120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_868 unithd 5520 2371840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_869 unithd 5520 2374560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_870 unithd 5520 2377280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_871 unithd 5520 2380000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_872 unithd 5520 2382720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_873 unithd 5520 2385440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_874 unithd 5520 2388160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_875 unithd 5520 2390880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_876 unithd 5520 2393600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_877 unithd 5520 2396320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_878 unithd 5520 2399040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_879 unithd 5520 2401760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_880 unithd 5520 2404480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_881 unithd 5520 2407200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_882 unithd 5520 2409920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_883 unithd 5520 2412640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_884 unithd 5520 2415360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_885 unithd 5520 2418080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_886 unithd 5520 2420800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_887 unithd 5520 2423520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_888 unithd 5520 2426240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_889 unithd 5520 2428960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_890 unithd 5520 2431680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_891 unithd 5520 2434400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_892 unithd 5520 2437120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_893 unithd 5520 2439840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_894 unithd 5520 2442560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_895 unithd 5520 2445280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_896 unithd 5520 2448000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_897 unithd 5520 2450720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_898 unithd 5520 2453440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_899 unithd 5520 2456160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_900 unithd 5520 2458880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_901 unithd 5520 2461600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_902 unithd 5520 2464320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_903 unithd 5520 2467040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_904 unithd 5520 2469760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_905 unithd 5520 2472480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_906 unithd 5520 2475200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_907 unithd 5520 2477920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_908 unithd 5520 2480640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_909 unithd 5520 2483360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_910 unithd 5520 2486080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_911 unithd 5520 2488800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_912 unithd 5520 2491520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_913 unithd 5520 2494240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_914 unithd 5520 2496960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_915 unithd 5520 2499680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_916 unithd 5520 2502400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_917 unithd 5520 2505120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_918 unithd 5520 2507840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_919 unithd 5520 2510560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_920 unithd 5520 2513280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_921 unithd 5520 2516000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_922 unithd 5520 2518720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_923 unithd 5520 2521440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_924 unithd 5520 2524160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_925 unithd 5520 2526880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_926 unithd 5520 2529600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_927 unithd 5520 2532320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_928 unithd 5520 2535040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_929 unithd 5520 2537760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_930 unithd 5520 2540480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_931 unithd 5520 2543200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_932 unithd 5520 2545920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_933 unithd 5520 2548640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_934 unithd 5520 2551360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_935 unithd 5520 2554080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_936 unithd 5520 2556800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_937 unithd 5520 2559520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_938 unithd 5520 2562240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_939 unithd 5520 2564960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_940 unithd 5520 2567680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_941 unithd 5520 2570400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_942 unithd 5520 2573120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_943 unithd 5520 2575840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_944 unithd 5520 2578560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_945 unithd 5520 2581280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_946 unithd 5520 2584000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_947 unithd 5520 2586720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_948 unithd 5520 2589440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_949 unithd 5520 2592160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_950 unithd 5520 2594880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_951 unithd 5520 2597600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_952 unithd 5520 2600320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_953 unithd 5520 2603040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_954 unithd 5520 2605760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_955 unithd 5520 2608480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_956 unithd 5520 2611200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_957 unithd 5520 2613920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_958 unithd 5520 2616640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_959 unithd 5520 2619360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_960 unithd 5520 2622080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_961 unithd 5520 2624800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_962 unithd 5520 2627520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_963 unithd 5520 2630240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_964 unithd 5520 2632960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_965 unithd 5520 2635680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_966 unithd 5520 2638400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_967 unithd 5520 2641120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_968 unithd 5520 2643840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_969 unithd 5520 2646560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_970 unithd 5520 2649280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_971 unithd 5520 2652000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_972 unithd 5520 2654720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_973 unithd 5520 2657440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_974 unithd 5520 2660160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_975 unithd 5520 2662880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_976 unithd 5520 2665600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_977 unithd 5520 2668320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_978 unithd 5520 2671040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_979 unithd 5520 2673760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_980 unithd 5520 2676480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_981 unithd 5520 2679200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_982 unithd 5520 2681920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_983 unithd 5520 2684640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_984 unithd 5520 2687360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_985 unithd 5520 2690080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_986 unithd 5520 2692800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_987 unithd 5520 2695520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_988 unithd 5520 2698240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_989 unithd 5520 2700960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_990 unithd 5520 2703680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_991 unithd 5520 2706400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_992 unithd 5520 2709120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_993 unithd 5520 2711840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_994 unithd 5520 2714560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_995 unithd 5520 2717280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_996 unithd 5520 2720000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_997 unithd 5520 2722720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_998 unithd 5520 2725440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_999 unithd 5520 2728160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1000 unithd 5520 2730880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1001 unithd 5520 2733600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1002 unithd 5520 2736320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1003 unithd 5520 2739040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1004 unithd 5520 2741760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1005 unithd 5520 2744480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1006 unithd 5520 2747200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1007 unithd 5520 2749920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1008 unithd 5520 2752640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1009 unithd 5520 2755360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1010 unithd 5520 2758080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1011 unithd 5520 2760800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1012 unithd 5520 2763520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1013 unithd 5520 2766240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1014 unithd 5520 2768960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1015 unithd 5520 2771680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1016 unithd 5520 2774400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1017 unithd 5520 2777120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1018 unithd 5520 2779840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1019 unithd 5520 2782560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1020 unithd 5520 2785280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1021 unithd 5520 2788000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1022 unithd 5520 2790720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1023 unithd 5520 2793440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1024 unithd 5520 2796160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1025 unithd 5520 2798880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1026 unithd 5520 2801600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1027 unithd 5520 2804320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1028 unithd 5520 2807040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1029 unithd 5520 2809760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1030 unithd 5520 2812480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1031 unithd 5520 2815200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1032 unithd 5520 2817920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1033 unithd 5520 2820640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1034 unithd 5520 2823360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1035 unithd 5520 2826080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1036 unithd 5520 2828800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1037 unithd 5520 2831520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1038 unithd 5520 2834240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1039 unithd 5520 2836960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1040 unithd 5520 2839680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1041 unithd 5520 2842400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1042 unithd 5520 2845120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1043 unithd 5520 2847840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1044 unithd 5520 2850560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1045 unithd 5520 2853280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1046 unithd 5520 2856000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1047 unithd 5520 2858720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1048 unithd 5520 2861440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1049 unithd 5520 2864160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1050 unithd 5520 2866880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1051 unithd 5520 2869600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1052 unithd 5520 2872320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1053 unithd 5520 2875040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1054 unithd 5520 2877760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1055 unithd 5520 2880480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1056 unithd 5520 2883200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1057 unithd 5520 2885920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1058 unithd 5520 2888640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1059 unithd 5520 2891360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1060 unithd 5520 2894080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1061 unithd 5520 2896800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1062 unithd 5520 2899520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1063 unithd 5520 2902240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1064 unithd 5520 2904960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1065 unithd 5520 2907680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1066 unithd 5520 2910400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1067 unithd 5520 2913120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1068 unithd 5520 2915840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1069 unithd 5520 2918560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1070 unithd 5520 2921280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1071 unithd 5520 2924000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1072 unithd 5520 2926720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1073 unithd 5520 2929440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1074 unithd 5520 2932160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1075 unithd 5520 2934880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1076 unithd 5520 2937600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1077 unithd 5520 2940320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1078 unithd 5520 2943040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1079 unithd 5520 2945760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1080 unithd 5520 2948480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1081 unithd 5520 2951200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1082 unithd 5520 2953920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1083 unithd 5520 2956640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1084 unithd 5520 2959360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1085 unithd 5520 2962080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1086 unithd 5520 2964800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1087 unithd 5520 2967520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1088 unithd 5520 2970240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1089 unithd 5520 2972960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1090 unithd 5520 2975680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1091 unithd 5520 2978400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1092 unithd 5520 2981120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1093 unithd 5520 2983840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1094 unithd 5520 2986560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1095 unithd 5520 2989280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1096 unithd 5520 2992000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1097 unithd 5520 2994720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1098 unithd 5520 2997440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1099 unithd 5520 3000160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1100 unithd 5520 3002880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1101 unithd 5520 3005600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1102 unithd 5520 3008320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1103 unithd 5520 3011040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1104 unithd 5520 3013760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1105 unithd 5520 3016480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1106 unithd 5520 3019200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1107 unithd 5520 3021920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1108 unithd 5520 3024640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1109 unithd 5520 3027360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1110 unithd 5520 3030080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1111 unithd 5520 3032800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1112 unithd 5520 3035520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1113 unithd 5520 3038240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1114 unithd 5520 3040960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1115 unithd 5520 3043680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1116 unithd 5520 3046400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1117 unithd 5520 3049120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1118 unithd 5520 3051840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1119 unithd 5520 3054560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1120 unithd 5520 3057280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1121 unithd 5520 3060000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1122 unithd 5520 3062720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1123 unithd 5520 3065440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1124 unithd 5520 3068160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1125 unithd 5520 3070880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1126 unithd 5520 3073600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1127 unithd 5520 3076320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1128 unithd 5520 3079040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1129 unithd 5520 3081760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1130 unithd 5520 3084480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1131 unithd 5520 3087200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1132 unithd 5520 3089920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1133 unithd 5520 3092640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1134 unithd 5520 3095360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1135 unithd 5520 3098080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1136 unithd 5520 3100800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1137 unithd 5520 3103520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1138 unithd 5520 3106240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1139 unithd 5520 3108960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1140 unithd 5520 3111680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1141 unithd 5520 3114400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1142 unithd 5520 3117120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1143 unithd 5520 3119840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1144 unithd 5520 3122560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1145 unithd 5520 3125280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1146 unithd 5520 3128000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1147 unithd 5520 3130720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1148 unithd 5520 3133440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1149 unithd 5520 3136160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1150 unithd 5520 3138880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1151 unithd 5520 3141600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1152 unithd 5520 3144320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1153 unithd 5520 3147040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1154 unithd 5520 3149760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1155 unithd 5520 3152480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1156 unithd 5520 3155200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1157 unithd 5520 3157920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1158 unithd 5520 3160640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1159 unithd 5520 3163360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1160 unithd 5520 3166080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1161 unithd 5520 3168800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1162 unithd 5520 3171520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1163 unithd 5520 3174240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1164 unithd 5520 3176960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1165 unithd 5520 3179680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1166 unithd 5520 3182400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1167 unithd 5520 3185120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1168 unithd 5520 3187840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1169 unithd 5520 3190560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1170 unithd 5520 3193280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1171 unithd 5520 3196000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1172 unithd 5520 3198720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1173 unithd 5520 3201440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1174 unithd 5520 3204160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1175 unithd 5520 3206880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1176 unithd 5520 3209600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1177 unithd 5520 3212320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1178 unithd 5520 3215040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1179 unithd 5520 3217760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1180 unithd 5520 3220480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1181 unithd 5520 3223200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1182 unithd 5520 3225920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1183 unithd 5520 3228640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1184 unithd 5520 3231360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1185 unithd 5520 3234080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1186 unithd 5520 3236800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1187 unithd 5520 3239520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1188 unithd 5520 3242240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1189 unithd 5520 3244960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1190 unithd 5520 3247680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1191 unithd 5520 3250400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1192 unithd 5520 3253120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1193 unithd 5520 3255840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1194 unithd 5520 3258560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1195 unithd 5520 3261280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1196 unithd 5520 3264000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1197 unithd 5520 3266720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1198 unithd 5520 3269440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1199 unithd 5520 3272160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1200 unithd 5520 3274880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1201 unithd 5520 3277600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1202 unithd 5520 3280320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1203 unithd 5520 3283040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1204 unithd 5520 3285760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1205 unithd 5520 3288480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1206 unithd 5520 3291200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1207 unithd 5520 3293920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1208 unithd 5520 3296640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1209 unithd 5520 3299360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1210 unithd 5520 3302080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1211 unithd 5520 3304800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1212 unithd 5520 3307520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1213 unithd 5520 3310240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1214 unithd 5520 3312960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1215 unithd 5520 3315680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1216 unithd 5520 3318400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1217 unithd 5520 3321120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1218 unithd 5520 3323840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1219 unithd 5520 3326560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1220 unithd 5520 3329280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1221 unithd 5520 3332000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1222 unithd 5520 3334720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1223 unithd 5520 3337440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1224 unithd 5520 3340160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1225 unithd 5520 3342880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1226 unithd 5520 3345600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1227 unithd 5520 3348320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1228 unithd 5520 3351040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1229 unithd 5520 3353760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1230 unithd 5520 3356480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1231 unithd 5520 3359200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1232 unithd 5520 3361920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1233 unithd 5520 3364640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1234 unithd 5520 3367360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1235 unithd 5520 3370080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1236 unithd 5520 3372800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1237 unithd 5520 3375520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1238 unithd 5520 3378240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1239 unithd 5520 3380960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1240 unithd 5520 3383680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1241 unithd 5520 3386400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1242 unithd 5520 3389120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1243 unithd 5520 3391840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1244 unithd 5520 3394560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1245 unithd 5520 3397280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1246 unithd 5520 3400000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1247 unithd 5520 3402720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1248 unithd 5520 3405440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1249 unithd 5520 3408160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1250 unithd 5520 3410880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1251 unithd 5520 3413600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1252 unithd 5520 3416320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1253 unithd 5520 3419040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1254 unithd 5520 3421760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1255 unithd 5520 3424480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1256 unithd 5520 3427200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1257 unithd 5520 3429920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1258 unithd 5520 3432640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1259 unithd 5520 3435360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1260 unithd 5520 3438080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1261 unithd 5520 3440800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1262 unithd 5520 3443520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1263 unithd 5520 3446240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1264 unithd 5520 3448960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1265 unithd 5520 3451680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1266 unithd 5520 3454400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1267 unithd 5520 3457120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1268 unithd 5520 3459840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1269 unithd 5520 3462560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1270 unithd 5520 3465280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1271 unithd 5520 3468000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1272 unithd 5520 3470720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1273 unithd 5520 3473440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1274 unithd 5520 3476160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1275 unithd 5520 3478880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1276 unithd 5520 3481600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1277 unithd 5520 3484320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1278 unithd 5520 3487040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1279 unithd 5520 3489760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1280 unithd 5520 3492480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1281 unithd 5520 3495200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1282 unithd 5520 3497920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1283 unithd 5520 3500640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1284 unithd 5520 3503360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1285 unithd 5520 3506080 FS DO 6323 BY 1 STEP 460 0 ;
TRACKS X 230 DO 6348 STEP 460 LAYER li1 ;
TRACKS Y 170 DO 10353 STEP 340 LAYER li1 ;
TRACKS X 170 DO 8588 STEP 340 LAYER met1 ;
TRACKS Y 170 DO 10353 STEP 340 LAYER met1 ;
TRACKS X 230 DO 6348 STEP 460 LAYER met2 ;
TRACKS Y 230 DO 7652 STEP 460 LAYER met2 ;
TRACKS X 340 DO 4294 STEP 680 LAYER met3 ;
TRACKS Y 340 DO 5176 STEP 680 LAYER met3 ;
TRACKS X 460 DO 3174 STEP 920 LAYER met4 ;
TRACKS Y 460 DO 3826 STEP 920 LAYER met4 ;
TRACKS X 1700 DO 859 STEP 3400 LAYER met5 ;
TRACKS Y 1700 DO 1035 STEP 3400 LAYER met5 ;
GCELLGRID X 0 DO 423 STEP 6900 ;
GCELLGRID Y 0 DO 511 STEP 6900 ;
VIAS 3 ;
- via4_3100x3100 + VIARULE M4M5_PR + CUTSIZE 800 800 + LAYERS met4 via4 met5 + CUTSPACING 800 800 + ENCLOSURE 350 350 350 350 + ROWCOL 2 2 ;
- via4_1600x3100 + VIARULE M4M5_PR + CUTSIZE 800 800 + LAYERS met4 via4 met5 + CUTSPACING 800 800 + ENCLOSURE 400 350 400 350 + ROWCOL 2 1 ;
- via4_1740x3100 + VIARULE M4M5_PR + CUTSIZE 800 800 + LAYERS met4 via4 met5 + CUTSPACING 800 800 + ENCLOSURE 470 350 470 350 + ROWCOL 2 1 ;
END VIAS
COMPONENTS 3 ;
- mprj user_proj + FIXED ( 300000 430000 ) N ;
- sram sky130_sram_2kbyte_1rw1r_32x512_8 + FIXED ( 300000 2230000 ) N ;
- sram1 sky130_sram_2kbyte_1rw1r_32x512_8 + FIXED ( 1100000 2230000 ) N ;
END COMPONENTS
PINS 645 ;
- analog_io[0] + NET analog_io[0] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1426980 ) N ;
- analog_io[10] + NET analog_io[10] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2230770 3521200 ) N ;
- analog_io[11] + NET analog_io[11] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1906010 3521200 ) N ;
- analog_io[12] + NET analog_io[12] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1581710 3521200 ) N ;
- analog_io[13] + NET analog_io[13] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1257410 3521200 ) N ;
- analog_io[14] + NET analog_io[14] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 932650 3521200 ) N ;
- analog_io[15] + NET analog_io[15] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 608350 3521200 ) N ;
- analog_io[16] + NET analog_io[16] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 284050 3521200 ) N ;
- analog_io[17] + NET analog_io[17] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3486700 ) N ;
- analog_io[18] + NET analog_io[18] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3225580 ) N ;
- analog_io[19] + NET analog_io[19] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2965140 ) N ;
- analog_io[1] + NET analog_io[1] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1692860 ) N ;
- analog_io[20] + NET analog_io[20] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2704020 ) N ;
- analog_io[21] + NET analog_io[21] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2443580 ) N ;
- analog_io[22] + NET analog_io[22] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2183140 ) N ;
- analog_io[23] + NET analog_io[23] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1922020 ) N ;
- analog_io[24] + NET analog_io[24] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1661580 ) N ;
- analog_io[25] + NET analog_io[25] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1400460 ) N ;
- analog_io[26] + NET analog_io[26] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1140020 ) N ;
- analog_io[27] + NET analog_io[27] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 879580 ) N ;
- analog_io[28] + NET analog_io[28] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 618460 ) N ;
- analog_io[2] + NET analog_io[2] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1958740 ) N ;
- analog_io[3] + NET analog_io[3] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2223940 ) N ;
- analog_io[4] + NET analog_io[4] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2489820 ) N ;
- analog_io[5] + NET analog_io[5] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2755700 ) N ;
- analog_io[6] + NET analog_io[6] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3020900 ) N ;
- analog_io[7] + NET analog_io[7] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3286780 ) N ;
- analog_io[8] + NET analog_io[8] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2879370 3521200 ) N ;
- analog_io[9] + NET analog_io[9] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2555070 3521200 ) N ;
- io_in[0] + NET io_in[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 32980 ) N ;
- io_in[10] + NET io_in[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2290580 ) N ;
- io_in[11] + NET io_in[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2556460 ) N ;
- io_in[12] + NET io_in[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2821660 ) N ;
- io_in[13] + NET io_in[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3087540 ) N ;
- io_in[14] + NET io_in[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3353420 ) N ;
- io_in[15] + NET io_in[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2798410 3521200 ) N ;
- io_in[16] + NET io_in[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2474110 3521200 ) N ;
- io_in[17] + NET io_in[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2149350 3521200 ) N ;
- io_in[18] + NET io_in[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1825050 3521200 ) N ;
- io_in[19] + NET io_in[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1500750 3521200 ) N ;
- io_in[1] + NET io_in[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 231540 ) N ;
- io_in[20] + NET io_in[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1175990 3521200 ) N ;
- io_in[21] + NET io_in[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 851690 3521200 ) N ;
- io_in[22] + NET io_in[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 527390 3521200 ) N ;
- io_in[23] + NET io_in[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 202630 3521200 ) N ;
- io_in[24] + NET io_in[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3421420 ) N ;
- io_in[25] + NET io_in[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3160300 ) N ;
- io_in[26] + NET io_in[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2899860 ) N ;
- io_in[27] + NET io_in[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2639420 ) N ;
- io_in[28] + NET io_in[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2378300 ) N ;
- io_in[29] + NET io_in[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2117860 ) N ;
- io_in[2] + NET io_in[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 430780 ) N ;
- io_in[30] + NET io_in[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1856740 ) N ;
- io_in[31] + NET io_in[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1596300 ) N ;
- io_in[32] + NET io_in[32] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1335860 ) N ;
- io_in[33] + NET io_in[33] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1074740 ) N ;
- io_in[34] + NET io_in[34] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 814300 ) N ;
- io_in[35] + NET io_in[35] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 553180 ) N ;
- io_in[36] + NET io_in[36] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 358020 ) N ;
- io_in[37] + NET io_in[37] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 162180 ) N ;
- io_in[3] + NET io_in[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 630020 ) N ;
- io_in[4] + NET io_in[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 829260 ) N ;
- io_in[5] + NET io_in[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1028500 ) N ;
- io_in[6] + NET io_in[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1227740 ) N ;
- io_in[7] + NET io_in[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1493620 ) N ;
- io_in[8] + NET io_in[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1759500 ) N ;
- io_in[9] + NET io_in[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2024700 ) N ;
- io_oeb[0] + NET io_oeb[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 165580 ) N ;
- io_oeb[10] + NET io_oeb[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2423180 ) N ;
- io_oeb[11] + NET io_oeb[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2689060 ) N ;
- io_oeb[12] + NET io_oeb[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2954940 ) N ;
- io_oeb[13] + NET io_oeb[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3220140 ) N ;
- io_oeb[14] + NET io_oeb[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3486020 ) N ;
- io_oeb[15] + NET io_oeb[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2636030 3521200 ) N ;
- io_oeb[16] + NET io_oeb[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2311730 3521200 ) N ;
- io_oeb[17] + NET io_oeb[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1987430 3521200 ) N ;
- io_oeb[18] + NET io_oeb[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1662670 3521200 ) N ;
- io_oeb[19] + NET io_oeb[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1338370 3521200 ) N ;
- io_oeb[1] + NET io_oeb[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 364820 ) N ;
- io_oeb[20] + NET io_oeb[20] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1014070 3521200 ) N ;
- io_oeb[21] + NET io_oeb[21] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 689310 3521200 ) N ;
- io_oeb[22] + NET io_oeb[22] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 365010 3521200 ) N ;
- io_oeb[23] + NET io_oeb[23] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 40710 3521200 ) N ;
- io_oeb[24] + NET io_oeb[24] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3290860 ) N ;
- io_oeb[25] + NET io_oeb[25] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3030420 ) N ;
- io_oeb[26] + NET io_oeb[26] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2769300 ) N ;
- io_oeb[27] + NET io_oeb[27] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2508860 ) N ;
- io_oeb[28] + NET io_oeb[28] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2247740 ) N ;
- io_oeb[29] + NET io_oeb[29] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1987300 ) N ;
- io_oeb[2] + NET io_oeb[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 564060 ) N ;
- io_oeb[30] + NET io_oeb[30] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1726860 ) N ;
- io_oeb[31] + NET io_oeb[31] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1465740 ) N ;
- io_oeb[32] + NET io_oeb[32] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1205300 ) N ;
- io_oeb[33] + NET io_oeb[33] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 944180 ) N ;
- io_oeb[34] + NET io_oeb[34] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 683740 ) N ;
- io_oeb[35] + NET io_oeb[35] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 423300 ) N ;
- io_oeb[36] + NET io_oeb[36] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 227460 ) N ;
- io_oeb[37] + NET io_oeb[37] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 32300 ) N ;
- io_oeb[3] + NET io_oeb[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 763300 ) N ;
- io_oeb[4] + NET io_oeb[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 962540 ) N ;
- io_oeb[5] + NET io_oeb[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1161780 ) N ;
- io_oeb[6] + NET io_oeb[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1361020 ) N ;
- io_oeb[7] + NET io_oeb[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1626220 ) N ;
- io_oeb[8] + NET io_oeb[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1892100 ) N ;
- io_oeb[9] + NET io_oeb[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2157980 ) N ;
- io_out[0] + NET io_out[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 98940 ) N ;
- io_out[10] + NET io_out[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2357220 ) N ;
- io_out[11] + NET io_out[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2622420 ) N ;
- io_out[12] + NET io_out[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2888300 ) N ;
- io_out[13] + NET io_out[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3154180 ) N ;
- io_out[14] + NET io_out[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3419380 ) N ;
- io_out[15] + NET io_out[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2717450 3521200 ) N ;
- io_out[16] + NET io_out[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2392690 3521200 ) N ;
- io_out[17] + NET io_out[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2068390 3521200 ) N ;
- io_out[18] + NET io_out[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1744090 3521200 ) N ;
- io_out[19] + NET io_out[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1419330 3521200 ) N ;
- io_out[1] + NET io_out[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 298180 ) N ;
- io_out[20] + NET io_out[20] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1095030 3521200 ) N ;
- io_out[21] + NET io_out[21] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 770730 3521200 ) N ;
- io_out[22] + NET io_out[22] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 445970 3521200 ) N ;
- io_out[23] + NET io_out[23] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 121670 3521200 ) N ;
- io_out[24] + NET io_out[24] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3356140 ) N ;
- io_out[25] + NET io_out[25] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3095700 ) N ;
- io_out[26] + NET io_out[26] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2834580 ) N ;
- io_out[27] + NET io_out[27] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2574140 ) N ;
- io_out[28] + NET io_out[28] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2313020 ) N ;
- io_out[29] + NET io_out[29] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2052580 ) N ;
- io_out[2] + NET io_out[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 497420 ) N ;
- io_out[30] + NET io_out[30] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1792140 ) N ;
- io_out[31] + NET io_out[31] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1531020 ) N ;
- io_out[32] + NET io_out[32] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1270580 ) N ;
- io_out[33] + NET io_out[33] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1009460 ) N ;
- io_out[34] + NET io_out[34] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 749020 ) N ;
- io_out[35] + NET io_out[35] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 487900 ) N ;
- io_out[36] + NET io_out[36] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 292740 ) N ;
- io_out[37] + NET io_out[37] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 96900 ) N ;
- io_out[3] + NET io_out[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 696660 ) N ;
- io_out[4] + NET io_out[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 895900 ) N ;
- io_out[5] + NET io_out[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1095140 ) N ;
- io_out[6] + NET io_out[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1294380 ) N ;
- io_out[7] + NET io_out[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1560260 ) N ;
- io_out[8] + NET io_out[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1825460 ) N ;
- io_out[9] + NET io_out[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2091340 ) N ;
- la_data_in[0] + NET la_data_in[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 629510 -1200 ) N ;
- la_data_in[100] + NET la_data_in[100] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2402810 -1200 ) N ;
- la_data_in[101] + NET la_data_in[101] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2420290 -1200 ) N ;
- la_data_in[102] + NET la_data_in[102] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2438230 -1200 ) N ;
- la_data_in[103] + NET la_data_in[103] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2455710 -1200 ) N ;
- la_data_in[104] + NET la_data_in[104] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2473650 -1200 ) N ;
- la_data_in[105] + NET la_data_in[105] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2491130 -1200 ) N ;
- la_data_in[106] + NET la_data_in[106] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2509070 -1200 ) N ;
- la_data_in[107] + NET la_data_in[107] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2527010 -1200 ) N ;
- la_data_in[108] + NET la_data_in[108] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2544490 -1200 ) N ;
- la_data_in[109] + NET la_data_in[109] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2562430 -1200 ) N ;
- la_data_in[10] + NET la_data_in[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 806610 -1200 ) N ;
- la_data_in[110] + NET la_data_in[110] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2579910 -1200 ) N ;
- la_data_in[111] + NET la_data_in[111] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2597850 -1200 ) N ;
- la_data_in[112] + NET la_data_in[112] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2615330 -1200 ) N ;
- la_data_in[113] + NET la_data_in[113] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2633270 -1200 ) N ;
- la_data_in[114] + NET la_data_in[114] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2650750 -1200 ) N ;
- la_data_in[115] + NET la_data_in[115] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2668690 -1200 ) N ;
- la_data_in[116] + NET la_data_in[116] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2686170 -1200 ) N ;
- la_data_in[117] + NET la_data_in[117] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2704110 -1200 ) N ;
- la_data_in[118] + NET la_data_in[118] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2722050 -1200 ) N ;
- la_data_in[119] + NET la_data_in[119] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2739530 -1200 ) N ;
- la_data_in[11] + NET la_data_in[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 824550 -1200 ) N ;
- la_data_in[120] + NET la_data_in[120] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2757470 -1200 ) N ;
- la_data_in[121] + NET la_data_in[121] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2774950 -1200 ) N ;
- la_data_in[122] + NET la_data_in[122] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2792890 -1200 ) N ;
- la_data_in[123] + NET la_data_in[123] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2810370 -1200 ) N ;
- la_data_in[124] + NET la_data_in[124] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2828310 -1200 ) N ;
- la_data_in[125] + NET la_data_in[125] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2845790 -1200 ) N ;
- la_data_in[126] + NET la_data_in[126] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2863730 -1200 ) N ;
- la_data_in[127] + NET la_data_in[127] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2881670 -1200 ) N ;
- la_data_in[12] + NET la_data_in[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 842030 -1200 ) N ;
- la_data_in[13] + NET la_data_in[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 859970 -1200 ) N ;
- la_data_in[14] + NET la_data_in[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 877450 -1200 ) N ;
- la_data_in[15] + NET la_data_in[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 895390 -1200 ) N ;
- la_data_in[16] + NET la_data_in[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 912870 -1200 ) N ;
- la_data_in[17] + NET la_data_in[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 930810 -1200 ) N ;
- la_data_in[18] + NET la_data_in[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 948750 -1200 ) N ;
- la_data_in[19] + NET la_data_in[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 966230 -1200 ) N ;
- la_data_in[1] + NET la_data_in[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 646990 -1200 ) N ;
- la_data_in[20] + NET la_data_in[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 984170 -1200 ) N ;
- la_data_in[21] + NET la_data_in[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1001650 -1200 ) N ;
- la_data_in[22] + NET la_data_in[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1019590 -1200 ) N ;
- la_data_in[23] + NET la_data_in[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1037070 -1200 ) N ;
- la_data_in[24] + NET la_data_in[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1055010 -1200 ) N ;
- la_data_in[25] + NET la_data_in[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1072490 -1200 ) N ;
- la_data_in[26] + NET la_data_in[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1090430 -1200 ) N ;
- la_data_in[27] + NET la_data_in[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1107910 -1200 ) N ;
- la_data_in[28] + NET la_data_in[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1125850 -1200 ) N ;
- la_data_in[29] + NET la_data_in[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1143790 -1200 ) N ;
- la_data_in[2] + NET la_data_in[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 664930 -1200 ) N ;
- la_data_in[30] + NET la_data_in[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1161270 -1200 ) N ;
- la_data_in[31] + NET la_data_in[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1179210 -1200 ) N ;
- la_data_in[32] + NET la_data_in[32] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1196690 -1200 ) N ;
- la_data_in[33] + NET la_data_in[33] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1214630 -1200 ) N ;
- la_data_in[34] + NET la_data_in[34] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1232110 -1200 ) N ;
- la_data_in[35] + NET la_data_in[35] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1250050 -1200 ) N ;
- la_data_in[36] + NET la_data_in[36] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1267530 -1200 ) N ;
- la_data_in[37] + NET la_data_in[37] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1285470 -1200 ) N ;
- la_data_in[38] + NET la_data_in[38] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1303410 -1200 ) N ;
- la_data_in[39] + NET la_data_in[39] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1320890 -1200 ) N ;
- la_data_in[3] + NET la_data_in[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 682410 -1200 ) N ;
- la_data_in[40] + NET la_data_in[40] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1338830 -1200 ) N ;
- la_data_in[41] + NET la_data_in[41] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1356310 -1200 ) N ;
- la_data_in[42] + NET la_data_in[42] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1374250 -1200 ) N ;
- la_data_in[43] + NET la_data_in[43] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1391730 -1200 ) N ;
- la_data_in[44] + NET la_data_in[44] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1409670 -1200 ) N ;
- la_data_in[45] + NET la_data_in[45] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1427150 -1200 ) N ;
- la_data_in[46] + NET la_data_in[46] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1445090 -1200 ) N ;
- la_data_in[47] + NET la_data_in[47] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1463030 -1200 ) N ;
- la_data_in[48] + NET la_data_in[48] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1480510 -1200 ) N ;
- la_data_in[49] + NET la_data_in[49] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1498450 -1200 ) N ;
- la_data_in[4] + NET la_data_in[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 700350 -1200 ) N ;
- la_data_in[50] + NET la_data_in[50] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1515930 -1200 ) N ;
- la_data_in[51] + NET la_data_in[51] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1533870 -1200 ) N ;
- la_data_in[52] + NET la_data_in[52] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1551350 -1200 ) N ;
- la_data_in[53] + NET la_data_in[53] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1569290 -1200 ) N ;
- la_data_in[54] + NET la_data_in[54] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1586770 -1200 ) N ;
- la_data_in[55] + NET la_data_in[55] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1604710 -1200 ) N ;
- la_data_in[56] + NET la_data_in[56] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1622190 -1200 ) N ;
- la_data_in[57] + NET la_data_in[57] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1640130 -1200 ) N ;
- la_data_in[58] + NET la_data_in[58] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1658070 -1200 ) N ;
- la_data_in[59] + NET la_data_in[59] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1675550 -1200 ) N ;
- la_data_in[5] + NET la_data_in[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 717830 -1200 ) N ;
- la_data_in[60] + NET la_data_in[60] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1693490 -1200 ) N ;
- la_data_in[61] + NET la_data_in[61] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1710970 -1200 ) N ;
- la_data_in[62] + NET la_data_in[62] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1728910 -1200 ) N ;
- la_data_in[63] + NET la_data_in[63] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1746390 -1200 ) N ;
- la_data_in[64] + NET la_data_in[64] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1764330 -1200 ) N ;
- la_data_in[65] + NET la_data_in[65] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1781810 -1200 ) N ;
- la_data_in[66] + NET la_data_in[66] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1799750 -1200 ) N ;
- la_data_in[67] + NET la_data_in[67] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1817690 -1200 ) N ;
- la_data_in[68] + NET la_data_in[68] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1835170 -1200 ) N ;
- la_data_in[69] + NET la_data_in[69] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1853110 -1200 ) N ;
- la_data_in[6] + NET la_data_in[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 735770 -1200 ) N ;
- la_data_in[70] + NET la_data_in[70] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1870590 -1200 ) N ;
- la_data_in[71] + NET la_data_in[71] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1888530 -1200 ) N ;
- la_data_in[72] + NET la_data_in[72] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1906010 -1200 ) N ;
- la_data_in[73] + NET la_data_in[73] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1923950 -1200 ) N ;
- la_data_in[74] + NET la_data_in[74] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1941430 -1200 ) N ;
- la_data_in[75] + NET la_data_in[75] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1959370 -1200 ) N ;
- la_data_in[76] + NET la_data_in[76] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1976850 -1200 ) N ;
- la_data_in[77] + NET la_data_in[77] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1994790 -1200 ) N ;
- la_data_in[78] + NET la_data_in[78] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2012730 -1200 ) N ;
- la_data_in[79] + NET la_data_in[79] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2030210 -1200 ) N ;
- la_data_in[7] + NET la_data_in[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 753250 -1200 ) N ;
- la_data_in[80] + NET la_data_in[80] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2048150 -1200 ) N ;
- la_data_in[81] + NET la_data_in[81] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2065630 -1200 ) N ;
- la_data_in[82] + NET la_data_in[82] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2083570 -1200 ) N ;
- la_data_in[83] + NET la_data_in[83] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2101050 -1200 ) N ;
- la_data_in[84] + NET la_data_in[84] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2118990 -1200 ) N ;
- la_data_in[85] + NET la_data_in[85] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2136470 -1200 ) N ;
- la_data_in[86] + NET la_data_in[86] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2154410 -1200 ) N ;
- la_data_in[87] + NET la_data_in[87] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2172350 -1200 ) N ;
- la_data_in[88] + NET la_data_in[88] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2189830 -1200 ) N ;
- la_data_in[89] + NET la_data_in[89] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2207770 -1200 ) N ;
- la_data_in[8] + NET la_data_in[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 771190 -1200 ) N ;
- la_data_in[90] + NET la_data_in[90] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2225250 -1200 ) N ;
- la_data_in[91] + NET la_data_in[91] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2243190 -1200 ) N ;
- la_data_in[92] + NET la_data_in[92] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2260670 -1200 ) N ;
- la_data_in[93] + NET la_data_in[93] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2278610 -1200 ) N ;
- la_data_in[94] + NET la_data_in[94] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2296090 -1200 ) N ;
- la_data_in[95] + NET la_data_in[95] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2314030 -1200 ) N ;
- la_data_in[96] + NET la_data_in[96] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2331510 -1200 ) N ;
- la_data_in[97] + NET la_data_in[97] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2349450 -1200 ) N ;
- la_data_in[98] + NET la_data_in[98] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2367390 -1200 ) N ;
- la_data_in[99] + NET la_data_in[99] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2384870 -1200 ) N ;
- la_data_in[9] + NET la_data_in[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 789130 -1200 ) N ;
- la_data_out[0] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 635030 -1200 ) N ;
- la_data_out[100] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2408790 -1200 ) N ;
- la_data_out[101] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2426270 -1200 ) N ;
- la_data_out[102] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2444210 -1200 ) N ;
- la_data_out[103] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2461690 -1200 ) N ;
- la_data_out[104] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2479630 -1200 ) N ;
- la_data_out[105] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2497110 -1200 ) N ;
- la_data_out[106] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2515050 -1200 ) N ;
- la_data_out[107] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2532530 -1200 ) N ;
- la_data_out[108] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2550470 -1200 ) N ;
- la_data_out[109] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2567950 -1200 ) N ;
- la_data_out[10] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 812590 -1200 ) N ;
- la_data_out[110] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2585890 -1200 ) N ;
- la_data_out[111] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2603830 -1200 ) N ;
- la_data_out[112] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2621310 -1200 ) N ;
- la_data_out[113] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2639250 -1200 ) N ;
- la_data_out[114] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2656730 -1200 ) N ;
- la_data_out[115] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2674670 -1200 ) N ;
- la_data_out[116] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2692150 -1200 ) N ;
- la_data_out[117] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2710090 -1200 ) N ;
- la_data_out[118] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2727570 -1200 ) N ;
- la_data_out[119] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2745510 -1200 ) N ;
- la_data_out[11] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 830530 -1200 ) N ;
- la_data_out[120] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2763450 -1200 ) N ;
- la_data_out[121] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2780930 -1200 ) N ;
- la_data_out[122] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2798870 -1200 ) N ;
- la_data_out[123] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2816350 -1200 ) N ;
- la_data_out[124] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2834290 -1200 ) N ;
- la_data_out[125] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2851770 -1200 ) N ;
- la_data_out[126] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2869710 -1200 ) N ;
- la_data_out[127] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2887190 -1200 ) N ;
- la_data_out[12] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 848010 -1200 ) N ;
- la_data_out[13] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 865950 -1200 ) N ;
- la_data_out[14] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 883430 -1200 ) N ;
- la_data_out[15] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 901370 -1200 ) N ;
- la_data_out[16] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 918850 -1200 ) N ;
- la_data_out[17] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 936790 -1200 ) N ;
- la_data_out[18] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 954270 -1200 ) N ;
- la_data_out[19] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 972210 -1200 ) N ;
- la_data_out[1] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 652970 -1200 ) N ;
- la_data_out[20] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 989690 -1200 ) N ;
- la_data_out[21] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1007630 -1200 ) N ;
- la_data_out[22] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1025570 -1200 ) N ;
- la_data_out[23] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1043050 -1200 ) N ;
- la_data_out[24] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1060990 -1200 ) N ;
- la_data_out[25] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1078470 -1200 ) N ;
- la_data_out[26] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1096410 -1200 ) N ;
- la_data_out[27] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1113890 -1200 ) N ;
- la_data_out[28] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1131830 -1200 ) N ;
- la_data_out[29] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1149310 -1200 ) N ;
- la_data_out[2] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 670910 -1200 ) N ;
- la_data_out[30] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1167250 -1200 ) N ;
- la_data_out[31] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1185190 -1200 ) N ;
- la_data_out[32] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1202670 -1200 ) N ;
- la_data_out[33] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1220610 -1200 ) N ;
- la_data_out[34] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1238090 -1200 ) N ;
- la_data_out[35] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1256030 -1200 ) N ;
- la_data_out[36] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1273510 -1200 ) N ;
- la_data_out[37] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1291450 -1200 ) N ;
- la_data_out[38] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1308930 -1200 ) N ;
- la_data_out[39] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1326870 -1200 ) N ;
- la_data_out[3] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 688390 -1200 ) N ;
- la_data_out[40] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1344350 -1200 ) N ;
- la_data_out[41] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1362290 -1200 ) N ;
- la_data_out[42] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1380230 -1200 ) N ;
- la_data_out[43] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1397710 -1200 ) N ;
- la_data_out[44] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1415650 -1200 ) N ;
- la_data_out[45] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1433130 -1200 ) N ;
- la_data_out[46] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1451070 -1200 ) N ;
- la_data_out[47] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1468550 -1200 ) N ;
- la_data_out[48] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1486490 -1200 ) N ;
- la_data_out[49] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1503970 -1200 ) N ;
- la_data_out[4] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 706330 -1200 ) N ;
- la_data_out[50] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1521910 -1200 ) N ;
- la_data_out[51] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1539850 -1200 ) N ;
- la_data_out[52] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1557330 -1200 ) N ;
- la_data_out[53] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1575270 -1200 ) N ;
- la_data_out[54] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1592750 -1200 ) N ;
- la_data_out[55] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1610690 -1200 ) N ;
- la_data_out[56] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1628170 -1200 ) N ;
- la_data_out[57] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1646110 -1200 ) N ;
- la_data_out[58] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1663590 -1200 ) N ;
- la_data_out[59] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1681530 -1200 ) N ;
- la_data_out[5] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 723810 -1200 ) N ;
- la_data_out[60] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1699470 -1200 ) N ;
- la_data_out[61] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1716950 -1200 ) N ;
- la_data_out[62] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1734890 -1200 ) N ;
- la_data_out[63] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1752370 -1200 ) N ;
- la_data_out[64] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1770310 -1200 ) N ;
- la_data_out[65] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1787790 -1200 ) N ;
- la_data_out[66] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1805730 -1200 ) N ;
- la_data_out[67] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1823210 -1200 ) N ;
- la_data_out[68] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1841150 -1200 ) N ;
- la_data_out[69] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1858630 -1200 ) N ;
- la_data_out[6] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 741750 -1200 ) N ;
- la_data_out[70] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1876570 -1200 ) N ;
- la_data_out[71] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1894510 -1200 ) N ;
- la_data_out[72] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1911990 -1200 ) N ;
- la_data_out[73] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1929930 -1200 ) N ;
- la_data_out[74] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1947410 -1200 ) N ;
- la_data_out[75] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1965350 -1200 ) N ;
- la_data_out[76] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1982830 -1200 ) N ;
- la_data_out[77] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2000770 -1200 ) N ;
- la_data_out[78] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2018250 -1200 ) N ;
- la_data_out[79] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2036190 -1200 ) N ;
- la_data_out[7] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 759230 -1200 ) N ;
- la_data_out[80] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2054130 -1200 ) N ;
- la_data_out[81] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2071610 -1200 ) N ;
- la_data_out[82] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2089550 -1200 ) N ;
- la_data_out[83] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2107030 -1200 ) N ;
- la_data_out[84] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2124970 -1200 ) N ;
- la_data_out[85] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2142450 -1200 ) N ;
- la_data_out[86] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2160390 -1200 ) N ;
- la_data_out[87] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2177870 -1200 ) N ;
- la_data_out[88] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2195810 -1200 ) N ;
- la_data_out[89] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2213290 -1200 ) N ;
- la_data_out[8] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 777170 -1200 ) N ;
- la_data_out[90] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2231230 -1200 ) N ;
- la_data_out[91] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2249170 -1200 ) N ;
- la_data_out[92] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2266650 -1200 ) N ;
- la_data_out[93] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2284590 -1200 ) N ;
- la_data_out[94] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2302070 -1200 ) N ;
- la_data_out[95] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2320010 -1200 ) N ;
- la_data_out[96] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2337490 -1200 ) N ;
- la_data_out[97] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2355430 -1200 ) N ;
- la_data_out[98] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2372910 -1200 ) N ;
- la_data_out[99] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2390850 -1200 ) N ;
- la_data_out[9] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 794650 -1200 ) N ;
- la_oenb[0] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 641010 -1200 ) N ;
- la_oenb[100] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2414310 -1200 ) N ;
- la_oenb[101] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2432250 -1200 ) N ;
- la_oenb[102] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2449730 -1200 ) N ;
- la_oenb[103] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2467670 -1200 ) N ;
- la_oenb[104] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2485610 -1200 ) N ;
- la_oenb[105] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2503090 -1200 ) N ;
- la_oenb[106] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2521030 -1200 ) N ;
- la_oenb[107] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2538510 -1200 ) N ;
- la_oenb[108] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2556450 -1200 ) N ;
- la_oenb[109] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2573930 -1200 ) N ;
- la_oenb[10] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 818570 -1200 ) N ;
- la_oenb[110] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2591870 -1200 ) N ;
- la_oenb[111] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2609350 -1200 ) N ;
- la_oenb[112] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2627290 -1200 ) N ;
- la_oenb[113] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2645230 -1200 ) N ;
- la_oenb[114] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2662710 -1200 ) N ;
- la_oenb[115] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2680650 -1200 ) N ;
- la_oenb[116] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2698130 -1200 ) N ;
- la_oenb[117] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2716070 -1200 ) N ;
- la_oenb[118] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2733550 -1200 ) N ;
- la_oenb[119] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2751490 -1200 ) N ;
- la_oenb[11] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 836050 -1200 ) N ;
- la_oenb[120] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2768970 -1200 ) N ;
- la_oenb[121] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2786910 -1200 ) N ;
- la_oenb[122] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2804390 -1200 ) N ;
- la_oenb[123] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2822330 -1200 ) N ;
- la_oenb[124] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2840270 -1200 ) N ;
- la_oenb[125] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2857750 -1200 ) N ;
- la_oenb[126] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2875690 -1200 ) N ;
- la_oenb[127] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2893170 -1200 ) N ;
- la_oenb[12] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 853990 -1200 ) N ;
- la_oenb[13] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 871470 -1200 ) N ;
- la_oenb[14] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 889410 -1200 ) N ;
- la_oenb[15] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 907350 -1200 ) N ;
- la_oenb[16] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 924830 -1200 ) N ;
- la_oenb[17] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 942770 -1200 ) N ;
- la_oenb[18] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 960250 -1200 ) N ;
- la_oenb[19] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 978190 -1200 ) N ;
- la_oenb[1] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 658950 -1200 ) N ;
- la_oenb[20] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 995670 -1200 ) N ;
- la_oenb[21] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1013610 -1200 ) N ;
- la_oenb[22] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1031090 -1200 ) N ;
- la_oenb[23] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1049030 -1200 ) N ;
- la_oenb[24] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1066970 -1200 ) N ;
- la_oenb[25] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1084450 -1200 ) N ;
- la_oenb[26] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1102390 -1200 ) N ;
- la_oenb[27] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1119870 -1200 ) N ;
- la_oenb[28] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1137810 -1200 ) N ;
- la_oenb[29] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1155290 -1200 ) N ;
- la_oenb[2] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 676430 -1200 ) N ;
- la_oenb[30] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1173230 -1200 ) N ;
- la_oenb[31] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1190710 -1200 ) N ;
- la_oenb[32] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1208650 -1200 ) N ;
- la_oenb[33] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1226130 -1200 ) N ;
- la_oenb[34] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1244070 -1200 ) N ;
- la_oenb[35] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1262010 -1200 ) N ;
- la_oenb[36] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1279490 -1200 ) N ;
- la_oenb[37] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1297430 -1200 ) N ;
- la_oenb[38] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1314910 -1200 ) N ;
- la_oenb[39] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1332850 -1200 ) N ;
- la_oenb[3] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 694370 -1200 ) N ;
- la_oenb[40] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1350330 -1200 ) N ;
- la_oenb[41] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1368270 -1200 ) N ;
- la_oenb[42] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1385750 -1200 ) N ;
- la_oenb[43] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1403690 -1200 ) N ;
- la_oenb[44] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1421630 -1200 ) N ;
- la_oenb[45] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1439110 -1200 ) N ;
- la_oenb[46] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1457050 -1200 ) N ;
- la_oenb[47] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1474530 -1200 ) N ;
- la_oenb[48] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1492470 -1200 ) N ;
- la_oenb[49] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1509950 -1200 ) N ;
- la_oenb[4] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 712310 -1200 ) N ;
- la_oenb[50] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1527890 -1200 ) N ;
- la_oenb[51] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1545370 -1200 ) N ;
- la_oenb[52] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1563310 -1200 ) N ;
- la_oenb[53] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1581250 -1200 ) N ;
- la_oenb[54] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1598730 -1200 ) N ;
- la_oenb[55] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1616670 -1200 ) N ;
- la_oenb[56] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1634150 -1200 ) N ;
- la_oenb[57] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1652090 -1200 ) N ;
- la_oenb[58] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1669570 -1200 ) N ;
- la_oenb[59] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1687510 -1200 ) N ;
- la_oenb[5] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 729790 -1200 ) N ;
- la_oenb[60] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1704990 -1200 ) N ;
- la_oenb[61] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1722930 -1200 ) N ;
- la_oenb[62] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1740410 -1200 ) N ;
- la_oenb[63] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1758350 -1200 ) N ;
- la_oenb[64] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1776290 -1200 ) N ;
- la_oenb[65] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1793770 -1200 ) N ;
- la_oenb[66] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1811710 -1200 ) N ;
- la_oenb[67] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1829190 -1200 ) N ;
- la_oenb[68] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1847130 -1200 ) N ;
- la_oenb[69] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1864610 -1200 ) N ;
- la_oenb[6] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 747730 -1200 ) N ;
- la_oenb[70] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1882550 -1200 ) N ;
- la_oenb[71] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1900030 -1200 ) N ;
- la_oenb[72] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1917970 -1200 ) N ;
- la_oenb[73] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1935910 -1200 ) N ;
- la_oenb[74] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1953390 -1200 ) N ;
- la_oenb[75] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1971330 -1200 ) N ;
- la_oenb[76] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1988810 -1200 ) N ;
- la_oenb[77] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2006750 -1200 ) N ;
- la_oenb[78] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2024230 -1200 ) N ;
- la_oenb[79] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2042170 -1200 ) N ;
- la_oenb[7] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 765210 -1200 ) N ;
- la_oenb[80] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2059650 -1200 ) N ;
- la_oenb[81] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2077590 -1200 ) N ;
- la_oenb[82] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2095070 -1200 ) N ;
- la_oenb[83] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2113010 -1200 ) N ;
- la_oenb[84] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2130950 -1200 ) N ;
- la_oenb[85] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2148430 -1200 ) N ;
- la_oenb[86] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2166370 -1200 ) N ;
- la_oenb[87] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2183850 -1200 ) N ;
- la_oenb[88] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2201790 -1200 ) N ;
- la_oenb[89] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2219270 -1200 ) N ;
- la_oenb[8] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 783150 -1200 ) N ;
- la_oenb[90] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2237210 -1200 ) N ;
- la_oenb[91] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2254690 -1200 ) N ;
- la_oenb[92] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2272630 -1200 ) N ;
- la_oenb[93] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2290570 -1200 ) N ;
- la_oenb[94] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2308050 -1200 ) N ;
- la_oenb[95] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2325990 -1200 ) N ;
- la_oenb[96] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2343470 -1200 ) N ;
- la_oenb[97] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2361410 -1200 ) N ;
- la_oenb[98] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2378890 -1200 ) N ;
- la_oenb[99] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2396830 -1200 ) N ;
- la_oenb[9] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 800630 -1200 ) N ;
- user_clock2 + NET user_clock2 + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2899150 -1200 ) N ;
- user_irq[0] + NET user_irq[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2905130 -1200 ) N ;
- user_irq[1] + NET user_irq[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2911110 -1200 ) N ;
- user_irq[2] + NET user_irq[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2917090 -1200 ) N ;
- vccd1 + NET vccd1 + SPECIAL + DIRECTION INPUT + USE POWER
+ PORT
+ LAYER met4 ( -1550 -1769310 ) ( 1550 1769310 )
+ LAYER met4 ( -181550 -1769310 ) ( -178450 1769310 )
+ LAYER met4 ( -361550 -1769310 ) ( -358450 1769310 )
+ LAYER met4 ( -541550 -1769310 ) ( -538450 1769310 )
+ LAYER met4 ( -721550 -1769310 ) ( -718450 1769310 )
+ LAYER met4 ( -901550 -1769310 ) ( -898450 1769310 )
+ LAYER met4 ( -1081550 -1769310 ) ( -1078450 1769310 )
+ LAYER met4 ( -1261550 896700 ) ( -1258450 1769310 )
+ LAYER met4 ( -1441550 896700 ) ( -1438450 1769310 )
+ LAYER met4 ( -1621550 896700 ) ( -1618450 1769310 )
+ LAYER met4 ( -1801550 896700 ) ( -1798450 1769310 )
+ LAYER met4 ( -1981550 896700 ) ( -1978450 1769310 )
+ LAYER met4 ( -2161550 896700 ) ( -2158450 1769310 )
+ LAYER met4 ( -2341550 896700 ) ( -2338450 1769310 )
+ LAYER met4 ( -2521550 896700 ) ( -2518450 1769310 )
+ LAYER met4 ( -2701550 -1769310 ) ( -2698450 1769310 )
+ LAYER met4 ( -2881550 -1769310 ) ( -2878450 1769310 )
+ LAYER met4 ( 36030 -1764510 ) ( 39130 1764510 )
+ LAYER met4 ( -2900550 -1764510 ) ( -2897450 1764510 )
+ LAYER met4 ( -1261550 -1769310 ) ( -1258450 460160 )
+ LAYER met4 ( -1441550 -1769310 ) ( -1438450 460160 )
+ LAYER met4 ( -1621550 -1769310 ) ( -1618450 460160 )
+ LAYER met4 ( -1801550 -415825 ) ( -1798450 460160 )
+ LAYER met4 ( -1981550 -415825 ) ( -1978450 460160 )
+ LAYER met4 ( -2161550 -415825 ) ( -2158450 460160 )
+ LAYER met4 ( -2341550 -415825 ) ( -2338450 460160 )
+ LAYER met4 ( -2521550 -415825 ) ( -2518450 460160 )
+ LAYER met4 ( -1801550 -1769310 ) ( -1798450 -1339840 )
+ LAYER met4 ( -1981550 -1769310 ) ( -1978450 -1339840 )
+ LAYER met4 ( -2161550 -1769310 ) ( -2158450 -1339840 )
+ LAYER met4 ( -2341550 -1769310 ) ( -2338450 -1339840 )
+ LAYER met4 ( -2521550 -1769310 ) ( -2518450 -1339840 )
+ LAYER met5 ( -2900550 1761410 ) ( 39130 1764510 )
+ LAYER met5 ( -2905350 1674490 ) ( 43930 1677590 )
+ LAYER met5 ( -2905350 1494490 ) ( 43930 1497590 )
+ LAYER met5 ( -2905350 1314490 ) ( 43930 1317590 )
+ LAYER met5 ( -2905350 1134490 ) ( 43930 1137590 )
+ LAYER met5 ( -2905350 954490 ) ( 43930 957590 )
+ LAYER met5 ( -2905350 774490 ) ( 43930 777590 )
+ LAYER met5 ( -2905350 594490 ) ( 43930 597590 )
+ LAYER met5 ( -2905350 414490 ) ( 43930 417590 )
+ LAYER met5 ( -2905350 234490 ) ( 43930 237590 )
+ LAYER met5 ( -2905350 54490 ) ( 43930 57590 )
+ LAYER met5 ( -2905350 -125510 ) ( 43930 -122410 )
+ LAYER met5 ( -2905350 -305510 ) ( 43930 -302410 )
+ LAYER met5 ( -2905350 -485510 ) ( 43930 -482410 )
+ LAYER met5 ( -2905350 -665510 ) ( 43930 -662410 )
+ LAYER met5 ( -2905350 -845510 ) ( 43930 -842410 )
+ LAYER met5 ( -2905350 -1025510 ) ( 43930 -1022410 )
+ LAYER met5 ( -2905350 -1205510 ) ( 43930 -1202410 )
+ LAYER met5 ( -2905350 -1385510 ) ( 43930 -1382410 )
+ LAYER met5 ( -2905350 -1565510 ) ( 43930 -1562410 )
+ LAYER met5 ( -2905350 -1745510 ) ( 43930 -1742410 )
+ LAYER met5 ( -2900550 -1764510 ) ( 39130 -1761410 )
+ FIXED ( 2890520 1759840 ) N ;
- vccd2 + NET vccd2 + SPECIAL + DIRECTION INPUT + USE POWER
+ PORT
+ LAYER met4 ( -1550 -1778910 ) ( 1550 1778910 )
+ LAYER met4 ( -181550 -1778910 ) ( -178450 1778910 )
+ LAYER met4 ( -361550 -1778910 ) ( -358450 1778910 )
+ LAYER met4 ( -541550 -1778910 ) ( -538450 1778910 )
+ LAYER met4 ( -721550 -1778910 ) ( -718450 1778910 )
+ LAYER met4 ( -901550 -1778910 ) ( -898450 1778910 )
+ LAYER met4 ( -1081550 -1778910 ) ( -1078450 1778910 )
+ LAYER met4 ( -1261550 896700 ) ( -1258450 1778910 )
+ LAYER met4 ( -1441550 896700 ) ( -1438450 1778910 )
+ LAYER met4 ( -1621550 896700 ) ( -1618450 1778910 )
+ LAYER met4 ( -1801550 896700 ) ( -1798450 1778910 )
+ LAYER met4 ( -1981550 896700 ) ( -1978450 1778910 )
+ LAYER met4 ( -2161550 896700 ) ( -2158450 1778910 )
+ LAYER met4 ( -2341550 896700 ) ( -2338450 1778910 )
+ LAYER met4 ( -2521550 896700 ) ( -2518450 1778910 )
+ LAYER met4 ( -2701550 -1778910 ) ( -2698450 1778910 )
+ LAYER met4 ( -2881550 -1778910 ) ( -2878450 1778910 )
+ LAYER met4 ( 27030 -1774110 ) ( 30130 1774110 )
+ LAYER met4 ( -2928750 -1774110 ) ( -2925650 1774110 )
+ LAYER met4 ( -1261550 -1778910 ) ( -1258450 460160 )
+ LAYER met4 ( -1441550 -1778910 ) ( -1438450 460160 )
+ LAYER met4 ( -1621550 -1778910 ) ( -1618450 460160 )
+ LAYER met4 ( -1801550 -415825 ) ( -1798450 460160 )
+ LAYER met4 ( -1981550 -415825 ) ( -1978450 460160 )
+ LAYER met4 ( -2161550 -415825 ) ( -2158450 460160 )
+ LAYER met4 ( -2341550 -415825 ) ( -2338450 460160 )
+ LAYER met4 ( -2521550 -415825 ) ( -2518450 460160 )
+ LAYER met4 ( -1801550 -1778910 ) ( -1798450 -1339840 )
+ LAYER met4 ( -1981550 -1778910 ) ( -1978450 -1339840 )
+ LAYER met4 ( -2161550 -1778910 ) ( -2158450 -1339840 )
+ LAYER met4 ( -2341550 -1778910 ) ( -2338450 -1339840 )
+ LAYER met4 ( -2521550 -1778910 ) ( -2518450 -1339840 )
+ LAYER met5 ( -2928750 1771010 ) ( 30130 1774110 )
+ LAYER met5 ( -2933550 1693090 ) ( 34930 1696190 )
+ LAYER met5 ( -2933550 1513090 ) ( 34930 1516190 )
+ LAYER met5 ( -2933550 1333090 ) ( 34930 1336190 )
+ LAYER met5 ( -2933550 1153090 ) ( 34930 1156190 )
+ LAYER met5 ( -2933550 973090 ) ( 34930 976190 )
+ LAYER met5 ( -2933550 793090 ) ( 34930 796190 )
+ LAYER met5 ( -2933550 613090 ) ( 34930 616190 )
+ LAYER met5 ( -2933550 433090 ) ( 34930 436190 )
+ LAYER met5 ( -2933550 253090 ) ( 34930 256190 )
+ LAYER met5 ( -2933550 73090 ) ( 34930 76190 )
+ LAYER met5 ( -2933550 -106910 ) ( 34930 -103810 )
+ LAYER met5 ( -2933550 -286910 ) ( 34930 -283810 )
+ LAYER met5 ( -2933550 -466910 ) ( 34930 -463810 )
+ LAYER met5 ( -2933550 -646910 ) ( 34930 -643810 )
+ LAYER met5 ( -2933550 -826910 ) ( 34930 -823810 )
+ LAYER met5 ( -2933550 -1006910 ) ( 34930 -1003810 )
+ LAYER met5 ( -2933550 -1186910 ) ( 34930 -1183810 )
+ LAYER met5 ( -2933550 -1366910 ) ( 34930 -1363810 )
+ LAYER met5 ( -2933550 -1546910 ) ( 34930 -1543810 )
+ LAYER met5 ( -2933550 -1726910 ) ( 34930 -1723810 )
+ LAYER met5 ( -2928750 -1774110 ) ( 30130 -1771010 )
+ FIXED ( 2909120 1759840 ) N ;
- vdda1 + NET vdda1 + SPECIAL + DIRECTION INPUT + USE POWER
+ PORT
+ LAYER met4 ( -1550 -1788510 ) ( 1550 1788510 )
+ LAYER met4 ( -181550 -1788510 ) ( -178450 1788510 )
+ LAYER met4 ( -361550 -1788510 ) ( -358450 1788510 )
+ LAYER met4 ( -541550 -1788510 ) ( -538450 1788510 )
+ LAYER met4 ( -721550 -1788510 ) ( -718450 1788510 )
+ LAYER met4 ( -901550 -1788510 ) ( -898450 1788510 )
+ LAYER met4 ( -1081550 896700 ) ( -1078450 1788510 )
+ LAYER met4 ( -1261550 896700 ) ( -1258450 1788510 )
+ LAYER met4 ( -1441550 896700 ) ( -1438450 1788510 )
+ LAYER met4 ( -1621550 896700 ) ( -1618450 1788510 )
+ LAYER met4 ( -1801550 896700 ) ( -1798450 1788510 )
+ LAYER met4 ( -1981550 896700 ) ( -1978450 1788510 )
+ LAYER met4 ( -2161550 896700 ) ( -2158450 1788510 )
+ LAYER met4 ( -2341550 896700 ) ( -2338450 1788510 )
+ LAYER met4 ( -2521550 -1788510 ) ( -2518450 1788510 )
+ LAYER met4 ( -2701550 -1788510 ) ( -2698450 1788510 )
+ LAYER met4 ( 198030 -1783710 ) ( 201130 1783710 )
+ LAYER met4 ( -2776950 -1783710 ) ( -2773850 1783710 )
+ LAYER met4 ( -1081550 -1788510 ) ( -1078450 460160 )
+ LAYER met4 ( -1261550 -1788510 ) ( -1258450 460160 )
+ LAYER met4 ( -1441550 -1788510 ) ( -1438450 460160 )
+ LAYER met4 ( -1621550 -415825 ) ( -1618450 460160 )
+ LAYER met4 ( -1801550 -415825 ) ( -1798450 460160 )
+ LAYER met4 ( -1981550 -415825 ) ( -1978450 460160 )
+ LAYER met4 ( -2161550 -415825 ) ( -2158450 460160 )
+ LAYER met4 ( -2341550 -415825 ) ( -2338450 460160 )
+ LAYER met4 ( -1621550 -1788510 ) ( -1618450 -1339840 )
+ LAYER met4 ( -1801550 -1788510 ) ( -1798450 -1339840 )
+ LAYER met4 ( -1981550 -1788510 ) ( -1978450 -1339840 )
+ LAYER met4 ( -2161550 -1788510 ) ( -2158450 -1339840 )
+ LAYER met4 ( -2341550 -1788510 ) ( -2338450 -1339840 )
+ LAYER met5 ( -2776950 1780610 ) ( 201130 1783710 )
+ LAYER met5 ( -2781750 1711690 ) ( 205930 1714790 )
+ LAYER met5 ( -2781750 1531690 ) ( 205930 1534790 )
+ LAYER met5 ( -2781750 1351690 ) ( 205930 1354790 )
+ LAYER met5 ( -2781750 1171690 ) ( 205930 1174790 )
+ LAYER met5 ( -2781750 991690 ) ( 205930 994790 )
+ LAYER met5 ( -2781750 811690 ) ( 205930 814790 )
+ LAYER met5 ( -2781750 631690 ) ( 205930 634790 )
+ LAYER met5 ( -2781750 451690 ) ( 205930 454790 )
+ LAYER met5 ( -2781750 271690 ) ( 205930 274790 )
+ LAYER met5 ( -2781750 91690 ) ( 205930 94790 )
+ LAYER met5 ( -2781750 -88310 ) ( 205930 -85210 )
+ LAYER met5 ( -2781750 -268310 ) ( 205930 -265210 )
+ LAYER met5 ( -2781750 -448310 ) ( 205930 -445210 )
+ LAYER met5 ( -2781750 -628310 ) ( 205930 -625210 )
+ LAYER met5 ( -2781750 -808310 ) ( 205930 -805210 )
+ LAYER met5 ( -2781750 -988310 ) ( 205930 -985210 )
+ LAYER met5 ( -2781750 -1168310 ) ( 205930 -1165210 )
+ LAYER met5 ( -2781750 -1348310 ) ( 205930 -1345210 )
+ LAYER met5 ( -2781750 -1528310 ) ( 205930 -1525210 )
+ LAYER met5 ( -2781750 -1708310 ) ( 205930 -1705210 )
+ LAYER met5 ( -2776950 -1783710 ) ( 201130 -1780610 )
+ FIXED ( 2747720 1759840 ) N ;
- vdda2 + NET vdda2 + SPECIAL + DIRECTION INPUT + USE POWER
+ PORT
+ LAYER met4 ( -1550 -1798110 ) ( 1550 1798110 )
+ LAYER met4 ( -181550 -1798110 ) ( -178450 1798110 )
+ LAYER met4 ( -361550 -1798110 ) ( -358450 1798110 )
+ LAYER met4 ( -541550 -1798110 ) ( -538450 1798110 )
+ LAYER met4 ( -721550 -1798110 ) ( -718450 1798110 )
+ LAYER met4 ( -901550 -1798110 ) ( -898450 1798110 )
+ LAYER met4 ( -1081550 896700 ) ( -1078450 1798110 )
+ LAYER met4 ( -1261550 896700 ) ( -1258450 1798110 )
+ LAYER met4 ( -1441550 896700 ) ( -1438450 1798110 )
+ LAYER met4 ( -1621550 896700 ) ( -1618450 1798110 )
+ LAYER met4 ( -1801550 896700 ) ( -1798450 1798110 )
+ LAYER met4 ( -1981550 896700 ) ( -1978450 1798110 )
+ LAYER met4 ( -2161550 896700 ) ( -2158450 1798110 )
+ LAYER met4 ( -2341550 896700 ) ( -2338450 1798110 )
+ LAYER met4 ( -2521550 -1798110 ) ( -2518450 1798110 )
+ LAYER met4 ( -2701550 -1798110 ) ( -2698450 1798110 )
+ LAYER met4 ( 189030 -1793310 ) ( 192130 1793310 )
+ LAYER met4 ( -2805150 -1793310 ) ( -2802050 1793310 )
+ LAYER met4 ( -1081550 -1798110 ) ( -1078450 460160 )
+ LAYER met4 ( -1261550 -1798110 ) ( -1258450 460160 )
+ LAYER met4 ( -1441550 -1798110 ) ( -1438450 460160 )
+ LAYER met4 ( -1621550 -415825 ) ( -1618450 460160 )
+ LAYER met4 ( -1801550 -415825 ) ( -1798450 460160 )
+ LAYER met4 ( -1981550 -415825 ) ( -1978450 460160 )
+ LAYER met4 ( -2161550 -415825 ) ( -2158450 460160 )
+ LAYER met4 ( -2341550 -415825 ) ( -2338450 460160 )
+ LAYER met4 ( -1621550 -1798110 ) ( -1618450 -1339840 )
+ LAYER met4 ( -1801550 -1798110 ) ( -1798450 -1339840 )
+ LAYER met4 ( -1981550 -1798110 ) ( -1978450 -1339840 )
+ LAYER met4 ( -2161550 -1798110 ) ( -2158450 -1339840 )
+ LAYER met4 ( -2341550 -1798110 ) ( -2338450 -1339840 )
+ LAYER met5 ( -2805150 1790210 ) ( 192130 1793310 )
+ LAYER met5 ( -2809950 1730290 ) ( 196930 1733390 )
+ LAYER met5 ( -2809950 1550290 ) ( 196930 1553390 )
+ LAYER met5 ( -2809950 1370290 ) ( 196930 1373390 )
+ LAYER met5 ( -2809950 1190290 ) ( 196930 1193390 )
+ LAYER met5 ( -2809950 1010290 ) ( 196930 1013390 )
+ LAYER met5 ( -2809950 830290 ) ( 196930 833390 )
+ LAYER met5 ( -2809950 650290 ) ( 196930 653390 )
+ LAYER met5 ( -2809950 470290 ) ( 196930 473390 )
+ LAYER met5 ( -2809950 290290 ) ( 196930 293390 )
+ LAYER met5 ( -2809950 110290 ) ( 196930 113390 )
+ LAYER met5 ( -2809950 -69710 ) ( 196930 -66610 )
+ LAYER met5 ( -2809950 -249710 ) ( 196930 -246610 )
+ LAYER met5 ( -2809950 -429710 ) ( 196930 -426610 )
+ LAYER met5 ( -2809950 -609710 ) ( 196930 -606610 )
+ LAYER met5 ( -2809950 -789710 ) ( 196930 -786610 )
+ LAYER met5 ( -2809950 -969710 ) ( 196930 -966610 )
+ LAYER met5 ( -2809950 -1149710 ) ( 196930 -1146610 )
+ LAYER met5 ( -2809950 -1329710 ) ( 196930 -1326610 )
+ LAYER met5 ( -2809950 -1509710 ) ( 196930 -1506610 )
+ LAYER met5 ( -2809950 -1689710 ) ( 196930 -1686610 )
+ LAYER met5 ( -2805150 -1793310 ) ( 192130 -1790210 )
+ FIXED ( 2766320 1759840 ) N ;
- vssa1 + NET vssa1 + SPECIAL + DIRECTION INPUT + USE GROUND
+ PORT
+ LAYER met4 ( -1550 -1788510 ) ( 1550 1788510 )
+ LAYER met4 ( -115930 -1788510 ) ( -112830 1788510 )
+ LAYER met4 ( -295930 -1788510 ) ( -292830 1788510 )
+ LAYER met4 ( -475930 -1788510 ) ( -472830 1788510 )
+ LAYER met4 ( -655930 -1788510 ) ( -652830 1788510 )
+ LAYER met4 ( -835930 -1788510 ) ( -832830 1788510 )
+ LAYER met4 ( -1015930 -1788510 ) ( -1012830 1788510 )
+ LAYER met4 ( -1195930 896700 ) ( -1192830 1788510 )
+ LAYER met4 ( -1375930 896700 ) ( -1372830 1788510 )
+ LAYER met4 ( -1555930 896700 ) ( -1552830 1788510 )
+ LAYER met4 ( -1735930 896700 ) ( -1732830 1788510 )
+ LAYER met4 ( -1915930 -415825 ) ( -1912830 1788510 )
+ LAYER met4 ( -2095930 896700 ) ( -2092830 1788510 )
+ LAYER met4 ( -2275930 896700 ) ( -2272830 1788510 )
+ LAYER met4 ( -2455930 896700 ) ( -2452830 1788510 )
+ LAYER met4 ( -2635930 896700 ) ( -2632830 1788510 )
+ LAYER met4 ( -2815930 -1788510 ) ( -2812830 1788510 )
+ LAYER met4 ( -2986130 -1788510 ) ( -2983030 1788510 )
+ LAYER met4 ( -1195930 -1788510 ) ( -1192830 460160 )
+ LAYER met4 ( -1375930 -1788510 ) ( -1372830 460160 )
+ LAYER met4 ( -1555930 -1788510 ) ( -1552830 460160 )
+ LAYER met4 ( -1735930 -1788510 ) ( -1732830 460160 )
+ LAYER met4 ( -2095930 -415825 ) ( -2092830 460160 )
+ LAYER met4 ( -2275930 -415825 ) ( -2272830 460160 )
+ LAYER met4 ( -2455930 -415825 ) ( -2452830 460160 )
+ LAYER met4 ( -2635930 -415825 ) ( -2632830 460160 )
+ LAYER met4 ( -1915930 -1788510 ) ( -1912830 -1339840 )
+ LAYER met4 ( -2095930 -1788510 ) ( -2092830 -1339840 )
+ LAYER met4 ( -2275930 -1788510 ) ( -2272830 -1339840 )
+ LAYER met4 ( -2455930 -1788510 ) ( -2452830 -1339840 )
+ LAYER met4 ( -2635930 -1788510 ) ( -2632830 -1339840 )
+ LAYER met5 ( -2986130 1785410 ) ( 1550 1788510 )
+ LAYER met5 ( -2986130 1621690 ) ( 1550 1624790 )
+ LAYER met5 ( -2986130 1441690 ) ( 1550 1444790 )
+ LAYER met5 ( -2986130 1261690 ) ( 1550 1264790 )
+ LAYER met5 ( -2986130 1081690 ) ( 1550 1084790 )
+ LAYER met5 ( -2986130 901690 ) ( 1550 904790 )
+ LAYER met5 ( -2986130 721690 ) ( 1550 724790 )
+ LAYER met5 ( -2986130 541690 ) ( 1550 544790 )
+ LAYER met5 ( -2986130 361690 ) ( 1550 364790 )
+ LAYER met5 ( -2986130 181690 ) ( 1550 184790 )
+ LAYER met5 ( -2986130 1690 ) ( 1550 4790 )
+ LAYER met5 ( -2986130 -178310 ) ( 1550 -175210 )
+ LAYER met5 ( -2986130 -358310 ) ( 1550 -355210 )
+ LAYER met5 ( -2986130 -538310 ) ( 1550 -535210 )
+ LAYER met5 ( -2986130 -718310 ) ( 1550 -715210 )
+ LAYER met5 ( -2986130 -898310 ) ( 1550 -895210 )
+ LAYER met5 ( -2986130 -1078310 ) ( 1550 -1075210 )
+ LAYER met5 ( -2986130 -1258310 ) ( 1550 -1255210 )
+ LAYER met5 ( -2986130 -1438310 ) ( 1550 -1435210 )
+ LAYER met5 ( -2986130 -1618310 ) ( 1550 -1615210 )
+ LAYER met5 ( -2986130 -1788510 ) ( 1550 -1785410 )
+ FIXED ( 2952100 1759840 ) N ;
- vssa2 + NET vssa2 + SPECIAL + DIRECTION INPUT + USE GROUND
+ PORT
+ LAYER met4 ( -1550 -1798110 ) ( 1550 1798110 )
+ LAYER met4 ( -106930 -1798110 ) ( -103830 1798110 )
+ LAYER met4 ( -286930 -1798110 ) ( -283830 1798110 )
+ LAYER met4 ( -466930 -1798110 ) ( -463830 1798110 )
+ LAYER met4 ( -646930 -1798110 ) ( -643830 1798110 )
+ LAYER met4 ( -826930 -1798110 ) ( -823830 1798110 )
+ LAYER met4 ( -1006930 -1798110 ) ( -1003830 1798110 )
+ LAYER met4 ( -1186930 896700 ) ( -1183830 1798110 )
+ LAYER met4 ( -1366930 896700 ) ( -1363830 1798110 )
+ LAYER met4 ( -1546930 896700 ) ( -1543830 1798110 )
+ LAYER met4 ( -1726930 896700 ) ( -1723830 1798110 )
+ LAYER met4 ( -1906930 -415825 ) ( -1903830 1798110 )
+ LAYER met4 ( -2086930 896700 ) ( -2083830 1798110 )
+ LAYER met4 ( -2266930 896700 ) ( -2263830 1798110 )
+ LAYER met4 ( -2446930 896700 ) ( -2443830 1798110 )
+ LAYER met4 ( -2626930 896700 ) ( -2623830 1798110 )
+ LAYER met4 ( -2806930 -1798110 ) ( -2803830 1798110 )
+ LAYER met4 ( -3005330 -1798110 ) ( -3002230 1798110 )
+ LAYER met4 ( -1186930 -1798110 ) ( -1183830 460160 )
+ LAYER met4 ( -1366930 -1798110 ) ( -1363830 460160 )
+ LAYER met4 ( -1546930 -1798110 ) ( -1543830 460160 )
+ LAYER met4 ( -1726930 -1798110 ) ( -1723830 460160 )
+ LAYER met4 ( -2086930 -415825 ) ( -2083830 460160 )
+ LAYER met4 ( -2266930 -415825 ) ( -2263830 460160 )
+ LAYER met4 ( -2446930 -415825 ) ( -2443830 460160 )
+ LAYER met4 ( -2626930 -415825 ) ( -2623830 460160 )
+ LAYER met4 ( -1906930 -1798110 ) ( -1903830 -1339840 )
+ LAYER met4 ( -2086930 -1798110 ) ( -2083830 -1339840 )
+ LAYER met4 ( -2266930 -1798110 ) ( -2263830 -1339840 )
+ LAYER met4 ( -2446930 -1798110 ) ( -2443830 -1339840 )
+ LAYER met4 ( -2626930 -1798110 ) ( -2623830 -1339840 )
+ LAYER met5 ( -3005330 1795010 ) ( 1550 1798110 )
+ LAYER met5 ( -3005330 1640290 ) ( 1550 1643390 )
+ LAYER met5 ( -3005330 1460290 ) ( 1550 1463390 )
+ LAYER met5 ( -3005330 1280290 ) ( 1550 1283390 )
+ LAYER met5 ( -3005330 1100290 ) ( 1550 1103390 )
+ LAYER met5 ( -3005330 920290 ) ( 1550 923390 )
+ LAYER met5 ( -3005330 740290 ) ( 1550 743390 )
+ LAYER met5 ( -3005330 560290 ) ( 1550 563390 )
+ LAYER met5 ( -3005330 380290 ) ( 1550 383390 )
+ LAYER met5 ( -3005330 200290 ) ( 1550 203390 )
+ LAYER met5 ( -3005330 20290 ) ( 1550 23390 )
+ LAYER met5 ( -3005330 -159710 ) ( 1550 -156610 )
+ LAYER met5 ( -3005330 -339710 ) ( 1550 -336610 )
+ LAYER met5 ( -3005330 -519710 ) ( 1550 -516610 )
+ LAYER met5 ( -3005330 -699710 ) ( 1550 -696610 )
+ LAYER met5 ( -3005330 -879710 ) ( 1550 -876610 )
+ LAYER met5 ( -3005330 -1059710 ) ( 1550 -1056610 )
+ LAYER met5 ( -3005330 -1239710 ) ( 1550 -1236610 )
+ LAYER met5 ( -3005330 -1419710 ) ( 1550 -1416610 )
+ LAYER met5 ( -3005330 -1599710 ) ( 1550 -1596610 )
+ LAYER met5 ( -3005330 -1798110 ) ( 1550 -1795010 )
+ FIXED ( 2961700 1759840 ) N ;
- vssd1 + NET vssd1 + SPECIAL + DIRECTION INPUT + USE GROUND
+ PORT
+ LAYER met4 ( -1550 -1769310 ) ( 1550 1769310 )
+ LAYER met4 ( -133930 -1769310 ) ( -130830 1769310 )
+ LAYER met4 ( -313930 -1769310 ) ( -310830 1769310 )
+ LAYER met4 ( -493930 -1769310 ) ( -490830 1769310 )
+ LAYER met4 ( -673930 -1769310 ) ( -670830 1769310 )
+ LAYER met4 ( -853930 -1769310 ) ( -850830 1769310 )
+ LAYER met4 ( -1033930 -1769310 ) ( -1030830 1769310 )
+ LAYER met4 ( -1213930 896700 ) ( -1210830 1769310 )
+ LAYER met4 ( -1393930 896700 ) ( -1390830 1769310 )
+ LAYER met4 ( -1573930 896700 ) ( -1570830 1769310 )
+ LAYER met4 ( -1753930 896700 ) ( -1750830 1769310 )
+ LAYER met4 ( -1933930 -415825 ) ( -1930830 1769310 )
+ LAYER met4 ( -2113930 896700 ) ( -2110830 1769310 )
+ LAYER met4 ( -2293930 896700 ) ( -2290830 1769310 )
+ LAYER met4 ( -2473930 896700 ) ( -2470830 1769310 )
+ LAYER met4 ( -2653930 -1769310 ) ( -2650830 1769310 )
+ LAYER met4 ( -2833930 -1769310 ) ( -2830830 1769310 )
+ LAYER met4 ( -2947730 -1769310 ) ( -2944630 1769310 )
+ LAYER met4 ( -1213930 -1769310 ) ( -1210830 460160 )
+ LAYER met4 ( -1393930 -1769310 ) ( -1390830 460160 )
+ LAYER met4 ( -1573930 -1769310 ) ( -1570830 460160 )
+ LAYER met4 ( -1753930 -415825 ) ( -1750830 460160 )
+ LAYER met4 ( -2113930 -415825 ) ( -2110830 460160 )
+ LAYER met4 ( -2293930 -415825 ) ( -2290830 460160 )
+ LAYER met4 ( -2473930 -415825 ) ( -2470830 460160 )
+ LAYER met4 ( -1753930 -1769310 ) ( -1750830 -1339840 )
+ LAYER met4 ( -1933930 -1769310 ) ( -1930830 -1339840 )
+ LAYER met4 ( -2113930 -1769310 ) ( -2110830 -1339840 )
+ LAYER met4 ( -2293930 -1769310 ) ( -2290830 -1339840 )
+ LAYER met4 ( -2473930 -1769310 ) ( -2470830 -1339840 )
+ LAYER met5 ( -2947730 1766210 ) ( 1550 1769310 )
+ LAYER met5 ( -2947730 1584490 ) ( 1550 1587590 )
+ LAYER met5 ( -2947730 1404490 ) ( 1550 1407590 )
+ LAYER met5 ( -2947730 1224490 ) ( 1550 1227590 )
+ LAYER met5 ( -2947730 1044490 ) ( 1550 1047590 )
+ LAYER met5 ( -2947730 864490 ) ( 1550 867590 )
+ LAYER met5 ( -2947730 684490 ) ( 1550 687590 )
+ LAYER met5 ( -2947730 504490 ) ( 1550 507590 )
+ LAYER met5 ( -2947730 324490 ) ( 1550 327590 )
+ LAYER met5 ( -2947730 144490 ) ( 1550 147590 )
+ LAYER met5 ( -2947730 -35510 ) ( 1550 -32410 )
+ LAYER met5 ( -2947730 -215510 ) ( 1550 -212410 )
+ LAYER met5 ( -2947730 -395510 ) ( 1550 -392410 )
+ LAYER met5 ( -2947730 -575510 ) ( 1550 -572410 )
+ LAYER met5 ( -2947730 -755510 ) ( 1550 -752410 )
+ LAYER met5 ( -2947730 -935510 ) ( 1550 -932410 )
+ LAYER met5 ( -2947730 -1115510 ) ( 1550 -1112410 )
+ LAYER met5 ( -2947730 -1295510 ) ( 1550 -1292410 )
+ LAYER met5 ( -2947730 -1475510 ) ( 1550 -1472410 )
+ LAYER met5 ( -2947730 -1655510 ) ( 1550 -1652410 )
+ LAYER met5 ( -2947730 -1769310 ) ( 1550 -1766210 )
+ FIXED ( 2932900 1759840 ) N ;
- vssd2 + NET vssd2 + SPECIAL + DIRECTION INPUT + USE GROUND
+ PORT
+ LAYER met4 ( -1550 -1778910 ) ( 1550 1778910 )
+ LAYER met4 ( -124930 -1778910 ) ( -121830 1778910 )
+ LAYER met4 ( -304930 -1778910 ) ( -301830 1778910 )
+ LAYER met4 ( -484930 -1778910 ) ( -481830 1778910 )
+ LAYER met4 ( -664930 -1778910 ) ( -661830 1778910 )
+ LAYER met4 ( -844930 -1778910 ) ( -841830 1778910 )
+ LAYER met4 ( -1024930 -1778910 ) ( -1021830 1778910 )
+ LAYER met4 ( -1204930 896700 ) ( -1201830 1778910 )
+ LAYER met4 ( -1384930 896700 ) ( -1381830 1778910 )
+ LAYER met4 ( -1564930 896700 ) ( -1561830 1778910 )
+ LAYER met4 ( -1744930 896700 ) ( -1741830 1778910 )
+ LAYER met4 ( -1924930 -415825 ) ( -1921830 1778910 )
+ LAYER met4 ( -2104930 896700 ) ( -2101830 1778910 )
+ LAYER met4 ( -2284930 896700 ) ( -2281830 1778910 )
+ LAYER met4 ( -2464930 896700 ) ( -2461830 1778910 )
+ LAYER met4 ( -2644930 896700 ) ( -2641830 1778910 )
+ LAYER met4 ( -2824930 -1778910 ) ( -2821830 1778910 )
+ LAYER met4 ( -2966930 -1778910 ) ( -2963830 1778910 )
+ LAYER met4 ( -1204930 -1778910 ) ( -1201830 460160 )
+ LAYER met4 ( -1384930 -1778910 ) ( -1381830 460160 )
+ LAYER met4 ( -1564930 -1778910 ) ( -1561830 460160 )
+ LAYER met4 ( -1744930 -415825 ) ( -1741830 460160 )
+ LAYER met4 ( -2104930 -415825 ) ( -2101830 460160 )
+ LAYER met4 ( -2284930 -415825 ) ( -2281830 460160 )
+ LAYER met4 ( -2464930 -415825 ) ( -2461830 460160 )
+ LAYER met4 ( -2644930 -415825 ) ( -2641830 460160 )
+ LAYER met4 ( -1744930 -1778910 ) ( -1741830 -1339840 )
+ LAYER met4 ( -1924930 -1778910 ) ( -1921830 -1339840 )
+ LAYER met4 ( -2104930 -1778910 ) ( -2101830 -1339840 )
+ LAYER met4 ( -2284930 -1778910 ) ( -2281830 -1339840 )
+ LAYER met4 ( -2464930 -1778910 ) ( -2461830 -1339840 )
+ LAYER met4 ( -2644930 -1778910 ) ( -2641830 -1339840 )
+ LAYER met5 ( -2966930 1775810 ) ( 1550 1778910 )
+ LAYER met5 ( -2966930 1603090 ) ( 1550 1606190 )
+ LAYER met5 ( -2966930 1423090 ) ( 1550 1426190 )
+ LAYER met5 ( -2966930 1243090 ) ( 1550 1246190 )
+ LAYER met5 ( -2966930 1063090 ) ( 1550 1066190 )
+ LAYER met5 ( -2966930 883090 ) ( 1550 886190 )
+ LAYER met5 ( -2966930 703090 ) ( 1550 706190 )
+ LAYER met5 ( -2966930 523090 ) ( 1550 526190 )
+ LAYER met5 ( -2966930 343090 ) ( 1550 346190 )
+ LAYER met5 ( -2966930 163090 ) ( 1550 166190 )
+ LAYER met5 ( -2966930 -16910 ) ( 1550 -13810 )
+ LAYER met5 ( -2966930 -196910 ) ( 1550 -193810 )
+ LAYER met5 ( -2966930 -376910 ) ( 1550 -373810 )
+ LAYER met5 ( -2966930 -556910 ) ( 1550 -553810 )
+ LAYER met5 ( -2966930 -736910 ) ( 1550 -733810 )
+ LAYER met5 ( -2966930 -916910 ) ( 1550 -913810 )
+ LAYER met5 ( -2966930 -1096910 ) ( 1550 -1093810 )
+ LAYER met5 ( -2966930 -1276910 ) ( 1550 -1273810 )
+ LAYER met5 ( -2966930 -1456910 ) ( 1550 -1453810 )
+ LAYER met5 ( -2966930 -1636910 ) ( 1550 -1633810 )
+ LAYER met5 ( -2966930 -1778910 ) ( 1550 -1775810 )
+ FIXED ( 2942500 1759840 ) N ;
- wb_clk_i + NET wb_clk_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2990 -1200 ) N ;
- wb_rst_i + NET wb_rst_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 8510 -1200 ) N ;
- wbs_ack_o + NET wbs_ack_o + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 14490 -1200 ) N ;
- wbs_adr_i[0] + NET wbs_adr_i[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 38410 -1200 ) N ;
- wbs_adr_i[10] + NET wbs_adr_i[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 239430 -1200 ) N ;
- wbs_adr_i[11] + NET wbs_adr_i[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 256910 -1200 ) N ;
- wbs_adr_i[12] + NET wbs_adr_i[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 274850 -1200 ) N ;
- wbs_adr_i[13] + NET wbs_adr_i[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 292330 -1200 ) N ;
- wbs_adr_i[14] + NET wbs_adr_i[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 310270 -1200 ) N ;
- wbs_adr_i[15] + NET wbs_adr_i[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 327750 -1200 ) N ;
- wbs_adr_i[16] + NET wbs_adr_i[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 345690 -1200 ) N ;
- wbs_adr_i[17] + NET wbs_adr_i[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 363170 -1200 ) N ;
- wbs_adr_i[18] + NET wbs_adr_i[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 381110 -1200 ) N ;
- wbs_adr_i[19] + NET wbs_adr_i[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 398590 -1200 ) N ;
- wbs_adr_i[1] + NET wbs_adr_i[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 61870 -1200 ) N ;
- wbs_adr_i[20] + NET wbs_adr_i[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 416530 -1200 ) N ;
- wbs_adr_i[21] + NET wbs_adr_i[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 434470 -1200 ) N ;
- wbs_adr_i[22] + NET wbs_adr_i[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 451950 -1200 ) N ;
- wbs_adr_i[23] + NET wbs_adr_i[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 469890 -1200 ) N ;
- wbs_adr_i[24] + NET wbs_adr_i[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 487370 -1200 ) N ;
- wbs_adr_i[25] + NET wbs_adr_i[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 505310 -1200 ) N ;
- wbs_adr_i[26] + NET wbs_adr_i[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 522790 -1200 ) N ;
- wbs_adr_i[27] + NET wbs_adr_i[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 540730 -1200 ) N ;
- wbs_adr_i[28] + NET wbs_adr_i[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 558210 -1200 ) N ;
- wbs_adr_i[29] + NET wbs_adr_i[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 576150 -1200 ) N ;
- wbs_adr_i[2] + NET wbs_adr_i[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 85330 -1200 ) N ;
- wbs_adr_i[30] + NET wbs_adr_i[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 594090 -1200 ) N ;
- wbs_adr_i[31] + NET wbs_adr_i[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 611570 -1200 ) N ;
- wbs_adr_i[3] + NET wbs_adr_i[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 109250 -1200 ) N ;
- wbs_adr_i[4] + NET wbs_adr_i[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 132710 -1200 ) N ;
- wbs_adr_i[5] + NET wbs_adr_i[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 150650 -1200 ) N ;
- wbs_adr_i[6] + NET wbs_adr_i[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 168130 -1200 ) N ;
- wbs_adr_i[7] + NET wbs_adr_i[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 186070 -1200 ) N ;
- wbs_adr_i[8] + NET wbs_adr_i[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 203550 -1200 ) N ;
- wbs_adr_i[9] + NET wbs_adr_i[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 221490 -1200 ) N ;
- wbs_cyc_i + NET wbs_cyc_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 20470 -1200 ) N ;
- wbs_dat_i[0] + NET wbs_dat_i[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 43930 -1200 ) N ;
- wbs_dat_i[10] + NET wbs_dat_i[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 244950 -1200 ) N ;
- wbs_dat_i[11] + NET wbs_dat_i[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 262890 -1200 ) N ;
- wbs_dat_i[12] + NET wbs_dat_i[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 280370 -1200 ) N ;
- wbs_dat_i[13] + NET wbs_dat_i[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 298310 -1200 ) N ;
- wbs_dat_i[14] + NET wbs_dat_i[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 316250 -1200 ) N ;
- wbs_dat_i[15] + NET wbs_dat_i[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 333730 -1200 ) N ;
- wbs_dat_i[16] + NET wbs_dat_i[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 351670 -1200 ) N ;
- wbs_dat_i[17] + NET wbs_dat_i[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 369150 -1200 ) N ;
- wbs_dat_i[18] + NET wbs_dat_i[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 387090 -1200 ) N ;
- wbs_dat_i[19] + NET wbs_dat_i[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 404570 -1200 ) N ;
- wbs_dat_i[1] + NET wbs_dat_i[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 67850 -1200 ) N ;
- wbs_dat_i[20] + NET wbs_dat_i[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 422510 -1200 ) N ;
- wbs_dat_i[21] + NET wbs_dat_i[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 439990 -1200 ) N ;
- wbs_dat_i[22] + NET wbs_dat_i[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 457930 -1200 ) N ;
- wbs_dat_i[23] + NET wbs_dat_i[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 475870 -1200 ) N ;
- wbs_dat_i[24] + NET wbs_dat_i[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 493350 -1200 ) N ;
- wbs_dat_i[25] + NET wbs_dat_i[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 511290 -1200 ) N ;
- wbs_dat_i[26] + NET wbs_dat_i[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 528770 -1200 ) N ;
- wbs_dat_i[27] + NET wbs_dat_i[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 546710 -1200 ) N ;
- wbs_dat_i[28] + NET wbs_dat_i[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 564190 -1200 ) N ;
- wbs_dat_i[29] + NET wbs_dat_i[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 582130 -1200 ) N ;
- wbs_dat_i[2] + NET wbs_dat_i[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 91310 -1200 ) N ;
- wbs_dat_i[30] + NET wbs_dat_i[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 599610 -1200 ) N ;
- wbs_dat_i[31] + NET wbs_dat_i[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 617550 -1200 ) N ;
- wbs_dat_i[3] + NET wbs_dat_i[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 115230 -1200 ) N ;
- wbs_dat_i[4] + NET wbs_dat_i[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 138690 -1200 ) N ;
- wbs_dat_i[5] + NET wbs_dat_i[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 156630 -1200 ) N ;
- wbs_dat_i[6] + NET wbs_dat_i[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 174110 -1200 ) N ;
- wbs_dat_i[7] + NET wbs_dat_i[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 192050 -1200 ) N ;
- wbs_dat_i[8] + NET wbs_dat_i[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 209530 -1200 ) N ;
- wbs_dat_i[9] + NET wbs_dat_i[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 227470 -1200 ) N ;
- wbs_dat_o[0] + NET wbs_dat_o[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 49910 -1200 ) N ;
- wbs_dat_o[10] + NET wbs_dat_o[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 250930 -1200 ) N ;
- wbs_dat_o[11] + NET wbs_dat_o[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 268870 -1200 ) N ;
- wbs_dat_o[12] + NET wbs_dat_o[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 286350 -1200 ) N ;
- wbs_dat_o[13] + NET wbs_dat_o[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 304290 -1200 ) N ;
- wbs_dat_o[14] + NET wbs_dat_o[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 321770 -1200 ) N ;
- wbs_dat_o[15] + NET wbs_dat_o[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 339710 -1200 ) N ;
- wbs_dat_o[16] + NET wbs_dat_o[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 357650 -1200 ) N ;
- wbs_dat_o[17] + NET wbs_dat_o[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 375130 -1200 ) N ;
- wbs_dat_o[18] + NET wbs_dat_o[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 393070 -1200 ) N ;
- wbs_dat_o[19] + NET wbs_dat_o[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 410550 -1200 ) N ;
- wbs_dat_o[1] + NET wbs_dat_o[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 73830 -1200 ) N ;
- wbs_dat_o[20] + NET wbs_dat_o[20] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 428490 -1200 ) N ;
- wbs_dat_o[21] + NET wbs_dat_o[21] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 445970 -1200 ) N ;
- wbs_dat_o[22] + NET wbs_dat_o[22] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 463910 -1200 ) N ;
- wbs_dat_o[23] + NET wbs_dat_o[23] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 481390 -1200 ) N ;
- wbs_dat_o[24] + NET wbs_dat_o[24] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 499330 -1200 ) N ;
- wbs_dat_o[25] + NET wbs_dat_o[25] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 516810 -1200 ) N ;
- wbs_dat_o[26] + NET wbs_dat_o[26] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 534750 -1200 ) N ;
- wbs_dat_o[27] + NET wbs_dat_o[27] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 552690 -1200 ) N ;
- wbs_dat_o[28] + NET wbs_dat_o[28] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 570170 -1200 ) N ;
- wbs_dat_o[29] + NET wbs_dat_o[29] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 588110 -1200 ) N ;
- wbs_dat_o[2] + NET wbs_dat_o[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 97290 -1200 ) N ;
- wbs_dat_o[30] + NET wbs_dat_o[30] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 605590 -1200 ) N ;
- wbs_dat_o[31] + NET wbs_dat_o[31] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 623530 -1200 ) N ;
- wbs_dat_o[3] + NET wbs_dat_o[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 121210 -1200 ) N ;
- wbs_dat_o[4] + NET wbs_dat_o[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 144670 -1200 ) N ;
- wbs_dat_o[5] + NET wbs_dat_o[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 162150 -1200 ) N ;
- wbs_dat_o[6] + NET wbs_dat_o[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 180090 -1200 ) N ;
- wbs_dat_o[7] + NET wbs_dat_o[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 198030 -1200 ) N ;
- wbs_dat_o[8] + NET wbs_dat_o[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 215510 -1200 ) N ;
- wbs_dat_o[9] + NET wbs_dat_o[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 233450 -1200 ) N ;
- wbs_sel_i[0] + NET wbs_sel_i[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 55890 -1200 ) N ;
- wbs_sel_i[1] + NET wbs_sel_i[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 79810 -1200 ) N ;
- wbs_sel_i[2] + NET wbs_sel_i[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 103270 -1200 ) N ;
- wbs_sel_i[3] + NET wbs_sel_i[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 126730 -1200 ) N ;
- wbs_stb_i + NET wbs_stb_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 26450 -1200 ) N ;
- wbs_we_i + NET wbs_we_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 32430 -1200 ) N ;
END PINS
BLOCKAGES 9 ;
- LAYER met1 RECT ( 300000 2230000 ) ( 983100 2646540 ) ;
- LAYER met2 RECT ( 300000 2230000 ) ( 983100 2646540 ) ;
- LAYER met3 RECT ( 300000 2230000 ) ( 983100 2646540 ) ;
- LAYER met4 RECT ( 300000 2230000 ) ( 983100 2646540 ) ;
- LAYER met1 RECT ( 1100000 2230000 ) ( 1783100 2646540 ) ;
- LAYER met2 RECT ( 1100000 2230000 ) ( 1783100 2646540 ) ;
- LAYER met3 RECT ( 1100000 2230000 ) ( 1783100 2646540 ) ;
- LAYER met4 RECT ( 1100000 2230000 ) ( 1783100 2646540 ) ;
- LAYER met5 RECT ( 0 0 ) ( 2920000 3520000 ) ;
END BLOCKAGES
SPECIALNETS 8 ;
- vccd1 ( PIN vccd1 ) + USE POWER
+ ROUTED met4 0 + SHAPE STRIPE ( 1777470 2535880 ) via4_1740x3100
NEW met4 0 + SHAPE STRIPE ( 1105630 2535880 ) via4_1740x3100
NEW met4 0 + SHAPE STRIPE ( 1777470 2355880 ) via4_1740x3100
NEW met4 0 + SHAPE STRIPE ( 1105630 2355880 ) via4_1740x3100
NEW met4 0 + SHAPE STRIPE ( 977470 2535880 ) via4_1740x3100
NEW met4 0 + SHAPE STRIPE ( 305630 2535880 ) via4_1740x3100
NEW met4 0 + SHAPE STRIPE ( 977470 2355880 ) via4_1740x3100
NEW met4 0 + SHAPE STRIPE ( 305630 2355880 ) via4_1740x3100
NEW met4 0 + SHAPE STRIPE ( 1089840 1275880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 936240 1275880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 782640 1275880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 629040 1275880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 475440 1275880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 321840 1275880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1089840 1095880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 936240 1095880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 782640 1095880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 629040 1095880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 475440 1095880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 321840 1095880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1089840 915880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 936240 915880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 782640 915880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 629040 915880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 475440 915880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 321840 915880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1089840 735880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 936240 735880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 782640 735880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 629040 735880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 475440 735880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 321840 735880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1089840 555880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 936240 555880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 782640 555880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 629040 555880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 475440 555880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 321840 555880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 -3120 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -10030 3522800 ) ( 2929650 3522800 )
NEW met5 3100 + SHAPE STRIPE ( -14830 3435880 ) ( 2934450 3435880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 3255880 ) ( 2934450 3255880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 3075880 ) ( 2934450 3075880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2895880 ) ( 2934450 2895880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2715880 ) ( 2934450 2715880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2535880 ) ( 2934450 2535880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2355880 ) ( 2934450 2355880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2175880 ) ( 2934450 2175880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1995880 ) ( 2934450 1995880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1815880 ) ( 2934450 1815880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1635880 ) ( 2934450 1635880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1455880 ) ( 2934450 1455880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1275880 ) ( 2934450 1275880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1095880 ) ( 2934450 1095880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 915880 ) ( 2934450 915880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 735880 ) ( 2934450 735880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 555880 ) ( 2934450 555880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 375880 ) ( 2934450 375880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 195880 ) ( 2934450 195880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 15880 ) ( 2934450 15880 )
NEW met5 3100 + SHAPE STRIPE ( -10030 -3120 ) ( 2929650 -3120 )
NEW met4 3100 + SHAPE STRIPE ( 2890520 -9470 ) ( 2890520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2710520 -9470 ) ( 2710520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2530520 -9470 ) ( 2530520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2350520 -9470 ) ( 2350520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2170520 -9470 ) ( 2170520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1990520 -9470 ) ( 1990520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1810520 -9470 ) ( 1810520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1630520 2656540 ) ( 1630520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1450520 2656540 ) ( 1450520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1270520 2656540 ) ( 1270520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1090520 2656540 ) ( 1090520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 910520 2656540 ) ( 910520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 730520 2656540 ) ( 730520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 550520 2656540 ) ( 550520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 370520 2656540 ) ( 370520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 190520 -9470 ) ( 190520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 10520 -9470 ) ( 10520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2928100 -4670 ) ( 2928100 3524350 )
NEW met4 3100 + SHAPE STRIPE ( -8480 -4670 ) ( -8480 3524350 )
NEW met4 3100 + SHAPE STRIPE ( 1630520 -9470 ) ( 1630520 2220000 )
NEW met4 3100 + SHAPE STRIPE ( 1450520 -9470 ) ( 1450520 2220000 )
NEW met4 3100 + SHAPE STRIPE ( 1270520 -9470 ) ( 1270520 2220000 )
NEW met4 3100 + SHAPE STRIPE ( 1090520 1344015 ) ( 1090520 2220000 )
NEW met4 3100 + SHAPE STRIPE ( 910520 1344015 ) ( 910520 2220000 )
NEW met4 3100 + SHAPE STRIPE ( 730520 1344015 ) ( 730520 2220000 )
NEW met4 3100 + SHAPE STRIPE ( 550520 1344015 ) ( 550520 2220000 )
NEW met4 3100 + SHAPE STRIPE ( 370520 1344015 ) ( 370520 2220000 )
NEW met4 3100 + SHAPE STRIPE ( 1090520 -9470 ) ( 1090520 420000 )
NEW met4 3100 + SHAPE STRIPE ( 910520 -9470 ) ( 910520 420000 )
NEW met4 3100 + SHAPE STRIPE ( 730520 -9470 ) ( 730520 420000 )
NEW met4 3100 + SHAPE STRIPE ( 550520 -9470 ) ( 550520 420000 )
NEW met4 3100 + SHAPE STRIPE ( 370520 -9470 ) ( 370520 420000 ) ;
- vccd2 ( PIN vccd2 ) + USE POWER
+ ROUTED met4 0 + SHAPE STRIPE ( 2937700 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 -12720 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -19630 3532400 ) ( 2939250 3532400 )
NEW met5 3100 + SHAPE STRIPE ( -24430 3454480 ) ( 2944050 3454480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 3274480 ) ( 2944050 3274480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 3094480 ) ( 2944050 3094480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2914480 ) ( 2944050 2914480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2734480 ) ( 2944050 2734480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2554480 ) ( 2944050 2554480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2374480 ) ( 2944050 2374480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2194480 ) ( 2944050 2194480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2014480 ) ( 2944050 2014480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1834480 ) ( 2944050 1834480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1654480 ) ( 2944050 1654480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1474480 ) ( 2944050 1474480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1294480 ) ( 2944050 1294480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1114480 ) ( 2944050 1114480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 934480 ) ( 2944050 934480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 754480 ) ( 2944050 754480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 574480 ) ( 2944050 574480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 394480 ) ( 2944050 394480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 214480 ) ( 2944050 214480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 34480 ) ( 2944050 34480 )
NEW met5 3100 + SHAPE STRIPE ( -19630 -12720 ) ( 2939250 -12720 )
NEW met4 3100 + SHAPE STRIPE ( 2909120 -19070 ) ( 2909120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2729120 -19070 ) ( 2729120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2549120 -19070 ) ( 2549120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2369120 -19070 ) ( 2369120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2189120 -19070 ) ( 2189120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2009120 -19070 ) ( 2009120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1829120 -19070 ) ( 1829120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1649120 2656540 ) ( 1649120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1469120 2656540 ) ( 1469120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1289120 2656540 ) ( 1289120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1109120 2656540 ) ( 1109120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 929120 2656540 ) ( 929120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 749120 2656540 ) ( 749120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 569120 2656540 ) ( 569120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 389120 2656540 ) ( 389120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 209120 -19070 ) ( 209120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 29120 -19070 ) ( 29120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2937700 -14270 ) ( 2937700 3533950 )
NEW met4 3100 + SHAPE STRIPE ( -18080 -14270 ) ( -18080 3533950 )
NEW met4 3100 + SHAPE STRIPE ( 1649120 -19070 ) ( 1649120 2220000 )
NEW met4 3100 + SHAPE STRIPE ( 1469120 -19070 ) ( 1469120 2220000 )
NEW met4 3100 + SHAPE STRIPE ( 1289120 -19070 ) ( 1289120 2220000 )
NEW met4 3100 + SHAPE STRIPE ( 1109120 1344015 ) ( 1109120 2220000 )
NEW met4 3100 + SHAPE STRIPE ( 929120 1344015 ) ( 929120 2220000 )
NEW met4 3100 + SHAPE STRIPE ( 749120 1344015 ) ( 749120 2220000 )
NEW met4 3100 + SHAPE STRIPE ( 569120 1344015 ) ( 569120 2220000 )
NEW met4 3100 + SHAPE STRIPE ( 389120 1344015 ) ( 389120 2220000 )
NEW met4 3100 + SHAPE STRIPE ( 1109120 -19070 ) ( 1109120 420000 )
NEW met4 3100 + SHAPE STRIPE ( 929120 -19070 ) ( 929120 420000 )
NEW met4 3100 + SHAPE STRIPE ( 749120 -19070 ) ( 749120 420000 )
NEW met4 3100 + SHAPE STRIPE ( 569120 -19070 ) ( 569120 420000 )
NEW met4 3100 + SHAPE STRIPE ( 389120 -19070 ) ( 389120 420000 ) ;
- vdda1 ( PIN vdda1 ) + USE POWER
+ ROUTED met4 0 + SHAPE STRIPE ( 2947300 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 -22320 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -29230 3542000 ) ( 2948850 3542000 )
NEW met5 3100 + SHAPE STRIPE ( -34030 3473080 ) ( 2953650 3473080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 3293080 ) ( 2953650 3293080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 3113080 ) ( 2953650 3113080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2933080 ) ( 2953650 2933080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2753080 ) ( 2953650 2753080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2573080 ) ( 2953650 2573080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2393080 ) ( 2953650 2393080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2213080 ) ( 2953650 2213080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2033080 ) ( 2953650 2033080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1853080 ) ( 2953650 1853080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1673080 ) ( 2953650 1673080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1493080 ) ( 2953650 1493080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1313080 ) ( 2953650 1313080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1133080 ) ( 2953650 1133080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 953080 ) ( 2953650 953080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 773080 ) ( 2953650 773080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 593080 ) ( 2953650 593080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 413080 ) ( 2953650 413080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 233080 ) ( 2953650 233080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 53080 ) ( 2953650 53080 )
NEW met5 3100 + SHAPE STRIPE ( -29230 -22320 ) ( 2948850 -22320 )
NEW met4 3100 + SHAPE STRIPE ( 2747720 -28670 ) ( 2747720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2567720 -28670 ) ( 2567720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2387720 -28670 ) ( 2387720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2207720 -28670 ) ( 2207720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2027720 -28670 ) ( 2027720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1847720 -28670 ) ( 1847720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1667720 2656540 ) ( 1667720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1487720 2656540 ) ( 1487720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1307720 2656540 ) ( 1307720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1127720 2656540 ) ( 1127720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 947720 2656540 ) ( 947720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 767720 2656540 ) ( 767720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 587720 2656540 ) ( 587720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 407720 2656540 ) ( 407720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 227720 -28670 ) ( 227720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 47720 -28670 ) ( 47720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2947300 -23870 ) ( 2947300 3543550 )
NEW met4 3100 + SHAPE STRIPE ( -27680 -23870 ) ( -27680 3543550 )
NEW met4 3100 + SHAPE STRIPE ( 1667720 -28670 ) ( 1667720 2220000 )
NEW met4 3100 + SHAPE STRIPE ( 1487720 -28670 ) ( 1487720 2220000 )
NEW met4 3100 + SHAPE STRIPE ( 1307720 -28670 ) ( 1307720 2220000 )
NEW met4 3100 + SHAPE STRIPE ( 1127720 1344015 ) ( 1127720 2220000 )
NEW met4 3100 + SHAPE STRIPE ( 947720 1344015 ) ( 947720 2220000 )
NEW met4 3100 + SHAPE STRIPE ( 767720 1344015 ) ( 767720 2220000 )
NEW met4 3100 + SHAPE STRIPE ( 587720 1344015 ) ( 587720 2220000 )
NEW met4 3100 + SHAPE STRIPE ( 407720 1344015 ) ( 407720 2220000 )
NEW met4 3100 + SHAPE STRIPE ( 1127720 -28670 ) ( 1127720 420000 )
NEW met4 3100 + SHAPE STRIPE ( 947720 -28670 ) ( 947720 420000 )
NEW met4 3100 + SHAPE STRIPE ( 767720 -28670 ) ( 767720 420000 )
NEW met4 3100 + SHAPE STRIPE ( 587720 -28670 ) ( 587720 420000 )
NEW met4 3100 + SHAPE STRIPE ( 407720 -28670 ) ( 407720 420000 ) ;
- vdda2 ( PIN vdda2 ) + USE POWER
+ ROUTED met4 0 + SHAPE STRIPE ( 2956900 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 -31920 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -38830 3551600 ) ( 2958450 3551600 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3491680 ) ( 2963250 3491680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3311680 ) ( 2963250 3311680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3131680 ) ( 2963250 3131680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2951680 ) ( 2963250 2951680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2771680 ) ( 2963250 2771680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2591680 ) ( 2963250 2591680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2411680 ) ( 2963250 2411680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2231680 ) ( 2963250 2231680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2051680 ) ( 2963250 2051680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1871680 ) ( 2963250 1871680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1691680 ) ( 2963250 1691680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1511680 ) ( 2963250 1511680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1331680 ) ( 2963250 1331680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1151680 ) ( 2963250 1151680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 971680 ) ( 2963250 971680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 791680 ) ( 2963250 791680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 611680 ) ( 2963250 611680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 431680 ) ( 2963250 431680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 251680 ) ( 2963250 251680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 71680 ) ( 2963250 71680 )
NEW met5 3100 + SHAPE STRIPE ( -38830 -31920 ) ( 2958450 -31920 )
NEW met4 3100 + SHAPE STRIPE ( 2766320 -38270 ) ( 2766320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2586320 -38270 ) ( 2586320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2406320 -38270 ) ( 2406320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2226320 -38270 ) ( 2226320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2046320 -38270 ) ( 2046320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1866320 -38270 ) ( 1866320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1686320 2656540 ) ( 1686320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1506320 2656540 ) ( 1506320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1326320 2656540 ) ( 1326320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1146320 2656540 ) ( 1146320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 966320 2656540 ) ( 966320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 786320 2656540 ) ( 786320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 606320 2656540 ) ( 606320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 426320 2656540 ) ( 426320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 246320 -38270 ) ( 246320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 66320 -38270 ) ( 66320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2956900 -33470 ) ( 2956900 3553150 )
NEW met4 3100 + SHAPE STRIPE ( -37280 -33470 ) ( -37280 3553150 )
NEW met4 3100 + SHAPE STRIPE ( 1686320 -38270 ) ( 1686320 2220000 )
NEW met4 3100 + SHAPE STRIPE ( 1506320 -38270 ) ( 1506320 2220000 )
NEW met4 3100 + SHAPE STRIPE ( 1326320 -38270 ) ( 1326320 2220000 )
NEW met4 3100 + SHAPE STRIPE ( 1146320 1344015 ) ( 1146320 2220000 )
NEW met4 3100 + SHAPE STRIPE ( 966320 1344015 ) ( 966320 2220000 )
NEW met4 3100 + SHAPE STRIPE ( 786320 1344015 ) ( 786320 2220000 )
NEW met4 3100 + SHAPE STRIPE ( 606320 1344015 ) ( 606320 2220000 )
NEW met4 3100 + SHAPE STRIPE ( 426320 1344015 ) ( 426320 2220000 )
NEW met4 3100 + SHAPE STRIPE ( 1146320 -38270 ) ( 1146320 420000 )
NEW met4 3100 + SHAPE STRIPE ( 966320 -38270 ) ( 966320 420000 )
NEW met4 3100 + SHAPE STRIPE ( 786320 -38270 ) ( 786320 420000 )
NEW met4 3100 + SHAPE STRIPE ( 606320 -38270 ) ( 606320 420000 )
NEW met4 3100 + SHAPE STRIPE ( 426320 -38270 ) ( 426320 420000 ) ;
- vssa1 ( PIN vssa1 ) + USE GROUND
+ ROUTED met4 0 + SHAPE STRIPE ( 2952100 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 -27120 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -34030 3546800 ) ( 2953650 3546800 )
NEW met5 3100 + SHAPE STRIPE ( -34030 3383080 ) ( 2953650 3383080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 3203080 ) ( 2953650 3203080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 3023080 ) ( 2953650 3023080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2843080 ) ( 2953650 2843080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2663080 ) ( 2953650 2663080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2483080 ) ( 2953650 2483080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2303080 ) ( 2953650 2303080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2123080 ) ( 2953650 2123080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1943080 ) ( 2953650 1943080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1763080 ) ( 2953650 1763080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1583080 ) ( 2953650 1583080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1403080 ) ( 2953650 1403080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1223080 ) ( 2953650 1223080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1043080 ) ( 2953650 1043080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 863080 ) ( 2953650 863080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 683080 ) ( 2953650 683080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 503080 ) ( 2953650 503080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 323080 ) ( 2953650 323080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 143080 ) ( 2953650 143080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 -27120 ) ( 2953650 -27120 )
NEW met4 3100 + SHAPE STRIPE ( 2952100 -28670 ) ( 2952100 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2837720 -28670 ) ( 2837720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2657720 -28670 ) ( 2657720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2477720 -28670 ) ( 2477720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2297720 -28670 ) ( 2297720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2117720 -28670 ) ( 2117720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1937720 -28670 ) ( 1937720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1757720 2656540 ) ( 1757720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1577720 2656540 ) ( 1577720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1397720 2656540 ) ( 1397720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1217720 2656540 ) ( 1217720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1037720 1344015 ) ( 1037720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 857720 2656540 ) ( 857720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 677720 2656540 ) ( 677720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 497720 2656540 ) ( 497720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 317720 2656540 ) ( 317720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 137720 -28670 ) ( 137720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( -32480 -28670 ) ( -32480 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1757720 -28670 ) ( 1757720 2220000 )
NEW met4 3100 + SHAPE STRIPE ( 1577720 -28670 ) ( 1577720 2220000 )
NEW met4 3100 + SHAPE STRIPE ( 1397720 -28670 ) ( 1397720 2220000 )
NEW met4 3100 + SHAPE STRIPE ( 1217720 -28670 ) ( 1217720 2220000 )
NEW met4 3100 + SHAPE STRIPE ( 857720 1344015 ) ( 857720 2220000 )
NEW met4 3100 + SHAPE STRIPE ( 677720 1344015 ) ( 677720 2220000 )
NEW met4 3100 + SHAPE STRIPE ( 497720 1344015 ) ( 497720 2220000 )
NEW met4 3100 + SHAPE STRIPE ( 317720 1344015 ) ( 317720 2220000 )
NEW met4 3100 + SHAPE STRIPE ( 1037720 -28670 ) ( 1037720 420000 )
NEW met4 3100 + SHAPE STRIPE ( 857720 -28670 ) ( 857720 420000 )
NEW met4 3100 + SHAPE STRIPE ( 677720 -28670 ) ( 677720 420000 )
NEW met4 3100 + SHAPE STRIPE ( 497720 -28670 ) ( 497720 420000 )
NEW met4 3100 + SHAPE STRIPE ( 317720 -28670 ) ( 317720 420000 ) ;
- vssa2 ( PIN vssa2 ) + USE GROUND
+ ROUTED met4 0 + SHAPE STRIPE ( 2961700 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 -36720 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -43630 3556400 ) ( 2963250 3556400 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3401680 ) ( 2963250 3401680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3221680 ) ( 2963250 3221680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3041680 ) ( 2963250 3041680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2861680 ) ( 2963250 2861680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2681680 ) ( 2963250 2681680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2501680 ) ( 2963250 2501680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2321680 ) ( 2963250 2321680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2141680 ) ( 2963250 2141680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1961680 ) ( 2963250 1961680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1781680 ) ( 2963250 1781680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1601680 ) ( 2963250 1601680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1421680 ) ( 2963250 1421680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1241680 ) ( 2963250 1241680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1061680 ) ( 2963250 1061680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 881680 ) ( 2963250 881680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 701680 ) ( 2963250 701680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 521680 ) ( 2963250 521680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 341680 ) ( 2963250 341680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 161680 ) ( 2963250 161680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 -36720 ) ( 2963250 -36720 )
NEW met4 3100 + SHAPE STRIPE ( 2961700 -38270 ) ( 2961700 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2856320 -38270 ) ( 2856320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2676320 -38270 ) ( 2676320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2496320 -38270 ) ( 2496320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2316320 -38270 ) ( 2316320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2136320 -38270 ) ( 2136320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1956320 -38270 ) ( 1956320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1776320 2656540 ) ( 1776320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1596320 2656540 ) ( 1596320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1416320 2656540 ) ( 1416320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1236320 2656540 ) ( 1236320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1056320 1344015 ) ( 1056320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 876320 2656540 ) ( 876320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 696320 2656540 ) ( 696320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 516320 2656540 ) ( 516320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 336320 2656540 ) ( 336320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 156320 -38270 ) ( 156320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( -42080 -38270 ) ( -42080 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1776320 -38270 ) ( 1776320 2220000 )
NEW met4 3100 + SHAPE STRIPE ( 1596320 -38270 ) ( 1596320 2220000 )
NEW met4 3100 + SHAPE STRIPE ( 1416320 -38270 ) ( 1416320 2220000 )
NEW met4 3100 + SHAPE STRIPE ( 1236320 -38270 ) ( 1236320 2220000 )
NEW met4 3100 + SHAPE STRIPE ( 876320 1344015 ) ( 876320 2220000 )
NEW met4 3100 + SHAPE STRIPE ( 696320 1344015 ) ( 696320 2220000 )
NEW met4 3100 + SHAPE STRIPE ( 516320 1344015 ) ( 516320 2220000 )
NEW met4 3100 + SHAPE STRIPE ( 336320 1344015 ) ( 336320 2220000 )
NEW met4 3100 + SHAPE STRIPE ( 1056320 -38270 ) ( 1056320 420000 )
NEW met4 3100 + SHAPE STRIPE ( 876320 -38270 ) ( 876320 420000 )
NEW met4 3100 + SHAPE STRIPE ( 696320 -38270 ) ( 696320 420000 )
NEW met4 3100 + SHAPE STRIPE ( 516320 -38270 ) ( 516320 420000 )
NEW met4 3100 + SHAPE STRIPE ( 336320 -38270 ) ( 336320 420000 ) ;
- vssd1 ( PIN vssd1 ) + USE GROUND
+ ROUTED met4 0 + SHAPE STRIPE ( 1780870 2625880 ) via4_1740x3100
NEW met4 0 + SHAPE STRIPE ( 1102230 2625880 ) via4_1740x3100
NEW met4 0 + SHAPE STRIPE ( 1780870 2445880 ) via4_1740x3100
NEW met4 0 + SHAPE STRIPE ( 1102230 2445880 ) via4_1740x3100
NEW met4 0 + SHAPE STRIPE ( 1780870 2265880 ) via4_1740x3100
NEW met4 0 + SHAPE STRIPE ( 1102230 2265880 ) via4_1740x3100
NEW met4 0 + SHAPE STRIPE ( 980870 2625880 ) via4_1740x3100
NEW met4 0 + SHAPE STRIPE ( 302230 2625880 ) via4_1740x3100
NEW met4 0 + SHAPE STRIPE ( 980870 2445880 ) via4_1740x3100
NEW met4 0 + SHAPE STRIPE ( 302230 2445880 ) via4_1740x3100
NEW met4 0 + SHAPE STRIPE ( 980870 2265880 ) via4_1740x3100
NEW met4 0 + SHAPE STRIPE ( 302230 2265880 ) via4_1740x3100
NEW met4 0 + SHAPE STRIPE ( 1166640 1185880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1013040 1185880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 859440 1185880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 705840 1185880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 552240 1185880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 398640 1185880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1166640 1005880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1013040 1005880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 859440 1005880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 705840 1005880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 552240 1005880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 398640 1005880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1166640 825880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1013040 825880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 859440 825880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 705840 825880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 552240 825880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 398640 825880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1166640 645880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1013040 645880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 859440 645880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 705840 645880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 552240 645880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 398640 645880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1166640 465880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1013040 465880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 859440 465880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 705840 465880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 552240 465880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 398640 465880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 -7920 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -14830 3527600 ) ( 2934450 3527600 )
NEW met5 3100 + SHAPE STRIPE ( -14830 3345880 ) ( 2934450 3345880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 3165880 ) ( 2934450 3165880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2985880 ) ( 2934450 2985880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2805880 ) ( 2934450 2805880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2625880 ) ( 2934450 2625880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2445880 ) ( 2934450 2445880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2265880 ) ( 2934450 2265880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2085880 ) ( 2934450 2085880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1905880 ) ( 2934450 1905880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1725880 ) ( 2934450 1725880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1545880 ) ( 2934450 1545880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1365880 ) ( 2934450 1365880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1185880 ) ( 2934450 1185880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1005880 ) ( 2934450 1005880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 825880 ) ( 2934450 825880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 645880 ) ( 2934450 645880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 465880 ) ( 2934450 465880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 285880 ) ( 2934450 285880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 105880 ) ( 2934450 105880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 -7920 ) ( 2934450 -7920 )
NEW met4 3100 + SHAPE STRIPE ( 2932900 -9470 ) ( 2932900 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2800520 -9470 ) ( 2800520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2620520 -9470 ) ( 2620520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2440520 -9470 ) ( 2440520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2260520 -9470 ) ( 2260520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2080520 -9470 ) ( 2080520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1900520 -9470 ) ( 1900520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1720520 2656540 ) ( 1720520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1540520 2656540 ) ( 1540520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1360520 2656540 ) ( 1360520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1180520 2656540 ) ( 1180520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1000520 1344015 ) ( 1000520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 820520 2656540 ) ( 820520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 640520 2656540 ) ( 640520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 460520 2656540 ) ( 460520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 280520 -9470 ) ( 280520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 100520 -9470 ) ( 100520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( -13280 -9470 ) ( -13280 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1720520 -9470 ) ( 1720520 2220000 )
NEW met4 3100 + SHAPE STRIPE ( 1540520 -9470 ) ( 1540520 2220000 )
NEW met4 3100 + SHAPE STRIPE ( 1360520 -9470 ) ( 1360520 2220000 )
NEW met4 3100 + SHAPE STRIPE ( 1180520 1344015 ) ( 1180520 2220000 )
NEW met4 3100 + SHAPE STRIPE ( 820520 1344015 ) ( 820520 2220000 )
NEW met4 3100 + SHAPE STRIPE ( 640520 1344015 ) ( 640520 2220000 )
NEW met4 3100 + SHAPE STRIPE ( 460520 1344015 ) ( 460520 2220000 )
NEW met4 3100 + SHAPE STRIPE ( 1180520 -9470 ) ( 1180520 420000 )
NEW met4 3100 + SHAPE STRIPE ( 1000520 -9470 ) ( 1000520 420000 )
NEW met4 3100 + SHAPE STRIPE ( 820520 -9470 ) ( 820520 420000 )
NEW met4 3100 + SHAPE STRIPE ( 640520 -9470 ) ( 640520 420000 )
NEW met4 3100 + SHAPE STRIPE ( 460520 -9470 ) ( 460520 420000 ) ;
- vssd2 ( PIN vssd2 ) + USE GROUND
+ ROUTED met4 0 + SHAPE STRIPE ( 2942500 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 -17520 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -24430 3537200 ) ( 2944050 3537200 )
NEW met5 3100 + SHAPE STRIPE ( -24430 3364480 ) ( 2944050 3364480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 3184480 ) ( 2944050 3184480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 3004480 ) ( 2944050 3004480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2824480 ) ( 2944050 2824480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2644480 ) ( 2944050 2644480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2464480 ) ( 2944050 2464480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2284480 ) ( 2944050 2284480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2104480 ) ( 2944050 2104480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1924480 ) ( 2944050 1924480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1744480 ) ( 2944050 1744480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1564480 ) ( 2944050 1564480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1384480 ) ( 2944050 1384480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1204480 ) ( 2944050 1204480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1024480 ) ( 2944050 1024480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 844480 ) ( 2944050 844480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 664480 ) ( 2944050 664480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 484480 ) ( 2944050 484480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 304480 ) ( 2944050 304480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 124480 ) ( 2944050 124480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 -17520 ) ( 2944050 -17520 )
NEW met4 3100 + SHAPE STRIPE ( 2942500 -19070 ) ( 2942500 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2819120 -19070 ) ( 2819120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2639120 -19070 ) ( 2639120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2459120 -19070 ) ( 2459120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2279120 -19070 ) ( 2279120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2099120 -19070 ) ( 2099120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1919120 -19070 ) ( 1919120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1739120 2656540 ) ( 1739120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1559120 2656540 ) ( 1559120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1379120 2656540 ) ( 1379120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1199120 2656540 ) ( 1199120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1019120 1344015 ) ( 1019120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 839120 2656540 ) ( 839120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 659120 2656540 ) ( 659120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 479120 2656540 ) ( 479120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 299120 2656540 ) ( 299120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 119120 -19070 ) ( 119120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( -22880 -19070 ) ( -22880 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1739120 -19070 ) ( 1739120 2220000 )
NEW met4 3100 + SHAPE STRIPE ( 1559120 -19070 ) ( 1559120 2220000 )
NEW met4 3100 + SHAPE STRIPE ( 1379120 -19070 ) ( 1379120 2220000 )
NEW met4 3100 + SHAPE STRIPE ( 1199120 1344015 ) ( 1199120 2220000 )
NEW met4 3100 + SHAPE STRIPE ( 839120 1344015 ) ( 839120 2220000 )
NEW met4 3100 + SHAPE STRIPE ( 659120 1344015 ) ( 659120 2220000 )
NEW met4 3100 + SHAPE STRIPE ( 479120 1344015 ) ( 479120 2220000 )
NEW met4 3100 + SHAPE STRIPE ( 299120 1344015 ) ( 299120 2220000 )
NEW met4 3100 + SHAPE STRIPE ( 1199120 -19070 ) ( 1199120 420000 )
NEW met4 3100 + SHAPE STRIPE ( 1019120 -19070 ) ( 1019120 420000 )
NEW met4 3100 + SHAPE STRIPE ( 839120 -19070 ) ( 839120 420000 )
NEW met4 3100 + SHAPE STRIPE ( 659120 -19070 ) ( 659120 420000 )
NEW met4 3100 + SHAPE STRIPE ( 479120 -19070 ) ( 479120 420000 )
NEW met4 3100 + SHAPE STRIPE ( 299120 -19070 ) ( 299120 420000 ) ;
END SPECIALNETS
NETS 540 ;
- analog_io[0] ( PIN analog_io[0] ) + USE SIGNAL ;
- analog_io[10] ( PIN analog_io[10] ) + USE SIGNAL ;
- analog_io[11] ( PIN analog_io[11] ) + USE SIGNAL ;
- analog_io[12] ( PIN analog_io[12] ) + USE SIGNAL ;
- analog_io[13] ( PIN analog_io[13] ) + USE SIGNAL ;
- analog_io[14] ( PIN analog_io[14] ) + USE SIGNAL ;
- analog_io[15] ( PIN analog_io[15] ) + USE SIGNAL ;
- analog_io[16] ( PIN analog_io[16] ) + USE SIGNAL ;
- analog_io[17] ( PIN analog_io[17] ) + USE SIGNAL ;
- analog_io[18] ( PIN analog_io[18] ) + USE SIGNAL ;
- analog_io[19] ( PIN analog_io[19] ) + USE SIGNAL ;
- analog_io[1] ( PIN analog_io[1] ) + USE SIGNAL ;
- analog_io[20] ( PIN analog_io[20] ) + USE SIGNAL ;
- analog_io[21] ( PIN analog_io[21] ) + USE SIGNAL ;
- analog_io[22] ( PIN analog_io[22] ) + USE SIGNAL ;
- analog_io[23] ( PIN analog_io[23] ) + USE SIGNAL ;
- analog_io[24] ( PIN analog_io[24] ) + USE SIGNAL ;
- analog_io[25] ( PIN analog_io[25] ) + USE SIGNAL ;
- analog_io[26] ( PIN analog_io[26] ) + USE SIGNAL ;
- analog_io[27] ( PIN analog_io[27] ) + USE SIGNAL ;
- analog_io[28] ( PIN analog_io[28] ) + USE SIGNAL ;
- analog_io[2] ( PIN analog_io[2] ) + USE SIGNAL ;
- analog_io[3] ( PIN analog_io[3] ) + USE SIGNAL ;
- analog_io[4] ( PIN analog_io[4] ) + USE SIGNAL ;
- analog_io[5] ( PIN analog_io[5] ) + USE SIGNAL ;
- analog_io[6] ( PIN analog_io[6] ) + USE SIGNAL ;
- analog_io[7] ( PIN analog_io[7] ) + USE SIGNAL ;
- analog_io[8] ( PIN analog_io[8] ) + USE SIGNAL ;
- analog_io[9] ( PIN analog_io[9] ) + USE SIGNAL ;
- i_dout0\[0\] ( sram dout0[0] ) ( mprj i_dout0[0] ) + USE SIGNAL
+ ROUTED met3 ( 442060 2218500 ) ( 448270 * )
NEW met4 ( 442060 2218500 ) ( * 2228700 )
NEW met4 ( 441630 2228700 ) ( 442060 * )
NEW met4 ( 441630 2228700 ) ( * 2230400 0 )
NEW met2 ( 448270 1361870 ) ( * 2218500 )
NEW met2 ( 950130 1333820 0 ) ( * 1361870 )
NEW met1 ( 448270 1361870 ) ( 950130 * )
NEW met1 ( 448270 1361870 ) M1M2_PR
NEW met2 ( 448270 2218500 ) M2M3_PR_M
NEW met3 ( 442060 2218500 ) M3M4_PR_M
NEW met1 ( 950130 1361870 ) M1M2_PR ;
- i_dout0\[10\] ( sram dout0[10] ) ( mprj i_dout0[10] ) + USE SIGNAL
+ ROUTED met2 ( 571550 2218500 ) ( * 2221220 )
NEW met3 ( 568100 2221220 ) ( 571550 * )
NEW met4 ( 568100 2221220 ) ( * 2228700 )
NEW met4 ( 568100 2228700 ) ( 568110 * )
NEW met4 ( 568110 2228700 ) ( * 2230400 0 )
NEW met2 ( 1080310 419220 ) ( * 430100 0 )
NEW met4 ( 1182660 419220 ) ( * 2159700 )
NEW met4 ( 1182660 2159700 ) ( 1183580 * )
NEW met4 ( 1183580 2159700 ) ( * 2217820 )
NEW met3 ( 571550 2218500 ) ( 614100 * )
NEW met3 ( 614100 2217820 ) ( * 2218500 )
NEW met3 ( 1080310 419220 ) ( 1182660 * )
NEW met3 ( 614100 2217820 ) ( 1183580 * )
NEW met2 ( 571550 2218500 ) M2M3_PR_M
NEW met2 ( 571550 2221220 ) M2M3_PR_M
NEW met3 ( 568100 2221220 ) M3M4_PR_M
NEW met2 ( 1080310 419220 ) M2M3_PR_M
NEW met3 ( 1182660 419220 ) M3M4_PR_M
NEW met3 ( 1183580 2217820 ) M3M4_PR_M ;
- i_dout0\[11\] ( sram dout0[11] ) ( mprj i_dout0[11] ) + USE SIGNAL
+ ROUTED met3 ( 601220 2220540 ) ( * 2221220 )
NEW met3 ( 580060 2221220 ) ( 601220 * )
NEW met4 ( 580060 2221220 ) ( * 2228700 )
NEW met4 ( 580060 2228700 ) ( 580350 * )
NEW met4 ( 580350 2228700 ) ( * 2230400 0 )
NEW met2 ( 1086750 419900 ) ( * 430100 0 )
NEW met4 ( 1187260 419900 ) ( * 2220540 )
NEW met3 ( 1086750 419900 ) ( 1187260 * )
NEW met3 ( 601220 2220540 ) ( 1187260 * )
NEW met3 ( 580060 2221220 ) M3M4_PR_M
NEW met2 ( 1086750 419900 ) M2M3_PR_M
NEW met3 ( 1187260 419900 ) M3M4_PR_M
NEW met3 ( 1187260 2220540 ) M3M4_PR_M ;
- i_dout0\[12\] ( sram dout0[12] ) ( mprj i_dout0[12] ) + USE SIGNAL
+ ROUTED met3 ( 280370 997220 ) ( 300380 * 0 )
NEW met3 ( 587190 2219180 ) ( 592020 * )
NEW met4 ( 592020 2219180 ) ( * 2228700 )
NEW met4 ( 592020 2228700 ) ( 592590 * )
NEW met4 ( 592590 2228700 ) ( * 2230400 0 )
NEW met2 ( 280370 997220 ) ( * 1356430 )
NEW met2 ( 587190 1356430 ) ( * 2219180 )
NEW met1 ( 280370 1356430 ) ( 587190 * )
NEW met2 ( 280370 997220 ) M2M3_PR_M
NEW met1 ( 280370 1356430 ) M1M2_PR
NEW met1 ( 587190 1356430 ) M1M2_PR
NEW met2 ( 587190 2219180 ) M2M3_PR_M
NEW met3 ( 592020 2219180 ) M3M4_PR_M ;
- i_dout0\[13\] ( sram dout0[13] ) ( mprj i_dout0[13] ) + USE SIGNAL
+ ROUTED met3 ( 604900 2221220 ) ( 606970 * )
NEW met4 ( 604900 2221220 ) ( * 2228700 )
NEW met4 ( 604830 2228700 ) ( 604900 * )
NEW met4 ( 604830 2228700 ) ( * 2230400 0 )
NEW met2 ( 1050870 1333820 0 ) ( * 1361190 )
NEW met2 ( 606970 1361190 ) ( * 2221220 )
NEW met1 ( 606970 1361190 ) ( 1050870 * )
NEW met2 ( 606970 2221220 ) M2M3_PR_M
NEW met3 ( 604900 2221220 ) M3M4_PR_M
NEW met1 ( 1050870 1361190 ) M1M2_PR
NEW met1 ( 606970 1361190 ) M1M2_PR ;
- i_dout0\[14\] ( sram dout0[14] ) ( mprj i_dout0[14] ) + USE SIGNAL
+ ROUTED met3 ( 617780 2219180 ) ( 620770 * )
NEW met4 ( 617780 2219180 ) ( * 2228700 )
NEW met4 ( 617750 2228700 ) ( 617780 * )
NEW met4 ( 617750 2228700 ) ( * 2230400 0 )
NEW met1 ( 1106070 419390 ) ( 1195770 * )
NEW met2 ( 1106070 419390 ) ( * 430100 0 )
NEW met2 ( 1195770 419390 ) ( * 1338410 )
NEW met1 ( 619390 1352690 ) ( 620770 * )
NEW met2 ( 619390 1338410 ) ( * 1352690 )
NEW met2 ( 620770 1352690 ) ( * 2219180 )
NEW met1 ( 619390 1338410 ) ( 1195770 * )
NEW met2 ( 620770 2219180 ) M2M3_PR_M
NEW met3 ( 617780 2219180 ) M3M4_PR_M
NEW met1 ( 1106070 419390 ) M1M2_PR
NEW met1 ( 1195770 419390 ) M1M2_PR
NEW met1 ( 1195770 1338410 ) M1M2_PR
NEW met1 ( 620770 1352690 ) M1M2_PR
NEW met1 ( 619390 1352690 ) M1M2_PR
NEW met1 ( 619390 1338410 ) M1M2_PR ;
- i_dout0\[15\] ( sram dout0[15] ) ( mprj i_dout0[15] ) + USE SIGNAL
+ ROUTED met2 ( 1061910 1333820 0 ) ( * 1360510 )
NEW met3 ( 629740 2219180 ) ( 634570 * )
NEW met4 ( 629740 2219180 ) ( * 2228700 )
NEW met4 ( 629740 2228700 ) ( 629990 * )
NEW met4 ( 629990 2228700 ) ( * 2230400 0 )
NEW met2 ( 634570 1360510 ) ( * 2219180 )
NEW met1 ( 634570 1360510 ) ( 1061910 * )
NEW met1 ( 1061910 1360510 ) M1M2_PR
NEW met2 ( 634570 2219180 ) M2M3_PR_M
NEW met3 ( 629740 2219180 ) M3M4_PR_M
NEW met1 ( 634570 1360510 ) M1M2_PR ;
- i_dout0\[16\] ( sram dout0[16] ) ( mprj i_dout0[16] ) + USE SIGNAL
+ ROUTED met1 ( 686550 1355070 ) ( * 1355410 )
NEW met1 ( 648370 1355070 ) ( 686550 * )
NEW met3 ( 641700 2221220 ) ( 648370 * )
NEW met4 ( 641700 2221220 ) ( * 2228700 )
NEW met4 ( 641550 2228700 ) ( 641700 * )
NEW met4 ( 641550 2228700 ) ( * 2230400 0 )
NEW met2 ( 648370 1355070 ) ( * 2221220 )
NEW met3 ( 1192780 1001300 0 ) ( 1198070 * )
NEW met2 ( 1198070 1001300 ) ( * 1355410 )
NEW met1 ( 686550 1355410 ) ( 1198070 * )
NEW met1 ( 648370 1355070 ) M1M2_PR
NEW met2 ( 648370 2221220 ) M2M3_PR_M
NEW met3 ( 641700 2221220 ) M3M4_PR_M
NEW met1 ( 1198070 1355410 ) M1M2_PR
NEW met2 ( 1198070 1001300 ) M2M3_PR_M ;
- i_dout0\[17\] ( sram dout0[17] ) ( mprj i_dout0[17] ) + USE SIGNAL
+ ROUTED met2 ( 1072950 1333820 0 ) ( * 1374110 )
NEW met3 ( 655270 2219180 ) ( 655500 * )
NEW met4 ( 655500 2219180 ) ( * 2228700 )
NEW met4 ( 655150 2228700 ) ( 655500 * )
NEW met4 ( 655150 2228700 ) ( * 2230400 0 )
NEW met1 ( 655270 1374110 ) ( 1072950 * )
NEW met2 ( 655270 1374110 ) ( * 2219180 )
NEW met1 ( 1072950 1374110 ) M1M2_PR
NEW met1 ( 655270 1374110 ) M1M2_PR
NEW met2 ( 655270 2219180 ) M2M3_PR_M
NEW met3 ( 655500 2219180 ) M3M4_PR_M
NEW met3 ( 655270 2219180 ) RECT ( -390 -150 0 150 ) ;
- i_dout0\[18\] ( sram dout0[18] ) ( mprj i_dout0[18] ) + USE SIGNAL
+ ROUTED met1 ( 669070 1353710 ) ( 687010 * )
NEW li1 ( 687010 1353710 ) ( * 1355070 )
NEW met3 ( 667460 2219180 ) ( 669070 * )
NEW met4 ( 667460 2219180 ) ( * 2228700 )
NEW met4 ( 667390 2228700 ) ( 667460 * )
NEW met4 ( 667390 2228700 ) ( * 2230400 0 )
NEW met2 ( 669070 1353710 ) ( * 2219180 )
NEW met3 ( 1192780 1021700 0 ) ( 1198530 * )
NEW met2 ( 1198530 1021700 ) ( * 1355070 )
NEW met1 ( 687010 1355070 ) ( 1198530 * )
NEW met1 ( 669070 1353710 ) M1M2_PR
NEW li1 ( 687010 1353710 ) L1M1_PR_MR
NEW li1 ( 687010 1355070 ) L1M1_PR_MR
NEW met2 ( 669070 2219180 ) M2M3_PR_M
NEW met3 ( 667460 2219180 ) M3M4_PR_M
NEW met1 ( 1198530 1355070 ) M1M2_PR
NEW met2 ( 1198530 1021700 ) M2M3_PR_M ;
- i_dout0\[19\] ( sram dout0[19] ) ( mprj i_dout0[19] ) + USE SIGNAL
+ ROUTED met2 ( 676430 2211190 ) ( * 2221220 )
NEW met3 ( 676430 2221220 ) ( 679420 * )
NEW met4 ( 679420 2221220 ) ( * 2228700 )
NEW met4 ( 679420 2228700 ) ( 679630 * )
NEW met4 ( 679630 2228700 ) ( * 2230400 0 )
NEW met3 ( 282670 1113500 ) ( 300380 * 0 )
NEW met2 ( 282670 1113500 ) ( * 2211190 )
NEW met1 ( 282670 2211190 ) ( 676430 * )
NEW met1 ( 282670 2211190 ) M1M2_PR
NEW met1 ( 676430 2211190 ) M1M2_PR
NEW met2 ( 676430 2221220 ) M2M3_PR_M
NEW met3 ( 679420 2221220 ) M3M4_PR_M
NEW met2 ( 282670 1113500 ) M2M3_PR_M ;
- i_dout0\[1\] ( sram dout0[1] ) ( mprj i_dout0[1] ) + USE SIGNAL
+ ROUTED met4 ( 378580 418540 ) ( * 2218500 )
NEW met3 ( 427350 2217820 ) ( * 2218500 )
NEW met3 ( 427350 2217820 ) ( 454020 * )
NEW met4 ( 454020 2217820 ) ( * 2228700 )
NEW met4 ( 453870 2228700 ) ( 454020 * )
NEW met4 ( 453870 2228700 ) ( * 2230400 0 )
NEW met3 ( 378580 2218500 ) ( 427350 * )
NEW met3 ( 378580 418540 ) ( 1015910 * )
NEW met2 ( 1015910 418540 ) ( * 430100 0 )
NEW met3 ( 378580 418540 ) M3M4_PR_M
NEW met3 ( 378580 2218500 ) M3M4_PR_M
NEW met3 ( 454020 2217820 ) M3M4_PR_M
NEW met2 ( 1015910 418540 ) M2M3_PR_M ;
- i_dout0\[20\] ( sram dout0[20] ) ( mprj i_dout0[20] ) + USE SIGNAL
+ ROUTED met3 ( 692300 2219180 ) ( 696670 * )
NEW met4 ( 692300 2219180 ) ( * 2228700 )
NEW met4 ( 692300 2228700 ) ( 692550 * )
NEW met4 ( 692550 2228700 ) ( * 2230400 0 )
NEW met2 ( 696670 1359150 ) ( * 2219180 )
NEW met3 ( 1192780 1082220 0 ) ( 1198990 * )
NEW met2 ( 1198990 1082220 ) ( * 1359150 )
NEW met1 ( 696670 1359150 ) ( 1198990 * )
NEW met1 ( 696670 1359150 ) M1M2_PR
NEW met2 ( 696670 2219180 ) M2M3_PR_M
NEW met3 ( 692300 2219180 ) M3M4_PR_M
NEW met2 ( 1198990 1082220 ) M2M3_PR_M
NEW met1 ( 1198990 1359150 ) M1M2_PR ;
- i_dout0\[21\] ( sram dout0[21] ) ( mprj i_dout0[21] ) + USE SIGNAL
+ ROUTED met3 ( 705180 2217140 ) ( 710470 * )
NEW met4 ( 705180 2217140 ) ( * 2228700 )
NEW met4 ( 704790 2228700 ) ( 705180 * )
NEW met4 ( 704790 2228700 ) ( * 2230400 0 )
NEW met2 ( 710470 1362210 ) ( * 2217140 )
NEW met2 ( 1106530 1333820 0 ) ( * 1362210 )
NEW met1 ( 710470 1362210 ) ( 1106530 * )
NEW met1 ( 710470 1362210 ) M1M2_PR
NEW met2 ( 710470 2217140 ) M2M3_PR_M
NEW met3 ( 705180 2217140 ) M3M4_PR_M
NEW met1 ( 1106530 1362210 ) M1M2_PR ;
- i_dout0\[22\] ( sram dout0[22] ) ( mprj i_dout0[22] ) + USE SIGNAL
+ ROUTED met3 ( 718060 2219180 ) ( 724270 * )
NEW met4 ( 718060 2219180 ) ( * 2228700 )
NEW met4 ( 717710 2228700 ) ( 718060 * )
NEW met4 ( 717710 2228700 ) ( * 2230400 0 )
NEW met1 ( 1144710 419050 ) ( 1194390 * )
NEW met2 ( 724270 1349970 ) ( * 2219180 )
NEW met2 ( 1144710 419050 ) ( * 430100 0 )
NEW met2 ( 1194390 419050 ) ( * 1349970 )
NEW met1 ( 724270 1349970 ) ( 1194390 * )
NEW met1 ( 724270 1349970 ) M1M2_PR
NEW met2 ( 724270 2219180 ) M2M3_PR_M
NEW met3 ( 718060 2219180 ) M3M4_PR_M
NEW met1 ( 1144710 419050 ) M1M2_PR
NEW met1 ( 1194390 419050 ) M1M2_PR
NEW met1 ( 1194390 1349970 ) M1M2_PR ;
- i_dout0\[23\] ( sram dout0[23] ) ( mprj i_dout0[23] ) + USE SIGNAL
+ ROUTED met1 ( 278990 1186770 ) ( 288650 * )
NEW met2 ( 288650 1182860 ) ( * 1186770 )
NEW met3 ( 288650 1182860 ) ( 300380 * 0 )
NEW met2 ( 278990 1186770 ) ( * 1374450 )
NEW met1 ( 278990 1374450 ) ( 724730 * )
NEW met3 ( 724730 2221220 ) ( 730020 * )
NEW met4 ( 730020 2221220 ) ( * 2228700 )
NEW met4 ( 729950 2228700 ) ( 730020 * )
NEW met4 ( 729950 2228700 ) ( * 2230400 0 )
NEW met2 ( 724730 1374450 ) ( * 2221220 )
NEW met1 ( 278990 1186770 ) M1M2_PR
NEW met1 ( 288650 1186770 ) M1M2_PR
NEW met2 ( 288650 1182860 ) M2M3_PR_M
NEW met1 ( 278990 1374450 ) M1M2_PR
NEW met1 ( 724730 1374450 ) M1M2_PR
NEW met2 ( 724730 2221220 ) M2M3_PR_M
NEW met3 ( 730020 2221220 ) M3M4_PR_M ;
- i_dout0\[24\] ( sram dout0[24] ) ( mprj i_dout0[24] ) + USE SIGNAL
+ ROUTED met2 ( 1151150 415990 ) ( * 430100 0 )
NEW met3 ( 742900 2219180 ) ( 744970 * )
NEW met4 ( 742900 2219180 ) ( * 2228700 )
NEW met4 ( 742870 2228700 ) ( 742900 * )
NEW met4 ( 742870 2228700 ) ( * 2230400 0 )
NEW met1 ( 1151150 415990 ) ( 1199450 * )
NEW met2 ( 744970 1357790 ) ( * 2219180 )
NEW met2 ( 1199450 415990 ) ( * 1357790 )
NEW met1 ( 744970 1357790 ) ( 1199450 * )
NEW met1 ( 1151150 415990 ) M1M2_PR
NEW met1 ( 744970 1357790 ) M1M2_PR
NEW met2 ( 744970 2219180 ) M2M3_PR_M
NEW met3 ( 742900 2219180 ) M3M4_PR_M
NEW met1 ( 1199450 415990 ) M1M2_PR
NEW met1 ( 1199450 1357790 ) M1M2_PR ;
- i_dout0\[25\] ( sram dout0[25] ) ( mprj i_dout0[25] ) + USE SIGNAL
+ ROUTED met3 ( 754860 2219180 ) ( 758770 * )
NEW met4 ( 754860 2219180 ) ( * 2228700 )
NEW met4 ( 754860 2228700 ) ( 755110 * )
NEW met4 ( 755110 2228700 ) ( * 2230400 0 )
NEW met2 ( 758770 1350310 ) ( * 2219180 )
NEW met3 ( 1192780 1202580 0 ) ( 1193930 * )
NEW met2 ( 1193930 1202580 ) ( * 1350310 )
NEW met1 ( 758770 1350310 ) ( 1193930 * )
NEW met1 ( 758770 1350310 ) M1M2_PR
NEW met2 ( 758770 2219180 ) M2M3_PR_M
NEW met3 ( 754860 2219180 ) M3M4_PR_M
NEW met1 ( 1193930 1350310 ) M1M2_PR
NEW met2 ( 1193930 1202580 ) M2M3_PR_M ;
- i_dout0\[26\] ( sram dout0[26] ) ( mprj i_dout0[26] ) + USE SIGNAL
+ ROUTED met3 ( 766130 2221220 ) ( 766820 * )
NEW met4 ( 766820 2221220 ) ( * 2228700 )
NEW met4 ( 766820 2228700 ) ( 767350 * )
NEW met4 ( 767350 2228700 ) ( * 2230400 0 )
NEW met3 ( 297390 1229100 ) ( 300380 * 0 )
NEW met2 ( 297390 1229100 ) ( * 1361530 )
NEW met2 ( 766130 1361530 ) ( * 2221220 )
NEW met1 ( 297390 1361530 ) ( 766130 * )
NEW met1 ( 297390 1361530 ) M1M2_PR
NEW met1 ( 766130 1361530 ) M1M2_PR
NEW met2 ( 766130 2221220 ) M2M3_PR_M
NEW met3 ( 766820 2221220 ) M3M4_PR_M
NEW met2 ( 297390 1229100 ) M2M3_PR_M ;
- i_dout0\[27\] ( sram dout0[27] ) ( mprj i_dout0[27] ) + USE SIGNAL
+ ROUTED met3 ( 779700 2219180 ) ( 786370 * )
NEW met4 ( 779700 2219180 ) ( * 2228700 )
NEW met4 ( 779590 2228700 ) ( 779700 * )
NEW met4 ( 779590 2228700 ) ( * 2230400 0 )
NEW met2 ( 786370 1358130 ) ( * 2219180 )
NEW met2 ( 1151150 1333820 0 ) ( * 1358130 )
NEW met1 ( 786370 1358130 ) ( 1151150 * )
NEW met1 ( 786370 1358130 ) M1M2_PR
NEW met2 ( 786370 2219180 ) M2M3_PR_M
NEW met3 ( 779700 2219180 ) M3M4_PR_M
NEW met1 ( 1151150 1358130 ) M1M2_PR ;
- i_dout0\[28\] ( sram dout0[28] ) ( mprj i_dout0[28] ) + USE SIGNAL
+ ROUTED met3 ( 792580 2219180 ) ( 793270 * )
NEW met4 ( 792580 2219180 ) ( * 2228700 )
NEW met4 ( 792510 2228700 ) ( 792580 * )
NEW met4 ( 792510 2228700 ) ( * 2230400 0 )
NEW li1 ( 1156210 1339770 ) ( * 1341130 )
NEW met2 ( 793270 1339430 ) ( * 2219180 )
NEW met1 ( 793270 1339430 ) ( 807300 * )
NEW met1 ( 807300 1339430 ) ( * 1339770 )
NEW met3 ( 1192780 1262420 0 ) ( 1199910 * )
NEW met1 ( 1156210 1341130 ) ( 1199910 * )
NEW met2 ( 1199910 1262420 ) ( * 1341130 )
NEW met1 ( 966000 1339770 ) ( 1156210 * )
NEW met1 ( 807300 1339770 ) ( 917700 * )
NEW met1 ( 917700 1339090 ) ( * 1339770 )
NEW met1 ( 917700 1339090 ) ( 966000 * )
NEW met1 ( 966000 1339090 ) ( * 1339770 )
NEW met1 ( 793270 1339430 ) M1M2_PR
NEW met2 ( 793270 2219180 ) M2M3_PR_M
NEW met3 ( 792580 2219180 ) M3M4_PR_M
NEW li1 ( 1156210 1339770 ) L1M1_PR_MR
NEW li1 ( 1156210 1341130 ) L1M1_PR_MR
NEW met2 ( 1199910 1262420 ) M2M3_PR_M
NEW met1 ( 1199910 1341130 ) M1M2_PR ;
- i_dout0\[29\] ( sram dout0[29] ) ( mprj i_dout0[29] ) + USE SIGNAL
+ ROUTED met3 ( 804540 2219180 ) ( 807070 * )
NEW met4 ( 804540 2219180 ) ( * 2228700 )
NEW met4 ( 804540 2228700 ) ( 804750 * )
NEW met4 ( 804750 2228700 ) ( * 2230400 0 )
NEW met2 ( 807070 1357110 ) ( * 2219180 )
NEW met2 ( 1179210 1333820 0 ) ( * 1357110 )
NEW met1 ( 807070 1357110 ) ( 1179210 * )
NEW met1 ( 807070 1357110 ) M1M2_PR
NEW met2 ( 807070 2219180 ) M2M3_PR_M
NEW met3 ( 804540 2219180 ) M3M4_PR_M
NEW met1 ( 1179210 1357110 ) M1M2_PR ;
- i_dout0\[2\] ( sram dout0[2] ) ( mprj i_dout0[2] ) + USE SIGNAL
+ ROUTED met3 ( 426420 2217140 ) ( * 2217820 )
NEW met3 ( 426420 2217140 ) ( 468740 * )
NEW met4 ( 468740 2217140 ) ( * 2228700 )
NEW met4 ( 468150 2228700 ) ( 468740 * )
NEW met4 ( 468150 2228700 ) ( * 2230400 0 )
NEW met3 ( 367540 2217820 ) ( 426420 * )
NEW met3 ( 367540 419220 ) ( 1022350 * )
NEW met4 ( 367540 419220 ) ( * 2217820 )
NEW met2 ( 1022350 419220 ) ( * 430100 0 )
NEW met3 ( 367540 419220 ) M3M4_PR_M
NEW met3 ( 367540 2217820 ) M3M4_PR_M
NEW met3 ( 468740 2217140 ) M3M4_PR_M
NEW met2 ( 1022350 419220 ) M2M3_PR_M ;
- i_dout0\[30\] ( sram dout0[30] ) ( mprj i_dout0[30] ) + USE SIGNAL
+ ROUTED met3 ( 817420 2219180 ) ( 820870 * )
NEW met4 ( 817420 2219180 ) ( * 2228700 )
NEW met4 ( 816990 2228700 ) ( 817420 * )
NEW met4 ( 816990 2228700 ) ( * 2230400 0 )
NEW met2 ( 820870 1349630 ) ( * 2219180 )
NEW met3 ( 1192780 1302540 0 ) ( 1200370 * )
NEW met2 ( 1200370 1302540 ) ( * 1349630 )
NEW met1 ( 820870 1349630 ) ( 1200370 * )
NEW met1 ( 820870 1349630 ) M1M2_PR
NEW met2 ( 820870 2219180 ) M2M3_PR_M
NEW met3 ( 817420 2219180 ) M3M4_PR_M
NEW met1 ( 1200370 1349630 ) M1M2_PR
NEW met2 ( 1200370 1302540 ) M2M3_PR_M ;
- i_dout0\[31\] ( sram dout0[31] ) ( mprj i_dout0[31] ) + USE SIGNAL
+ ROUTED met1 ( 834670 2217990 ) ( 845250 * )
NEW met2 ( 834670 2217990 ) ( * 2219180 )
NEW met3 ( 830300 2219180 ) ( 834670 * )
NEW met4 ( 830300 2219180 ) ( * 2228700 )
NEW met4 ( 829910 2228700 ) ( 830300 * )
NEW met4 ( 829910 2228700 ) ( * 2230400 0 )
NEW met2 ( 845250 1337390 ) ( * 2217990 )
NEW met2 ( 1200830 1322940 ) ( * 1337390 )
NEW met3 ( 1192780 1322940 0 ) ( 1200830 * )
NEW met1 ( 845250 1337390 ) ( 1200830 * )
NEW met1 ( 845250 2217990 ) M1M2_PR
NEW met1 ( 834670 2217990 ) M1M2_PR
NEW met2 ( 834670 2219180 ) M2M3_PR_M
NEW met3 ( 830300 2219180 ) M3M4_PR_M
NEW met1 ( 845250 1337390 ) M1M2_PR
NEW met2 ( 1200830 1322940 ) M2M3_PR_M
NEW met1 ( 1200830 1337390 ) M1M2_PR ;
- i_dout0\[3\] ( sram dout0[3] ) ( mprj i_dout0[3] ) + USE SIGNAL
+ ROUTED met3 ( 294630 603500 ) ( 300380 * 0 )
NEW met3 ( 476330 2219860 ) ( 481620 * )
NEW met4 ( 481620 2219860 ) ( * 2228020 )
NEW met4 ( 480390 2228020 ) ( 481620 * )
NEW met4 ( 480390 2228020 ) ( * 2230400 0 )
NEW met2 ( 294630 603500 ) ( * 1335010 )
NEW met2 ( 476330 1335010 ) ( * 2219860 )
NEW met1 ( 294630 1335010 ) ( 476330 * )
NEW met2 ( 294630 603500 ) M2M3_PR_M
NEW met2 ( 476330 2219860 ) M2M3_PR_M
NEW met3 ( 481620 2219860 ) M3M4_PR_M
NEW met1 ( 294630 1335010 ) M1M2_PR
NEW met1 ( 476330 1335010 ) M1M2_PR ;
- i_dout0\[4\] ( sram dout0[4] ) ( mprj i_dout0[4] ) + USE SIGNAL
+ ROUTED met3 ( 492660 2219180 ) ( 496570 * )
NEW met4 ( 492660 2219180 ) ( * 2228020 )
NEW met4 ( 492630 2228020 ) ( 492660 * )
NEW met4 ( 492630 2228020 ) ( * 2230400 0 )
NEW li1 ( 1155750 1339430 ) ( * 1341130 )
NEW li1 ( 1155750 1339430 ) ( 1156670 * )
NEW li1 ( 1156670 1339430 ) ( * 1339770 )
NEW met2 ( 496570 1341130 ) ( * 2219180 )
NEW met1 ( 1156670 1339770 ) ( 1196690 * )
NEW met3 ( 1192780 640220 0 ) ( 1196690 * )
NEW met2 ( 1196690 640220 ) ( * 1339770 )
NEW met1 ( 496570 1341130 ) ( 1155750 * )
NEW met1 ( 496570 1341130 ) M1M2_PR
NEW met2 ( 496570 2219180 ) M2M3_PR_M
NEW met3 ( 492660 2219180 ) M3M4_PR_M
NEW li1 ( 1155750 1341130 ) L1M1_PR_MR
NEW li1 ( 1156670 1339770 ) L1M1_PR_MR
NEW met1 ( 1196690 1339770 ) M1M2_PR
NEW met2 ( 1196690 640220 ) M2M3_PR_M ;
- i_dout0\[5\] ( sram dout0[5] ) ( mprj i_dout0[5] ) + USE SIGNAL
+ ROUTED met3 ( 294170 766020 ) ( 300380 * 0 )
NEW met3 ( 504850 2219180 ) ( 505540 * )
NEW met4 ( 505540 2219180 ) ( * 2228020 )
NEW met4 ( 505540 2228020 ) ( 505550 * )
NEW met4 ( 505550 2228020 ) ( * 2230400 0 )
NEW met2 ( 294170 766020 ) ( * 1335350 )
NEW met2 ( 504850 1335350 ) ( * 2219180 )
NEW met1 ( 294170 1335350 ) ( 504850 * )
NEW met2 ( 294170 766020 ) M2M3_PR_M
NEW met2 ( 504850 2219180 ) M2M3_PR_M
NEW met3 ( 505540 2219180 ) M3M4_PR_M
NEW met1 ( 294170 1335350 ) M1M2_PR
NEW met1 ( 504850 1335350 ) M1M2_PR ;
- i_dout0\[6\] ( sram dout0[6] ) ( mprj i_dout0[6] ) + USE SIGNAL
+ ROUTED met4 ( 391460 420580 ) ( * 1355580 )
NEW met2 ( 1054550 420580 ) ( * 430100 0 )
NEW met3 ( 391460 1355580 ) ( 517730 * )
NEW met3 ( 517730 2220540 ) ( 518420 * )
NEW met4 ( 518420 2220540 ) ( * 2228700 )
NEW met4 ( 517790 2228700 ) ( 518420 * )
NEW met4 ( 517790 2228700 ) ( * 2230400 0 )
NEW met3 ( 391460 420580 ) ( 1054550 * )
NEW met2 ( 517730 1355580 ) ( * 2220540 )
NEW met3 ( 391460 420580 ) M3M4_PR_M
NEW met3 ( 391460 1355580 ) M3M4_PR_M
NEW met2 ( 1054550 420580 ) M2M3_PR_M
NEW met2 ( 517730 1355580 ) M2M3_PR_M
NEW met2 ( 517730 2220540 ) M2M3_PR_M
NEW met3 ( 518420 2220540 ) M3M4_PR_M ;
- i_dout0\[7\] ( sram dout0[7] ) ( mprj i_dout0[7] ) + USE SIGNAL
+ ROUTED met3 ( 530380 2219180 ) ( 530610 * )
NEW met4 ( 530380 2219180 ) ( * 2228700 )
NEW met4 ( 530030 2228700 ) ( 530380 * )
NEW met4 ( 530030 2228700 ) ( * 2230400 0 )
NEW met2 ( 1201750 700060 ) ( * 700230 )
NEW met1 ( 1201750 700230 ) ( 1209110 * )
NEW met3 ( 1192780 700060 0 ) ( 1201750 * )
NEW met1 ( 530610 1378870 ) ( 1209110 * )
NEW met2 ( 530610 1378870 ) ( * 2219180 )
NEW met2 ( 1209110 700230 ) ( * 1378870 )
NEW met1 ( 530610 1378870 ) M1M2_PR
NEW met2 ( 530610 2219180 ) M2M3_PR_M
NEW met3 ( 530380 2219180 ) M3M4_PR_M
NEW met2 ( 1201750 700060 ) M2M3_PR_M
NEW met1 ( 1201750 700230 ) M1M2_PR
NEW met1 ( 1209110 700230 ) M1M2_PR
NEW met1 ( 1209110 1378870 ) M1M2_PR
NEW met3 ( 530610 2219180 ) RECT ( 0 -150 390 150 ) ;
- i_dout0\[8\] ( sram dout0[8] ) ( mprj i_dout0[8] ) + USE SIGNAL
+ ROUTED met3 ( 541420 2219860 ) ( 544410 * )
NEW met4 ( 541420 2219860 ) ( * 2228700 )
NEW met4 ( 541420 2228700 ) ( 541590 * )
NEW met4 ( 541590 2228700 ) ( * 2230400 0 )
NEW met1 ( 544410 1375130 ) ( 1028330 * )
NEW met2 ( 544410 1375130 ) ( * 2219860 )
NEW met2 ( 1028330 1333820 0 ) ( * 1375130 )
NEW met1 ( 544410 1375130 ) M1M2_PR
NEW met2 ( 544410 2219860 ) M2M3_PR_M
NEW met3 ( 541420 2219860 ) M3M4_PR_M
NEW met1 ( 1028330 1375130 ) M1M2_PR ;
- i_dout0\[9\] ( sram dout0[9] ) ( mprj i_dout0[9] ) + USE SIGNAL
+ ROUTED met3 ( 555220 2219860 ) ( 558210 * )
NEW met4 ( 555220 2219860 ) ( * 2228700 )
NEW met4 ( 555190 2228700 ) ( 555220 * )
NEW met4 ( 555190 2228700 ) ( * 2230400 0 )
NEW met1 ( 558210 1374790 ) ( 1039830 * )
NEW met2 ( 558210 1374790 ) ( * 2219860 )
NEW met2 ( 1039830 1333820 0 ) ( * 1374790 )
NEW met1 ( 558210 1374790 ) M1M2_PR
NEW met2 ( 558210 2219860 ) M2M3_PR_M
NEW met3 ( 555220 2219860 ) M3M4_PR_M
NEW met1 ( 1039830 1374790 ) M1M2_PR ;
- i_dout0_1\[0\] ( sram1 dout0[0] ) ( mprj i_dout0_1[0] ) + USE SIGNAL
+ ROUTED met2 ( 1206350 499460 ) ( * 501670 )
NEW met1 ( 1206350 501670 ) ( 1231650 * )
NEW met3 ( 1192780 499460 0 ) ( 1206350 * )
NEW met3 ( 1236710 2219180 ) ( 1241540 * )
NEW met4 ( 1241540 2219180 ) ( * 2228020 )
NEW met4 ( 1241540 2228020 ) ( 1241630 * )
NEW met4 ( 1241630 2228020 ) ( * 2230400 0 )
NEW met1 ( 1231650 2187390 ) ( 1236710 * )
NEW met2 ( 1231650 501670 ) ( * 2187390 )
NEW met2 ( 1236710 2187390 ) ( * 2219180 )
NEW met2 ( 1206350 499460 ) M2M3_PR_M
NEW met1 ( 1206350 501670 ) M1M2_PR
NEW met1 ( 1231650 501670 ) M1M2_PR
NEW met2 ( 1236710 2219180 ) M2M3_PR_M
NEW met3 ( 1241540 2219180 ) M3M4_PR_M
NEW met1 ( 1231650 2187390 ) M1M2_PR
NEW met1 ( 1236710 2187390 ) M1M2_PR ;
- i_dout0_1\[10\] ( sram1 dout0[10] ) ( mprj i_dout0_1[10] ) + USE SIGNAL
+ ROUTED met3 ( 1366890 2219180 ) ( 1367580 * )
NEW met4 ( 1367580 2219180 ) ( * 2228020 )
NEW met4 ( 1367580 2228020 ) ( 1368110 * )
NEW met4 ( 1368110 2228020 ) ( * 2230400 0 )
NEW met3 ( 281750 927860 ) ( 300380 * 0 )
NEW met2 ( 281750 927860 ) ( * 1376830 )
NEW met2 ( 1366890 1376830 ) ( * 2219180 )
NEW met1 ( 281750 1376830 ) ( 1366890 * )
NEW met1 ( 281750 1376830 ) M1M2_PR
NEW met1 ( 1366890 1376830 ) M1M2_PR
NEW met2 ( 1366890 2219180 ) M2M3_PR_M
NEW met3 ( 1367580 2219180 ) M3M4_PR_M
NEW met2 ( 281750 927860 ) M2M3_PR_M ;
- i_dout0_1\[11\] ( sram1 dout0[11] ) ( mprj i_dout0_1[11] ) + USE SIGNAL
+ ROUTED met3 ( 280830 974100 ) ( 300380 * 0 )
NEW met3 ( 1380460 2221220 ) ( 1380690 * )
NEW met4 ( 1380460 2221220 ) ( * 2228020 )
NEW met4 ( 1380350 2228020 ) ( 1380460 * )
NEW met4 ( 1380350 2228020 ) ( * 2230400 0 )
NEW met2 ( 280830 974100 ) ( * 1372070 )
NEW met2 ( 1380690 1372070 ) ( * 2221220 )
NEW met1 ( 280830 1372070 ) ( 1380690 * )
NEW met2 ( 280830 974100 ) M2M3_PR_M
NEW met1 ( 280830 1372070 ) M1M2_PR
NEW met1 ( 1380690 1372070 ) M1M2_PR
NEW met2 ( 1380690 2221220 ) M2M3_PR_M
NEW met3 ( 1380460 2221220 ) M3M4_PR_M
NEW met3 ( 1380690 2221220 ) RECT ( 0 -150 390 150 ) ;
- i_dout0_1\[12\] ( sram1 dout0[12] ) ( mprj i_dout0_1[12] ) + USE SIGNAL
+ ROUTED met2 ( 1206810 880940 ) ( * 883150 )
NEW met3 ( 1192780 880940 0 ) ( 1206810 * )
NEW met1 ( 1206810 883150 ) ( 1387590 * )
NEW met3 ( 1387590 2219180 ) ( 1392420 * )
NEW met4 ( 1392420 2219180 ) ( * 2228020 )
NEW met4 ( 1392420 2228020 ) ( 1392590 * )
NEW met4 ( 1392590 2228020 ) ( * 2230400 0 )
NEW met2 ( 1387590 883150 ) ( * 2219180 )
NEW met2 ( 1206810 880940 ) M2M3_PR_M
NEW met1 ( 1206810 883150 ) M1M2_PR
NEW met1 ( 1387590 883150 ) M1M2_PR
NEW met2 ( 1387590 2219180 ) M2M3_PR_M
NEW met3 ( 1392420 2219180 ) M3M4_PR_M ;
- i_dout0_1\[13\] ( sram1 dout0[13] ) ( mprj i_dout0_1[13] ) + USE SIGNAL
+ ROUTED met2 ( 1376550 1358470 ) ( * 2215610 )
NEW met1 ( 1045350 1358470 ) ( 1376550 * )
NEW met2 ( 1400930 2215610 ) ( * 2215780 )
NEW met3 ( 1400930 2215780 ) ( 1404380 * )
NEW met4 ( 1404380 2215780 ) ( * 2228020 )
NEW met4 ( 1404380 2228020 ) ( 1404830 * )
NEW met4 ( 1404830 2228020 ) ( * 2230400 0 )
NEW met1 ( 1376550 2215610 ) ( 1400930 * )
NEW met2 ( 1045350 1333820 0 ) ( * 1358470 )
NEW met1 ( 1376550 1358470 ) M1M2_PR
NEW met1 ( 1376550 2215610 ) M1M2_PR
NEW met1 ( 1045350 1358470 ) M1M2_PR
NEW met1 ( 1400930 2215610 ) M1M2_PR
NEW met2 ( 1400930 2215780 ) M2M3_PR_M
NEW met3 ( 1404380 2215780 ) M3M4_PR_M ;
- i_dout0_1\[14\] ( sram1 dout0[14] ) ( mprj i_dout0_1[14] ) + USE SIGNAL
+ ROUTED met1 ( 292330 1342830 ) ( 310730 * )
NEW li1 ( 310730 1342150 ) ( * 1342830 )
NEW met3 ( 292330 1044140 ) ( 300380 * 0 )
NEW met2 ( 292330 1044140 ) ( * 1342830 )
NEW met1 ( 348450 1341810 ) ( * 1342150 )
NEW met1 ( 310730 1342150 ) ( 348450 * )
NEW met3 ( 1414730 2221220 ) ( 1417260 * )
NEW met4 ( 1417260 2221220 ) ( * 2228020 )
NEW met4 ( 1417260 2228020 ) ( 1417750 * )
NEW met4 ( 1417750 2228020 ) ( * 2230400 0 )
NEW met2 ( 1414730 1341810 ) ( * 2221220 )
NEW met1 ( 348450 1341810 ) ( 1414730 * )
NEW met1 ( 292330 1342830 ) M1M2_PR
NEW li1 ( 310730 1342830 ) L1M1_PR_MR
NEW li1 ( 310730 1342150 ) L1M1_PR_MR
NEW met2 ( 292330 1044140 ) M2M3_PR_M
NEW met1 ( 1414730 1341810 ) M1M2_PR
NEW met2 ( 1414730 2221220 ) M2M3_PR_M
NEW met3 ( 1417260 2221220 ) M3M4_PR_M ;
- i_dout0_1\[15\] ( sram1 dout0[15] ) ( mprj i_dout0_1[15] ) + USE SIGNAL
+ ROUTED met2 ( 1056390 1333820 0 ) ( * 1357450 )
NEW met1 ( 1056390 1357450 ) ( 1428530 * )
NEW met3 ( 1428530 2219180 ) ( 1430140 * )
NEW met4 ( 1430140 2219180 ) ( * 2228020 )
NEW met4 ( 1429990 2228020 ) ( 1430140 * )
NEW met4 ( 1429990 2228020 ) ( * 2230400 0 )
NEW met2 ( 1428530 1357450 ) ( * 2219180 )
NEW met1 ( 1056390 1357450 ) M1M2_PR
NEW met1 ( 1428530 1357450 ) M1M2_PR
NEW met2 ( 1428530 2219180 ) M2M3_PR_M
NEW met3 ( 1430140 2219180 ) M3M4_PR_M ;
- i_dout0_1\[16\] ( sram1 dout0[16] ) ( mprj i_dout0_1[16] ) + USE SIGNAL
+ ROUTED met2 ( 1437270 2215270 ) ( * 2218500 )
NEW met3 ( 1437270 2218500 ) ( 1441180 * )
NEW met4 ( 1441180 2218500 ) ( * 2228700 )
NEW met4 ( 1441180 2228700 ) ( 1441550 * )
NEW met4 ( 1441550 2228700 ) ( * 2230400 0 )
NEW met2 ( 1206810 981580 ) ( * 986510 )
NEW met3 ( 1192780 981580 0 ) ( 1206810 * )
NEW met1 ( 1206810 986510 ) ( 1404150 * )
NEW met1 ( 1404150 2215270 ) ( 1437270 * )
NEW met2 ( 1404150 986510 ) ( * 2215270 )
NEW met1 ( 1437270 2215270 ) M1M2_PR
NEW met2 ( 1437270 2218500 ) M2M3_PR_M
NEW met3 ( 1441180 2218500 ) M3M4_PR_M
NEW met2 ( 1206810 981580 ) M2M3_PR_M
NEW met1 ( 1206810 986510 ) M1M2_PR
NEW met1 ( 1404150 986510 ) M1M2_PR
NEW met1 ( 1404150 2215270 ) M1M2_PR ;
- i_dout0_1\[17\] ( sram1 dout0[17] ) ( mprj i_dout0_1[17] ) + USE SIGNAL
+ ROUTED met2 ( 1449230 2216290 ) ( * 2216460 )
NEW met3 ( 1449230 2216460 ) ( 1454980 * )
NEW met4 ( 1454980 2216460 ) ( * 2228700 )
NEW met4 ( 1454980 2228700 ) ( 1455150 * )
NEW met4 ( 1455150 2228700 ) ( * 2230400 0 )
NEW met1 ( 1125390 415650 ) ( 1411050 * )
NEW met1 ( 1411050 2216290 ) ( 1449230 * )
NEW met2 ( 1125390 415650 ) ( * 430100 0 )
NEW met2 ( 1411050 415650 ) ( * 2216290 )
NEW met1 ( 1449230 2216290 ) M1M2_PR
NEW met2 ( 1449230 2216460 ) M2M3_PR_M
NEW met3 ( 1454980 2216460 ) M3M4_PR_M
NEW met1 ( 1125390 415650 ) M1M2_PR
NEW met1 ( 1411050 415650 ) M1M2_PR
NEW met1 ( 1411050 2216290 ) M1M2_PR ;
- i_dout0_1\[18\] ( sram1 dout0[18] ) ( mprj i_dout0_1[18] ) + USE SIGNAL
+ ROUTED met1 ( 1084450 1347590 ) ( 1090430 * )
NEW met2 ( 1090430 1347590 ) ( * 1356770 )
NEW met3 ( 1463030 2219180 ) ( 1466940 * )
NEW met4 ( 1466940 2219180 ) ( * 2228700 )
NEW met4 ( 1466940 2228700 ) ( 1467390 * )
NEW met4 ( 1467390 2228700 ) ( * 2230400 0 )
NEW met2 ( 1084450 1333820 0 ) ( * 1347590 )
NEW met2 ( 1463030 1356770 ) ( * 2219180 )
NEW met1 ( 1090430 1356770 ) ( 1463030 * )
NEW met1 ( 1084450 1347590 ) M1M2_PR
NEW met1 ( 1090430 1347590 ) M1M2_PR
NEW met1 ( 1090430 1356770 ) M1M2_PR
NEW met1 ( 1463030 1356770 ) M1M2_PR
NEW met2 ( 1463030 2219180 ) M2M3_PR_M
NEW met3 ( 1466940 2219180 ) M3M4_PR_M ;
- i_dout0_1\[19\] ( sram1 dout0[19] ) ( mprj i_dout0_1[19] ) + USE SIGNAL
+ ROUTED met2 ( 1476830 2214930 ) ( * 2215100 )
NEW met3 ( 1476830 2215100 ) ( 1479820 * )
NEW met4 ( 1479820 2215100 ) ( * 2228700 )
NEW met4 ( 1479630 2228700 ) ( 1479820 * )
NEW met4 ( 1479630 2228700 ) ( * 2230400 0 )
NEW met2 ( 1206810 1061820 ) ( * 1062330 )
NEW met3 ( 1192780 1061820 0 ) ( 1206810 * )
NEW met1 ( 1206810 1062330 ) ( 1424850 * )
NEW met1 ( 1424850 2214930 ) ( 1476830 * )
NEW met2 ( 1424850 1062330 ) ( * 2214930 )
NEW met1 ( 1476830 2214930 ) M1M2_PR
NEW met2 ( 1476830 2215100 ) M2M3_PR_M
NEW met3 ( 1479820 2215100 ) M3M4_PR_M
NEW met2 ( 1206810 1061820 ) M2M3_PR_M
NEW met1 ( 1206810 1062330 ) M1M2_PR
NEW met1 ( 1424850 1062330 ) M1M2_PR
NEW met1 ( 1424850 2214930 ) M1M2_PR ;
- i_dout0_1\[1\] ( sram1 dout0[1] ) ( mprj i_dout0_1[1] ) + USE SIGNAL
+ ROUTED met3 ( 1249590 2217820 ) ( 1253500 * )
NEW met4 ( 1253500 2217820 ) ( * 2228020 )
NEW met4 ( 1253500 2228020 ) ( 1253870 * )
NEW met4 ( 1253870 2228020 ) ( * 2230400 0 )
NEW met2 ( 1249590 417010 ) ( * 2217820 )
NEW met1 ( 1009470 417010 ) ( 1249590 * )
NEW met2 ( 1009470 417010 ) ( * 430100 0 )
NEW met1 ( 1249590 417010 ) M1M2_PR
NEW met2 ( 1249590 2217820 ) M2M3_PR_M
NEW met3 ( 1253500 2217820 ) M3M4_PR_M
NEW met1 ( 1009470 417010 ) M1M2_PR ;
- i_dout0_1\[20\] ( sram1 dout0[20] ) ( mprj i_dout0_1[20] ) + USE SIGNAL
+ ROUTED met3 ( 279450 1136620 ) ( 300380 * 0 )
NEW met2 ( 279450 1136620 ) ( * 1363910 )
NEW met1 ( 279450 1363910 ) ( 324300 * )
NEW met1 ( 324300 1363910 ) ( * 1364250 )
NEW met3 ( 1490630 2219180 ) ( 1492700 * )
NEW met4 ( 1492700 2219180 ) ( * 2228700 )
NEW met4 ( 1492550 2228700 ) ( 1492700 * )
NEW met4 ( 1492550 2228700 ) ( * 2230400 0 )
NEW met2 ( 1490630 1364250 ) ( * 2219180 )
NEW met1 ( 324300 1364250 ) ( 1490630 * )
NEW met1 ( 279450 1363910 ) M1M2_PR
NEW met2 ( 279450 1136620 ) M2M3_PR_M
NEW met1 ( 1490630 1364250 ) M1M2_PR
NEW met2 ( 1490630 2219180 ) M2M3_PR_M
NEW met3 ( 1492700 2219180 ) M3M4_PR_M ;
- i_dout0_1\[21\] ( sram1 dout0[21] ) ( mprj i_dout0_1[21] ) + USE SIGNAL
+ ROUTED met1 ( 1138270 416670 ) ( 1431750 * )
NEW met2 ( 1504430 2217310 ) ( * 2221220 )
NEW met3 ( 1504430 2221220 ) ( 1504660 * )
NEW met4 ( 1504660 2221220 ) ( * 2228700 )
NEW met4 ( 1504660 2228700 ) ( 1504790 * )
NEW met4 ( 1504790 2228700 ) ( * 2230400 0 )
NEW met1 ( 1431750 2217310 ) ( 1504430 * )
NEW met2 ( 1138270 416670 ) ( * 430100 0 )
NEW met2 ( 1431750 416670 ) ( * 2217310 )
NEW met1 ( 1138270 416670 ) M1M2_PR
NEW met1 ( 1431750 416670 ) M1M2_PR
NEW met1 ( 1431750 2217310 ) M1M2_PR
NEW met1 ( 1504430 2217310 ) M1M2_PR
NEW met2 ( 1504430 2221220 ) M2M3_PR_M
NEW met3 ( 1504660 2221220 ) M3M4_PR_M
NEW met3 ( 1504430 2221220 ) RECT ( -390 -150 0 150 ) ;
- i_dout0_1\[22\] ( sram1 dout0[22] ) ( mprj i_dout0_1[22] ) + USE SIGNAL
+ ROUTED met2 ( 1438650 1124550 ) ( * 2216630 )
NEW met2 ( 1511330 2216630 ) ( * 2217140 )
NEW met3 ( 1511330 2217140 ) ( 1517540 * )
NEW met4 ( 1517540 2217140 ) ( * 2228700 )
NEW met4 ( 1517540 2228700 ) ( 1517710 * )
NEW met4 ( 1517710 2228700 ) ( * 2230400 0 )
NEW met1 ( 1438650 2216630 ) ( 1511330 * )
NEW met2 ( 1206810 1122340 ) ( * 1124550 )
NEW met3 ( 1192780 1122340 0 ) ( 1206810 * )
NEW met1 ( 1206810 1124550 ) ( 1438650 * )
NEW met1 ( 1438650 2216630 ) M1M2_PR
NEW met1 ( 1438650 1124550 ) M1M2_PR
NEW met1 ( 1511330 2216630 ) M1M2_PR
NEW met2 ( 1511330 2217140 ) M2M3_PR_M
NEW met3 ( 1517540 2217140 ) M3M4_PR_M
NEW met2 ( 1206810 1122340 ) M2M3_PR_M
NEW met1 ( 1206810 1124550 ) M1M2_PR ;
- i_dout0_1\[23\] ( sram1 dout0[23] ) ( mprj i_dout0_1[23] ) + USE SIGNAL
+ ROUTED met2 ( 1445550 1145290 ) ( * 2215270 )
NEW met2 ( 1525130 2215270 ) ( * 2215780 )
NEW met3 ( 1525130 2215780 ) ( 1529500 * )
NEW met4 ( 1529500 2215780 ) ( * 2228700 )
NEW met4 ( 1529500 2228700 ) ( 1529950 * )
NEW met4 ( 1529950 2228700 ) ( * 2230400 0 )
NEW met1 ( 1445550 2215270 ) ( 1525130 * )
NEW met2 ( 1206810 1142060 ) ( * 1145290 )
NEW met3 ( 1192780 1142060 0 ) ( 1206810 * )
NEW met1 ( 1206810 1145290 ) ( 1445550 * )
NEW met1 ( 1445550 2215270 ) M1M2_PR
NEW met1 ( 1445550 1145290 ) M1M2_PR
NEW met1 ( 1525130 2215270 ) M1M2_PR
NEW met2 ( 1525130 2215780 ) M2M3_PR_M
NEW met3 ( 1529500 2215780 ) M3M4_PR_M
NEW met2 ( 1206810 1142060 ) M2M3_PR_M
NEW met1 ( 1206810 1145290 ) M1M2_PR ;
- i_dout0_1\[24\] ( sram1 dout0[24] ) ( mprj i_dout0_1[24] ) + USE SIGNAL
+ ROUTED met2 ( 1538930 2216290 ) ( * 2220540 )
NEW met3 ( 1538930 2220540 ) ( 1542380 * )
NEW met4 ( 1542380 2220540 ) ( * 2228700 )
NEW met4 ( 1542380 2228700 ) ( 1542870 * )
NEW met4 ( 1542870 2228700 ) ( * 2230400 0 )
NEW met2 ( 1459350 1166030 ) ( * 2216290 )
NEW met2 ( 1206810 1162460 ) ( * 1166030 )
NEW met3 ( 1192780 1162460 0 ) ( 1206810 * )
NEW met1 ( 1206810 1166030 ) ( 1459350 * )
NEW met1 ( 1459350 2216290 ) ( 1538930 * )
NEW met1 ( 1459350 1166030 ) M1M2_PR
NEW met1 ( 1459350 2216290 ) M1M2_PR
NEW met1 ( 1538930 2216290 ) M1M2_PR
NEW met2 ( 1538930 2220540 ) M2M3_PR_M
NEW met3 ( 1542380 2220540 ) M3M4_PR_M
NEW met2 ( 1206810 1162460 ) M2M3_PR_M
NEW met1 ( 1206810 1166030 ) M1M2_PR ;
- i_dout0_1\[25\] ( sram1 dout0[25] ) ( mprj i_dout0_1[25] ) + USE SIGNAL
+ ROUTED met2 ( 1552730 2216970 ) ( * 2218500 )
NEW met3 ( 1552730 2218500 ) ( 1555260 * )
NEW met4 ( 1555260 2218500 ) ( * 2228700 )
NEW met4 ( 1555110 2228700 ) ( 1555260 * )
NEW met4 ( 1555110 2228700 ) ( * 2230400 0 )
NEW met2 ( 1157590 416330 ) ( * 430100 0 )
NEW met2 ( 1480050 416330 ) ( * 2216970 )
NEW met1 ( 1157590 416330 ) ( 1480050 * )
NEW met1 ( 1480050 2216970 ) ( 1552730 * )
NEW met1 ( 1157590 416330 ) M1M2_PR
NEW met1 ( 1480050 416330 ) M1M2_PR
NEW met1 ( 1480050 2216970 ) M1M2_PR
NEW met1 ( 1552730 2216970 ) M1M2_PR
NEW met2 ( 1552730 2218500 ) M2M3_PR_M
NEW met3 ( 1555260 2218500 ) M3M4_PR_M ;
- i_dout0_1\[26\] ( sram1 dout0[26] ) ( mprj i_dout0_1[26] ) + USE SIGNAL
+ ROUTED met2 ( 1566530 2214930 ) ( * 2215100 )
NEW met3 ( 1566530 2215100 ) ( 1567220 * )
NEW met4 ( 1567220 2215100 ) ( * 2228700 )
NEW met4 ( 1567220 2228700 ) ( 1567350 * )
NEW met4 ( 1567350 2228700 ) ( * 2230400 0 )
NEW met1 ( 1500750 2214930 ) ( 1566530 * )
NEW met2 ( 1206810 1222300 ) ( * 1227570 )
NEW met3 ( 1192780 1222300 0 ) ( 1206810 * )
NEW met1 ( 1206810 1227570 ) ( 1500750 * )
NEW met2 ( 1500750 1227570 ) ( * 2214930 )
NEW met1 ( 1566530 2214930 ) M1M2_PR
NEW met2 ( 1566530 2215100 ) M2M3_PR_M
NEW met3 ( 1567220 2215100 ) M3M4_PR_M
NEW met1 ( 1500750 2214930 ) M1M2_PR
NEW met2 ( 1206810 1222300 ) M2M3_PR_M
NEW met1 ( 1206810 1227570 ) M1M2_PR
NEW met1 ( 1500750 1227570 ) M1M2_PR ;
- i_dout0_1\[27\] ( sram1 dout0[27] ) ( mprj i_dout0_1[27] ) + USE SIGNAL
+ ROUTED met2 ( 1573430 2217310 ) ( * 2221220 )
NEW met3 ( 1573430 2221220 ) ( 1579180 * )
NEW met4 ( 1579180 2221220 ) ( * 2228700 )
NEW met4 ( 1579180 2228700 ) ( 1579590 * )
NEW met4 ( 1579590 2228700 ) ( * 2230400 0 )
NEW met2 ( 1206810 1242700 ) ( * 1248650 )
NEW met3 ( 1192780 1242700 0 ) ( 1206810 * )
NEW met1 ( 1206810 1248650 ) ( 1514550 * )
NEW met1 ( 1514550 2217310 ) ( 1573430 * )
NEW met2 ( 1514550 1248650 ) ( * 2217310 )
NEW met1 ( 1573430 2217310 ) M1M2_PR
NEW met2 ( 1573430 2221220 ) M2M3_PR_M
NEW met3 ( 1579180 2221220 ) M3M4_PR_M
NEW met2 ( 1206810 1242700 ) M2M3_PR_M
NEW met1 ( 1206810 1248650 ) M1M2_PR
NEW met1 ( 1514550 1248650 ) M1M2_PR
NEW met1 ( 1514550 2217310 ) M1M2_PR ;
- i_dout0_1\[28\] ( sram1 dout0[28] ) ( mprj i_dout0_1[28] ) + USE SIGNAL
+ ROUTED met2 ( 1168170 1333820 0 ) ( * 1348610 )
NEW met2 ( 1287310 1348610 ) ( * 2215950 )
NEW met1 ( 1168170 1348610 ) ( 1287310 * )
NEW met2 ( 1587230 2215950 ) ( * 2216460 )
NEW met3 ( 1587230 2216460 ) ( 1592060 * )
NEW met4 ( 1592060 2216460 ) ( * 2228700 )
NEW met4 ( 1592060 2228700 ) ( 1592510 * )
NEW met4 ( 1592510 2228700 ) ( * 2230400 0 )
NEW met1 ( 1287310 2215950 ) ( 1587230 * )
NEW met1 ( 1168170 1348610 ) M1M2_PR
NEW met1 ( 1287310 1348610 ) M1M2_PR
NEW met1 ( 1287310 2215950 ) M1M2_PR
NEW met1 ( 1587230 2215950 ) M1M2_PR
NEW met2 ( 1587230 2216460 ) M2M3_PR_M
NEW met3 ( 1592060 2216460 ) M3M4_PR_M ;
- i_dout0_1\[29\] ( sram1 dout0[29] ) ( mprj i_dout0_1[29] ) + USE SIGNAL
+ ROUTED met3 ( 289110 1252220 ) ( 300380 * 0 )
NEW met1 ( 289110 1324810 ) ( 297850 * )
NEW met2 ( 297850 1324810 ) ( * 1338600 )
NEW met2 ( 297850 1338600 ) ( 298310 * )
NEW met2 ( 289110 1252220 ) ( * 1324810 )
NEW met2 ( 298310 1338600 ) ( * 2221050 )
NEW met2 ( 1601030 2221050 ) ( * 2221220 )
NEW met3 ( 1601030 2221220 ) ( 1604940 * )
NEW met4 ( 1604940 2221220 ) ( * 2228700 )
NEW met4 ( 1604750 2228700 ) ( 1604940 * )
NEW met4 ( 1604750 2228700 ) ( * 2230400 0 )
NEW met1 ( 298310 2221050 ) ( 1601030 * )
NEW met2 ( 289110 1252220 ) M2M3_PR_M
NEW met1 ( 298310 2221050 ) M1M2_PR
NEW met1 ( 289110 1324810 ) M1M2_PR
NEW met1 ( 297850 1324810 ) M1M2_PR
NEW met1 ( 1601030 2221050 ) M1M2_PR
NEW met2 ( 1601030 2221220 ) M2M3_PR_M
NEW met3 ( 1604940 2221220 ) M3M4_PR_M ;
- i_dout0_1\[2\] ( sram1 dout0[2] ) ( mprj i_dout0_1[2] ) + USE SIGNAL
+ ROUTED met3 ( 1263390 2219860 ) ( 1268220 * )
NEW met4 ( 1268220 2219860 ) ( * 2228020 )
NEW met4 ( 1268150 2228020 ) ( 1268220 * )
NEW met4 ( 1268150 2228020 ) ( * 2230400 0 )
NEW met2 ( 1263390 1721590 ) ( * 2219860 )
NEW met1 ( 979570 1721590 ) ( 1263390 * )
NEW met2 ( 979570 1366200 ) ( * 1721590 )
NEW met2 ( 978190 1333820 0 ) ( * 1366200 )
NEW met2 ( 978190 1366200 ) ( 979570 * )
NEW met2 ( 1263390 2219860 ) M2M3_PR_M
NEW met3 ( 1268220 2219860 ) M3M4_PR_M
NEW met1 ( 979570 1721590 ) M1M2_PR
NEW met1 ( 1263390 1721590 ) M1M2_PR ;
- i_dout0_1\[30\] ( sram1 dout0[30] ) ( mprj i_dout0_1[30] ) + USE SIGNAL
+ ROUTED met2 ( 1176910 414970 ) ( * 430100 0 )
NEW met1 ( 1176910 414970 ) ( 1521450 * )
NEW met2 ( 1614830 2215610 ) ( * 2217140 )
NEW met3 ( 1614830 2217140 ) ( 1616900 * )
NEW met4 ( 1616900 2217140 ) ( * 2228700 )
NEW met4 ( 1616900 2228700 ) ( 1616990 * )
NEW met4 ( 1616990 2228700 ) ( * 2230400 0 )
NEW met1 ( 1521450 2215610 ) ( 1614830 * )
NEW met2 ( 1521450 414970 ) ( * 2215610 )
NEW met1 ( 1176910 414970 ) M1M2_PR
NEW met1 ( 1521450 414970 ) M1M2_PR
NEW met1 ( 1521450 2215610 ) M1M2_PR
NEW met1 ( 1614830 2215610 ) M1M2_PR
NEW met2 ( 1614830 2217140 ) M2M3_PR_M
NEW met3 ( 1616900 2217140 ) M3M4_PR_M ;
- i_dout0_1\[31\] ( sram1 dout0[31] ) ( mprj i_dout0_1[31] ) + USE SIGNAL
+ ROUTED met2 ( 1629090 2215270 ) ( * 2221220 )
NEW met3 ( 1629090 2221220 ) ( 1629780 * )
NEW met4 ( 1629780 2221220 ) ( * 2228700 )
NEW met4 ( 1629780 2228700 ) ( 1629910 * )
NEW met4 ( 1629910 2228700 ) ( * 2230400 0 )
NEW met2 ( 1183350 414290 ) ( * 430100 0 )
NEW met1 ( 1183350 414290 ) ( 1528350 * )
NEW met1 ( 1528350 2215270 ) ( 1629090 * )
NEW met2 ( 1528350 414290 ) ( * 2215270 )
NEW met1 ( 1183350 414290 ) M1M2_PR
NEW met1 ( 1629090 2215270 ) M1M2_PR
NEW met2 ( 1629090 2221220 ) M2M3_PR_M
NEW met3 ( 1629780 2221220 ) M3M4_PR_M
NEW met1 ( 1528350 414290 ) M1M2_PR
NEW met1 ( 1528350 2215270 ) M1M2_PR ;
- i_dout0_1\[3\] ( sram1 dout0[3] ) ( mprj i_dout0_1[3] ) + USE SIGNAL
+ ROUTED met1 ( 1266150 2219010 ) ( 1276730 * )
NEW met2 ( 1276730 2219010 ) ( * 2219860 )
NEW met3 ( 1276730 2219860 ) ( 1281100 * )
NEW met4 ( 1281100 2219860 ) ( * 2228020 )
NEW met4 ( 1280390 2228020 ) ( 1281100 * )
NEW met4 ( 1280390 2228020 ) ( * 2230400 0 )
NEW met2 ( 1266150 418370 ) ( * 2219010 )
NEW met1 ( 1028790 418370 ) ( 1266150 * )
NEW met2 ( 1028790 418370 ) ( * 430100 0 )
NEW met1 ( 1266150 418370 ) M1M2_PR
NEW met1 ( 1266150 2219010 ) M1M2_PR
NEW met1 ( 1276730 2219010 ) M1M2_PR
NEW met2 ( 1276730 2219860 ) M2M3_PR_M
NEW met3 ( 1281100 2219860 ) M3M4_PR_M
NEW met1 ( 1028790 418370 ) M1M2_PR ;
- i_dout0_1\[4\] ( sram1 dout0[4] ) ( mprj i_dout0_1[4] ) + USE SIGNAL
+ ROUTED met2 ( 1286850 415310 ) ( * 2215780 )
NEW met1 ( 1035230 415310 ) ( 1286850 * )
NEW met4 ( 1292140 2215780 ) ( * 2228700 )
NEW met4 ( 1292140 2228700 ) ( 1292630 * )
NEW met4 ( 1292630 2228700 ) ( * 2230400 0 )
NEW met3 ( 1286850 2215780 ) ( 1292140 * )
NEW met2 ( 1035230 415310 ) ( * 430100 0 )
NEW met1 ( 1286850 415310 ) M1M2_PR
NEW met2 ( 1286850 2215780 ) M2M3_PR_M
NEW met1 ( 1035230 415310 ) M1M2_PR
NEW met3 ( 1292140 2215780 ) M3M4_PR_M ;
- i_dout0_1\[5\] ( sram1 dout0[5] ) ( mprj i_dout0_1[5] ) + USE SIGNAL
+ ROUTED met1 ( 282210 1343510 ) ( 311190 * )
NEW met1 ( 311190 1342830 ) ( * 1343510 )
NEW met3 ( 282210 742900 ) ( 300380 * 0 )
NEW met2 ( 282210 742900 ) ( * 1343510 )
NEW met3 ( 1304790 2219180 ) ( 1305020 * )
NEW met4 ( 1305020 2219180 ) ( * 2228700 )
NEW met4 ( 1305020 2228700 ) ( 1305550 * )
NEW met4 ( 1305550 2228700 ) ( * 2230400 0 )
NEW met2 ( 1304790 1342830 ) ( * 2219180 )
NEW met1 ( 311190 1342830 ) ( 1304790 * )
NEW met1 ( 282210 1343510 ) M1M2_PR
NEW met2 ( 282210 742900 ) M2M3_PR_M
NEW met1 ( 1304790 1342830 ) M1M2_PR
NEW met2 ( 1304790 2219180 ) M2M3_PR_M
NEW met3 ( 1305020 2219180 ) M3M4_PR_M
NEW met3 ( 1304790 2219180 ) RECT ( -390 -150 0 150 ) ;
- i_dout0_1\[6\] ( sram1 dout0[6] ) ( mprj i_dout0_1[6] ) + USE SIGNAL
+ ROUTED met3 ( 293710 835380 ) ( 300380 * 0 )
NEW met2 ( 293710 835380 ) ( * 1342490 )
NEW met3 ( 1317900 2219180 ) ( 1318590 * )
NEW met4 ( 1317900 2219180 ) ( * 2228700 )
NEW met4 ( 1317790 2228700 ) ( 1317900 * )
NEW met4 ( 1317790 2228700 ) ( * 2230400 0 )
NEW met2 ( 1318590 1342490 ) ( * 2219180 )
NEW met1 ( 293710 1342490 ) ( 1318590 * )
NEW met1 ( 293710 1342490 ) M1M2_PR
NEW met2 ( 293710 835380 ) M2M3_PR_M
NEW met1 ( 1318590 1342490 ) M1M2_PR
NEW met2 ( 1318590 2219180 ) M2M3_PR_M
NEW met3 ( 1317900 2219180 ) M3M4_PR_M ;
- i_dout0_1\[7\] ( sram1 dout0[7] ) ( mprj i_dout0_1[7] ) + USE SIGNAL
+ ROUTED met2 ( 1067430 418710 ) ( * 430100 0 )
NEW met1 ( 1067430 418710 ) ( 1314450 * )
NEW met1 ( 1314450 2219350 ) ( 1325030 * )
NEW met2 ( 1325030 2219350 ) ( * 2219860 )
NEW met3 ( 1325030 2219860 ) ( 1329860 * )
NEW met4 ( 1329860 2219860 ) ( * 2228700 )
NEW met4 ( 1329860 2228700 ) ( 1330030 * )
NEW met4 ( 1330030 2228700 ) ( * 2230400 0 )
NEW met2 ( 1314450 418710 ) ( * 2219350 )
NEW met1 ( 1067430 418710 ) M1M2_PR
NEW met1 ( 1314450 418710 ) M1M2_PR
NEW met1 ( 1314450 2219350 ) M1M2_PR
NEW met1 ( 1325030 2219350 ) M1M2_PR
NEW met2 ( 1325030 2219860 ) M2M3_PR_M
NEW met3 ( 1329860 2219860 ) M3M4_PR_M ;
- i_dout0_1\[8\] ( sram1 dout0[8] ) ( mprj i_dout0_1[8] ) + USE SIGNAL
+ ROUTED met3 ( 1338830 2219180 ) ( 1341820 * )
NEW met4 ( 1341820 2219180 ) ( * 2228020 )
NEW met4 ( 1341590 2228020 ) ( 1341820 * )
NEW met4 ( 1341590 2228020 ) ( * 2230400 0 )
NEW met2 ( 1338830 786590 ) ( * 2219180 )
NEW met2 ( 1206810 780980 ) ( * 786590 )
NEW met3 ( 1192780 780980 0 ) ( 1206810 * )
NEW met1 ( 1206810 786590 ) ( 1338830 * )
NEW met1 ( 1338830 786590 ) M1M2_PR
NEW met2 ( 1338830 2219180 ) M2M3_PR_M
NEW met3 ( 1341820 2219180 ) M3M4_PR_M
NEW met2 ( 1206810 780980 ) M2M3_PR_M
NEW met1 ( 1206810 786590 ) M1M2_PR ;
- i_dout0_1\[9\] ( sram1 dout0[9] ) ( mprj i_dout0_1[9] ) + USE SIGNAL
+ ROUTED met3 ( 285430 881620 ) ( 300380 * 0 )
NEW met2 ( 307970 1363060 ) ( 308430 * )
NEW met2 ( 1352630 2215610 ) ( * 2215780 )
NEW met3 ( 1352630 2215780 ) ( 1354700 * )
NEW met4 ( 1354700 2215780 ) ( * 2228700 )
NEW met4 ( 1354700 2228700 ) ( 1355190 * )
NEW met4 ( 1355190 2228700 ) ( * 2230400 0 )
NEW met2 ( 285430 881620 ) ( * 1290300 )
NEW met2 ( 284510 1290300 ) ( 285430 * )
NEW met2 ( 284510 1290300 ) ( * 1332970 )
NEW met1 ( 284510 1332970 ) ( 303370 * )
NEW li1 ( 303370 1332970 ) ( * 1333310 )
NEW li1 ( 303370 1333310 ) ( 304290 * )
NEW li1 ( 304290 1333310 ) ( * 1336370 )
NEW li1 ( 304290 1336370 ) ( 307510 * )
NEW met1 ( 307510 1336370 ) ( 307970 * )
NEW met2 ( 307970 1336370 ) ( * 1363060 )
NEW met2 ( 308430 1363060 ) ( * 2215610 )
NEW met1 ( 308430 2215610 ) ( 1352630 * )
NEW met2 ( 285430 881620 ) M2M3_PR_M
NEW met1 ( 308430 2215610 ) M1M2_PR
NEW met1 ( 1352630 2215610 ) M1M2_PR
NEW met2 ( 1352630 2215780 ) M2M3_PR_M
NEW met3 ( 1354700 2215780 ) M3M4_PR_M
NEW met1 ( 284510 1332970 ) M1M2_PR
NEW li1 ( 303370 1332970 ) L1M1_PR_MR
NEW li1 ( 307510 1336370 ) L1M1_PR_MR
NEW met1 ( 307970 1336370 ) M1M2_PR ;
- io_in[0] ( PIN io_in[0] ) ( mprj io_in[0] ) + USE SIGNAL
+ ROUTED met2 ( 664470 1351670 ) ( * 1352690 )
NEW met3 ( 2901450 32980 ) ( 2917780 * 0 )
NEW met2 ( 302910 1333820 0 ) ( * 1351670 )
NEW met2 ( 2901450 32980 ) ( * 1352690 )
NEW met1 ( 664470 1352690 ) ( 2901450 * )
NEW met1 ( 302910 1351670 ) ( 664470 * )
NEW met1 ( 302910 1351670 ) M1M2_PR
NEW met1 ( 664470 1351670 ) M1M2_PR
NEW met1 ( 664470 1352690 ) M1M2_PR
NEW met2 ( 2901450 32980 ) M2M3_PR_M
NEW met1 ( 2901450 1352690 ) M1M2_PR ;
- io_in[10] ( PIN io_in[10] ) ( mprj io_in[10] ) + USE SIGNAL
+ ROUTED met2 ( 470350 1333820 0 ) ( * 1370710 )
NEW met2 ( 2898230 2283950 ) ( * 2290580 )
NEW met3 ( 2898230 2290580 ) ( 2917780 * 0 )
NEW met1 ( 470350 1370710 ) ( 1791470 * )
NEW met2 ( 1791470 1370710 ) ( * 2283950 )
NEW met1 ( 1791470 2283950 ) ( 2898230 * )
NEW met1 ( 470350 1370710 ) M1M2_PR
NEW met1 ( 2898230 2283950 ) M1M2_PR
NEW met2 ( 2898230 2290580 ) M2M3_PR_M
NEW met1 ( 1791470 1370710 ) M1M2_PR
NEW met1 ( 1791470 2283950 ) M1M2_PR ;
- io_in[11] ( PIN io_in[11] ) ( mprj io_in[11] ) + USE SIGNAL
+ ROUTED met2 ( 486910 1333820 0 ) ( * 1371730 )
NEW met2 ( 2900990 2553230 ) ( * 2556460 )
NEW met3 ( 2900990 2556460 ) ( 2917780 * 0 )
NEW met1 ( 486910 1371730 ) ( 1790550 * )
NEW met2 ( 1790550 1371730 ) ( * 2553230 )
NEW met1 ( 1790550 2553230 ) ( 2900990 * )
NEW met1 ( 486910 1371730 ) M1M2_PR
NEW met1 ( 2900990 2553230 ) M1M2_PR
NEW met2 ( 2900990 2556460 ) M2M3_PR_M
NEW met1 ( 1790550 1371730 ) M1M2_PR
NEW met1 ( 1790550 2553230 ) M1M2_PR ;
- io_in[12] ( PIN io_in[12] ) ( mprj io_in[12] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 2815370 ) ( * 2821660 )
NEW met3 ( 2900990 2821660 ) ( 2917780 * 0 )
NEW met2 ( 503470 1333820 0 ) ( * 1369350 )
NEW met2 ( 997970 1369350 ) ( * 2815370 )
NEW met1 ( 503470 1369350 ) ( 997970 * )
NEW met1 ( 997970 2815370 ) ( 2900990 * )
NEW met1 ( 503470 1369350 ) M1M2_PR
NEW met1 ( 997970 1369350 ) M1M2_PR
NEW met1 ( 997970 2815370 ) M1M2_PR
NEW met1 ( 2900990 2815370 ) M1M2_PR
NEW met2 ( 2900990 2821660 ) M2M3_PR_M ;
- io_in[13] ( PIN io_in[13] ) ( mprj io_in[13] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 3084310 ) ( * 3087540 )
NEW met3 ( 2900990 3087540 ) ( 2917780 * 0 )
NEW met2 ( 997510 1369010 ) ( * 3084310 )
NEW met1 ( 520490 1369010 ) ( 997510 * )
NEW met1 ( 997510 3084310 ) ( 2900990 * )
NEW met2 ( 520490 1333820 0 ) ( * 1369010 )
NEW met1 ( 997510 1369010 ) M1M2_PR
NEW met1 ( 997510 3084310 ) M1M2_PR
NEW met1 ( 2900990 3084310 ) M1M2_PR
NEW met2 ( 2900990 3087540 ) M2M3_PR_M
NEW met1 ( 520490 1369010 ) M1M2_PR ;
- io_in[14] ( PIN io_in[14] ) ( mprj io_in[14] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 3353420 ) ( * 3353590 )
NEW met3 ( 2900990 3353420 ) ( 2917780 * 0 )
NEW met1 ( 537050 1368670 ) ( 1004410 * )
NEW met2 ( 537050 1333820 0 ) ( * 1368670 )
NEW met2 ( 1004410 1368670 ) ( * 3353590 )
NEW met1 ( 1004410 3353590 ) ( 2900990 * )
NEW met1 ( 2900990 3353590 ) M1M2_PR
NEW met2 ( 2900990 3353420 ) M2M3_PR_M
NEW met1 ( 537050 1368670 ) M1M2_PR
NEW met1 ( 1004410 1368670 ) M1M2_PR
NEW met1 ( 1004410 3353590 ) M1M2_PR ;
- io_in[15] ( PIN io_in[15] ) ( mprj io_in[15] ) + USE SIGNAL
+ ROUTED met2 ( 2794730 1369690 ) ( * 3512100 )
NEW met2 ( 2794730 3512100 ) ( 2798410 * )
NEW met2 ( 2798410 3512100 ) ( * 3517980 0 )
NEW met1 ( 554070 1369690 ) ( 2794730 * )
NEW met2 ( 554070 1333820 0 ) ( * 1369690 )
NEW met1 ( 2794730 1369690 ) M1M2_PR
NEW met1 ( 554070 1369690 ) M1M2_PR ;
- io_in[16] ( PIN io_in[16] ) ( mprj io_in[16] ) + USE SIGNAL
+ ROUTED met2 ( 570630 1333820 0 ) ( * 1370030 )
NEW met1 ( 570630 1370030 ) ( 2470430 * )
NEW met2 ( 2470430 3517980 ) ( 2473190 * )
NEW met2 ( 2473190 3517300 ) ( * 3517980 )
NEW met2 ( 2473190 3517300 ) ( 2474110 * )
NEW met2 ( 2474110 3517300 ) ( * 3517980 0 )
NEW met2 ( 2470430 1370030 ) ( * 3517980 )
NEW met1 ( 570630 1370030 ) M1M2_PR
NEW met1 ( 2470430 1370030 ) M1M2_PR ;
- io_in[17] ( PIN io_in[17] ) ( mprj io_in[17] ) + USE SIGNAL
+ ROUTED met2 ( 587650 1333820 0 ) ( * 1370370 )
NEW met2 ( 2146130 3517980 ) ( 2148430 * )
NEW met2 ( 2148430 3517300 ) ( * 3517980 )
NEW met2 ( 2148430 3517300 ) ( 2149350 * )
NEW met2 ( 2149350 3517300 ) ( * 3517980 0 )
NEW met2 ( 2146130 1370370 ) ( * 3517980 )
NEW met1 ( 587650 1370370 ) ( 2146130 * )
NEW met1 ( 587650 1370370 ) M1M2_PR
NEW met1 ( 2146130 1370370 ) M1M2_PR ;
- io_in[18] ( PIN io_in[18] ) ( mprj io_in[18] ) + USE SIGNAL
+ ROUTED met2 ( 1825050 3502170 ) ( * 3517980 0 )
NEW met1 ( 604210 1367990 ) ( 1003950 * )
NEW met1 ( 1003950 3502170 ) ( 1825050 * )
NEW met2 ( 1003950 1367990 ) ( * 3502170 )
NEW met2 ( 604210 1333820 0 ) ( * 1367990 )
NEW met1 ( 604210 1367990 ) M1M2_PR
NEW met1 ( 1825050 3502170 ) M1M2_PR
NEW met1 ( 1003950 1367990 ) M1M2_PR
NEW met1 ( 1003950 3502170 ) M1M2_PR ;
- io_in[19] ( PIN io_in[19] ) ( mprj io_in[19] ) + USE SIGNAL
+ ROUTED met1 ( 619850 1367650 ) ( 1011310 * )
NEW met1 ( 1011310 3503190 ) ( 1500750 * )
NEW met2 ( 1011310 1367650 ) ( * 3503190 )
NEW met2 ( 1500750 3503190 ) ( * 3517980 0 )
NEW met2 ( 620770 1333820 0 ) ( * 1345380 )
NEW met2 ( 619850 1345380 ) ( 620770 * )
NEW met2 ( 619850 1345380 ) ( * 1367650 )
NEW met1 ( 619850 1367650 ) M1M2_PR
NEW met1 ( 1011310 1367650 ) M1M2_PR
NEW met1 ( 1011310 3503190 ) M1M2_PR
NEW met1 ( 1500750 3503190 ) M1M2_PR ;
- io_in[1] ( PIN io_in[1] ) ( mprj io_in[1] ) + USE SIGNAL
+ ROUTED met2 ( 319470 1333820 0 ) ( * 1353370 )
NEW met2 ( 2900070 231540 ) ( * 234430 )
NEW met3 ( 2900070 231540 ) ( 2917780 * 0 )
NEW met2 ( 1211410 234430 ) ( * 1353370 )
NEW met1 ( 1211410 234430 ) ( 2900070 * )
NEW met1 ( 319470 1353370 ) ( 1211410 * )
NEW met1 ( 319470 1353370 ) M1M2_PR
NEW met1 ( 2900070 234430 ) M1M2_PR
NEW met2 ( 2900070 231540 ) M2M3_PR_M
NEW met1 ( 1211410 1353370 ) M1M2_PR
NEW met1 ( 1211410 234430 ) M1M2_PR ;
- io_in[20] ( PIN io_in[20] ) ( mprj io_in[20] ) + USE SIGNAL
+ ROUTED met2 ( 1175990 3504210 ) ( * 3517980 0 )
NEW met1 ( 637790 1367310 ) ( 1010850 * )
NEW met1 ( 1010850 3504210 ) ( 1175990 * )
NEW met2 ( 1010850 1367310 ) ( * 3504210 )
NEW met2 ( 637790 1333820 0 ) ( * 1367310 )
NEW met1 ( 1175990 3504210 ) M1M2_PR
NEW met1 ( 637790 1367310 ) M1M2_PR
NEW met1 ( 1010850 1367310 ) M1M2_PR
NEW met1 ( 1010850 3504210 ) M1M2_PR ;
- io_in[21] ( PIN io_in[21] ) ( mprj io_in[21] ) + USE SIGNAL
+ ROUTED met2 ( 986930 1360170 ) ( * 3501490 )
NEW met1 ( 851690 3501490 ) ( 986930 * )
NEW met2 ( 654350 1333820 0 ) ( * 1360170 )
NEW met2 ( 851690 3501490 ) ( * 3517980 0 )
NEW met1 ( 654350 1360170 ) ( 986930 * )
NEW met1 ( 986930 1360170 ) M1M2_PR
NEW met1 ( 986930 3501490 ) M1M2_PR
NEW met1 ( 654350 1360170 ) M1M2_PR
NEW met1 ( 851690 3501490 ) M1M2_PR ;
- io_in[22] ( PIN io_in[22] ) ( mprj io_in[22] ) + USE SIGNAL
+ ROUTED met2 ( 296470 2232100 ) ( 296930 * )
NEW met2 ( 296930 2228190 ) ( * 2232100 )
NEW met1 ( 296930 2228190 ) ( 310270 * )
NEW met2 ( 382030 2118030 ) ( * 2138770 )
NEW met1 ( 382030 2118030 ) ( 393070 * )
NEW met2 ( 386170 2794630 ) ( * 2801940 )
NEW met2 ( 386170 2801940 ) ( 386630 * )
NEW met2 ( 386630 2801940 ) ( * 2829310 )
NEW met1 ( 386630 2829310 ) ( 396750 * )
NEW met1 ( 486450 1828690 ) ( 490130 * )
NEW met1 ( 490130 1828350 ) ( * 1828690 )
NEW met1 ( 490130 1828350 ) ( 497030 * )
NEW met1 ( 569250 1635570 ) ( 579830 * )
NEW met1 ( 579830 1635230 ) ( * 1635570 )
NEW met1 ( 579830 1635230 ) ( 603750 * )
NEW met2 ( 310270 2208000 ) ( * 2228190 )
NEW met2 ( 310270 2208000 ) ( 310730 * )
NEW met2 ( 310730 2199290 ) ( * 2208000 )
NEW met1 ( 296470 2649450 ) ( 299690 * )
NEW met2 ( 296470 2232100 ) ( * 2649450 )
NEW met1 ( 299690 2749410 ) ( 306130 * )
NEW met2 ( 306130 2749410 ) ( * 2763350 )
NEW met2 ( 299690 2649450 ) ( * 2749410 )
NEW met2 ( 393070 2111400 ) ( * 2118030 )
NEW met2 ( 393070 2111400 ) ( 393530 * )
NEW met2 ( 393530 2083690 ) ( * 2111400 )
NEW met1 ( 393530 2083690 ) ( 400430 * )
NEW met2 ( 400430 2069750 ) ( * 2083690 )
NEW met1 ( 400430 2069750 ) ( 410550 * )
NEW met2 ( 410550 2023510 ) ( * 2069750 )
NEW met2 ( 396750 2829310 ) ( * 2880650 )
NEW met1 ( 497030 1814750 ) ( 507150 * )
NEW met2 ( 497030 1814750 ) ( * 1828350 )
NEW met2 ( 507150 1759330 ) ( * 1814750 )
NEW met2 ( 486450 1828690 ) ( * 1928310 )
NEW met1 ( 603750 1518270 ) ( 610650 * )
NEW met2 ( 610650 1475430 ) ( * 1518270 )
NEW met2 ( 603750 1518270 ) ( * 1635230 )
NEW met2 ( 569250 1635570 ) ( * 1697110 )
NEW met2 ( 671370 1333820 0 ) ( * 1355410 )
NEW met1 ( 351670 2139110 ) ( 352130 * )
NEW met1 ( 352130 2138770 ) ( * 2139110 )
NEW met1 ( 352130 2138770 ) ( 382030 * )
NEW met1 ( 352130 2794290 ) ( 359030 * )
NEW met1 ( 359030 2794290 ) ( * 2794630 )
NEW met1 ( 359030 2794630 ) ( 386170 * )
NEW met1 ( 431250 1959930 ) ( 441370 * )
NEW met2 ( 441370 1952620 ) ( * 1959930 )
NEW met2 ( 441370 1952620 ) ( 441830 * )
NEW met2 ( 441830 1928310 ) ( * 1952620 )
NEW met1 ( 441830 1928310 ) ( 486450 * )
NEW met1 ( 410550 2023510 ) ( 421130 * )
NEW met2 ( 527390 1749470 ) ( * 1759330 )
NEW met1 ( 527390 1749470 ) ( 532910 * )
NEW met2 ( 532910 1738930 ) ( * 1749470 )
NEW met1 ( 532910 1738930 ) ( 538430 * )
NEW met1 ( 538430 1738590 ) ( * 1738930 )
NEW met1 ( 538430 1738590 ) ( 555910 * )
NEW met1 ( 507150 1759330 ) ( 527390 * )
NEW met1 ( 524630 3498430 ) ( 527390 * )
NEW met1 ( 652050 1355410 ) ( 671370 * )
NEW met2 ( 618930 1448910 ) ( * 1475430 )
NEW met1 ( 618930 1448910 ) ( 652050 * )
NEW met1 ( 610650 1475430 ) ( 618930 * )
NEW met2 ( 330970 2187220 ) ( * 2199290 )
NEW met2 ( 330970 2187220 ) ( 331430 * )
NEW met2 ( 331430 2173790 ) ( * 2187220 )
NEW met1 ( 331430 2173790 ) ( 338330 * )
NEW met1 ( 338330 2173450 ) ( * 2173790 )
NEW met1 ( 338330 2173450 ) ( 351670 * )
NEW met1 ( 310730 2199290 ) ( 330970 * )
NEW met2 ( 351670 2139110 ) ( * 2173450 )
NEW met2 ( 351670 2763350 ) ( * 2774060 )
NEW met2 ( 351670 2774060 ) ( 352130 * )
NEW met1 ( 306130 2763350 ) ( 351670 * )
NEW met2 ( 352130 2774060 ) ( * 2794290 )
NEW met1 ( 421130 2008210 ) ( 431250 * )
NEW met2 ( 421130 2008210 ) ( * 2023510 )
NEW met2 ( 431250 1959930 ) ( * 2008210 )
NEW met1 ( 555910 1713430 ) ( 560970 * )
NEW met2 ( 560970 1697110 ) ( * 1713430 )
NEW met2 ( 555910 1713430 ) ( * 1738590 )
NEW met1 ( 560970 1697110 ) ( 569250 * )
NEW met1 ( 396750 2880650 ) ( 524630 * )
NEW met2 ( 524630 2880650 ) ( * 3498430 )
NEW met2 ( 527390 3498430 ) ( * 3517980 0 )
NEW met2 ( 652050 1355410 ) ( * 1448910 )
NEW met1 ( 296930 2228190 ) M1M2_PR
NEW met1 ( 310270 2228190 ) M1M2_PR
NEW met1 ( 410550 2023510 ) M1M2_PR
NEW met1 ( 382030 2138770 ) M1M2_PR
NEW met1 ( 382030 2118030 ) M1M2_PR
NEW met1 ( 393070 2118030 ) M1M2_PR
NEW met1 ( 386170 2794630 ) M1M2_PR
NEW met1 ( 386630 2829310 ) M1M2_PR
NEW met1 ( 396750 2829310 ) M1M2_PR
NEW met1 ( 507150 1759330 ) M1M2_PR
NEW met1 ( 486450 1828690 ) M1M2_PR
NEW met1 ( 497030 1828350 ) M1M2_PR
NEW met1 ( 486450 1928310 ) M1M2_PR
NEW met1 ( 610650 1475430 ) M1M2_PR
NEW met1 ( 569250 1635570 ) M1M2_PR
NEW met1 ( 603750 1635230 ) M1M2_PR
NEW met1 ( 671370 1355410 ) M1M2_PR
NEW met1 ( 310730 2199290 ) M1M2_PR
NEW met1 ( 296470 2649450 ) M1M2_PR
NEW met1 ( 299690 2649450 ) M1M2_PR
NEW met1 ( 299690 2749410 ) M1M2_PR
NEW met1 ( 306130 2749410 ) M1M2_PR
NEW met1 ( 306130 2763350 ) M1M2_PR
NEW met1 ( 393530 2083690 ) M1M2_PR
NEW met1 ( 400430 2083690 ) M1M2_PR
NEW met1 ( 400430 2069750 ) M1M2_PR
NEW met1 ( 410550 2069750 ) M1M2_PR
NEW met1 ( 396750 2880650 ) M1M2_PR
NEW met1 ( 497030 1814750 ) M1M2_PR
NEW met1 ( 507150 1814750 ) M1M2_PR
NEW met1 ( 603750 1518270 ) M1M2_PR
NEW met1 ( 610650 1518270 ) M1M2_PR
NEW met1 ( 569250 1697110 ) M1M2_PR
NEW met1 ( 351670 2139110 ) M1M2_PR
NEW met1 ( 352130 2794290 ) M1M2_PR
NEW met1 ( 431250 1959930 ) M1M2_PR
NEW met1 ( 441370 1959930 ) M1M2_PR
NEW met1 ( 441830 1928310 ) M1M2_PR
NEW met1 ( 421130 2023510 ) M1M2_PR
NEW met1 ( 527390 1759330 ) M1M2_PR
NEW met1 ( 527390 1749470 ) M1M2_PR
NEW met1 ( 532910 1749470 ) M1M2_PR
NEW met1 ( 532910 1738930 ) M1M2_PR
NEW met1 ( 555910 1738590 ) M1M2_PR
NEW met1 ( 524630 3498430 ) M1M2_PR
NEW met1 ( 527390 3498430 ) M1M2_PR
NEW met1 ( 652050 1355410 ) M1M2_PR
NEW met1 ( 618930 1475430 ) M1M2_PR
NEW met1 ( 618930 1448910 ) M1M2_PR
NEW met1 ( 652050 1448910 ) M1M2_PR
NEW met1 ( 330970 2199290 ) M1M2_PR
NEW met1 ( 331430 2173790 ) M1M2_PR
NEW met1 ( 351670 2173450 ) M1M2_PR
NEW met1 ( 351670 2763350 ) M1M2_PR
NEW met1 ( 421130 2008210 ) M1M2_PR
NEW met1 ( 431250 2008210 ) M1M2_PR
NEW met1 ( 555910 1713430 ) M1M2_PR
NEW met1 ( 560970 1713430 ) M1M2_PR
NEW met1 ( 560970 1697110 ) M1M2_PR
NEW met1 ( 524630 2880650 ) M1M2_PR ;
- io_in[23] ( PIN io_in[23] ) ( mprj io_in[23] ) + USE SIGNAL
+ ROUTED met1 ( 202630 3499790 ) ( 210450 * )
NEW met2 ( 210450 1721590 ) ( * 3499790 )
NEW met2 ( 202630 3499790 ) ( * 3517980 0 )
NEW met2 ( 683330 1435200 ) ( 687930 * )
NEW met2 ( 687930 1333820 0 ) ( * 1435200 )
NEW met2 ( 683330 1435200 ) ( * 1721590 )
NEW met1 ( 210450 1721590 ) ( 683330 * )
NEW met1 ( 202630 3499790 ) M1M2_PR
NEW met1 ( 210450 3499790 ) M1M2_PR
NEW met1 ( 210450 1721590 ) M1M2_PR
NEW met1 ( 683330 1721590 ) M1M2_PR ;
- io_in[24] ( PIN io_in[24] ) ( mprj io_in[24] ) + USE SIGNAL
+ ROUTED met3 ( 2300 3421420 0 ) ( 17250 * )
NEW met2 ( 17250 3420910 ) ( * 3421420 )
NEW met2 ( 704030 1435200 ) ( 704490 * )
NEW met2 ( 704490 1333820 0 ) ( * 1435200 )
NEW met2 ( 704030 1435200 ) ( * 1500590 )
NEW met1 ( 17250 3420910 ) ( 44850 * )
NEW met2 ( 44850 1500590 ) ( * 3420910 )
NEW met1 ( 44850 1500590 ) ( 704030 * )
NEW met2 ( 17250 3421420 ) M2M3_PR_M
NEW met1 ( 17250 3420910 ) M1M2_PR
NEW met1 ( 704030 1500590 ) M1M2_PR
NEW met1 ( 44850 1500590 ) M1M2_PR
NEW met1 ( 44850 3420910 ) M1M2_PR ;
- io_in[25] ( PIN io_in[25] ) ( mprj io_in[25] ) + USE SIGNAL
+ ROUTED met3 ( 2300 3160300 0 ) ( 18170 * )
NEW met2 ( 18170 1358470 ) ( * 3160300 )
NEW met2 ( 721510 1333820 0 ) ( * 1358470 )
NEW met1 ( 18170 1358470 ) ( 721510 * )
NEW met1 ( 18170 1358470 ) M1M2_PR
NEW met2 ( 18170 3160300 ) M2M3_PR_M
NEW met1 ( 721510 1358470 ) M1M2_PR ;
- io_in[26] ( PIN io_in[26] ) ( mprj io_in[26] ) + USE SIGNAL
+ ROUTED met3 ( 2300 2899860 0 ) ( 15410 * )
NEW met2 ( 15410 2898330 ) ( * 2899860 )
NEW met1 ( 15410 2898330 ) ( 238050 * )
NEW met2 ( 238050 1354730 ) ( * 2898330 )
NEW met2 ( 738070 1333820 0 ) ( * 1354730 )
NEW met1 ( 238050 1354730 ) ( 738070 * )
NEW met2 ( 15410 2899860 ) M2M3_PR_M
NEW met1 ( 15410 2898330 ) M1M2_PR
NEW met1 ( 238050 1354730 ) M1M2_PR
NEW met1 ( 238050 2898330 ) M1M2_PR
NEW met1 ( 738070 1354730 ) M1M2_PR ;
- io_in[27] ( PIN io_in[27] ) ( mprj io_in[27] ) + USE SIGNAL
+ ROUTED met3 ( 2300 2639420 0 ) ( 14030 * )
NEW met2 ( 14030 2635850 ) ( * 2639420 )
NEW met1 ( 14030 2635850 ) ( 24150 * )
NEW met2 ( 24150 1372410 ) ( * 2635850 )
NEW met1 ( 24150 1372410 ) ( 755090 * )
NEW met2 ( 755090 1333820 0 ) ( * 1372410 )
NEW met1 ( 24150 1372410 ) M1M2_PR
NEW met2 ( 14030 2639420 ) M2M3_PR_M
NEW met1 ( 14030 2635850 ) M1M2_PR
NEW met1 ( 24150 2635850 ) M1M2_PR
NEW met1 ( 755090 1372410 ) M1M2_PR ;
- io_in[28] ( PIN io_in[28] ) ( mprj io_in[28] ) + USE SIGNAL
+ ROUTED met3 ( 2300 2378300 0 ) ( 14030 * )
NEW met2 ( 14030 2378130 ) ( * 2378300 )
NEW met1 ( 14030 2378130 ) ( 24610 * )
NEW met2 ( 24610 1357450 ) ( * 2378130 )
NEW met2 ( 771650 1333820 0 ) ( * 1357450 )
NEW met1 ( 24610 1357450 ) ( 771650 * )
NEW met1 ( 24610 1357450 ) M1M2_PR
NEW met1 ( 771650 1357450 ) M1M2_PR
NEW met2 ( 14030 2378300 ) M2M3_PR_M
NEW met1 ( 14030 2378130 ) M1M2_PR
NEW met1 ( 24610 2378130 ) M1M2_PR ;
- io_in[29] ( PIN io_in[29] ) ( mprj io_in[29] ) + USE SIGNAL
+ ROUTED met3 ( 2300 2117860 0 ) ( 15410 * )
NEW met2 ( 15410 2111570 ) ( * 2117860 )
NEW met2 ( 707250 1358810 ) ( * 2111570 )
NEW met2 ( 788210 1333820 0 ) ( * 1358810 )
NEW met1 ( 15410 2111570 ) ( 707250 * )
NEW met1 ( 707250 1358810 ) ( 788210 * )
NEW met2 ( 15410 2117860 ) M2M3_PR_M
NEW met1 ( 15410 2111570 ) M1M2_PR
NEW met1 ( 707250 1358810 ) M1M2_PR
NEW met1 ( 707250 2111570 ) M1M2_PR
NEW met1 ( 788210 1358810 ) M1M2_PR ;
- io_in[2] ( PIN io_in[2] ) ( mprj io_in[2] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 430780 ) ( * 438090 )
NEW met3 ( 2900990 430780 ) ( 2917780 * 0 )
NEW met2 ( 336030 1333820 0 ) ( * 1346230 )
NEW met2 ( 1217850 438090 ) ( * 1346230 )
NEW met1 ( 1217850 438090 ) ( 2900990 * )
NEW met1 ( 336030 1346230 ) ( 1217850 * )
NEW met1 ( 2900990 438090 ) M1M2_PR
NEW met2 ( 2900990 430780 ) M2M3_PR_M
NEW met1 ( 336030 1346230 ) M1M2_PR
NEW met1 ( 1217850 1346230 ) M1M2_PR
NEW met1 ( 1217850 438090 ) M1M2_PR ;
- io_in[30] ( PIN io_in[30] ) ( mprj io_in[30] ) + USE SIGNAL
+ ROUTED met3 ( 2300 1856740 0 ) ( 15410 * )
NEW met2 ( 15410 1856230 ) ( * 1856740 )
NEW met2 ( 800630 1435200 ) ( 805230 * )
NEW met2 ( 805230 1333820 0 ) ( * 1435200 )
NEW met2 ( 800630 1435200 ) ( * 1856230 )
NEW met1 ( 15410 1856230 ) ( 800630 * )
NEW met2 ( 15410 1856740 ) M2M3_PR_M
NEW met1 ( 15410 1856230 ) M1M2_PR
NEW met1 ( 800630 1856230 ) M1M2_PR ;
- io_in[31] ( PIN io_in[31] ) ( mprj io_in[31] ) + USE SIGNAL
+ ROUTED met3 ( 2300 1596300 0 ) ( 15410 * )
NEW met2 ( 15410 1594090 ) ( * 1596300 )
NEW met2 ( 821790 1333820 0 ) ( * 1338600 )
NEW met2 ( 821330 1338600 ) ( 821790 * )
NEW met1 ( 15410 1594090 ) ( 821330 * )
NEW met2 ( 821330 1338600 ) ( * 1594090 )
NEW met2 ( 15410 1596300 ) M2M3_PR_M
NEW met1 ( 15410 1594090 ) M1M2_PR
NEW met1 ( 821330 1594090 ) M1M2_PR ;
- io_in[32] ( PIN io_in[32] ) ( mprj io_in[32] ) + USE SIGNAL
+ ROUTED met3 ( 2300 1335860 0 ) ( 17250 * )
NEW met2 ( 17250 1335860 ) ( * 1339090 )
NEW met2 ( 838810 1333820 0 ) ( * 1339090 )
NEW met1 ( 17250 1339090 ) ( 838810 * )
NEW met1 ( 17250 1339090 ) M1M2_PR
NEW met2 ( 17250 1335860 ) M2M3_PR_M
NEW met1 ( 838810 1339090 ) M1M2_PR ;
- io_in[33] ( PIN io_in[33] ) ( mprj io_in[33] ) + USE SIGNAL
+ ROUTED met3 ( 2300 1074740 0 ) ( 14030 * )
NEW met2 ( 14030 1074740 ) ( * 1074910 )
NEW met1 ( 14030 1074910 ) ( 25530 * )
NEW met2 ( 25530 1074910 ) ( * 1348950 )
NEW met2 ( 855370 1333820 0 ) ( * 1348950 )
NEW met1 ( 25530 1348950 ) ( 855370 * )
NEW met2 ( 14030 1074740 ) M2M3_PR_M
NEW met1 ( 14030 1074910 ) M1M2_PR
NEW met1 ( 25530 1074910 ) M1M2_PR
NEW met1 ( 25530 1348950 ) M1M2_PR
NEW met1 ( 855370 1348950 ) M1M2_PR ;
- io_in[34] ( PIN io_in[34] ) ( mprj io_in[34] ) + USE SIGNAL
+ ROUTED met3 ( 2300 814300 0 ) ( 14030 * )
NEW met2 ( 14030 814300 ) ( * 819910 )
NEW met1 ( 14030 819910 ) ( 25070 * )
NEW met2 ( 25070 819910 ) ( * 1348610 )
NEW met2 ( 872390 1333820 0 ) ( * 1348610 )
NEW met1 ( 25070 1348610 ) ( 872390 * )
NEW met1 ( 25070 1348610 ) M1M2_PR
NEW met1 ( 872390 1348610 ) M1M2_PR
NEW met2 ( 14030 814300 ) M2M3_PR_M
NEW met1 ( 14030 819910 ) M1M2_PR
NEW met1 ( 25070 819910 ) M1M2_PR ;
- io_in[35] ( PIN io_in[35] ) ( mprj io_in[35] ) + USE SIGNAL
+ ROUTED met3 ( 2300 553180 0 ) ( 14030 * )
NEW met2 ( 14030 553180 ) ( * 553350 )
NEW met1 ( 14030 553350 ) ( 24610 * )
NEW met2 ( 24610 553350 ) ( * 1347590 )
NEW met2 ( 888950 1333820 0 ) ( * 1347590 )
NEW met1 ( 24610 1347590 ) ( 888950 * )
NEW met1 ( 24610 1347590 ) M1M2_PR
NEW met1 ( 888950 1347590 ) M1M2_PR
NEW met2 ( 14030 553180 ) M2M3_PR_M
NEW met1 ( 14030 553350 ) M1M2_PR
NEW met1 ( 24610 553350 ) M1M2_PR ;
- io_in[36] ( PIN io_in[36] ) ( mprj io_in[36] ) + USE SIGNAL
+ ROUTED met3 ( 2300 358020 0 ) ( 16790 * )
NEW met2 ( 16790 358020 ) ( * 358530 )
NEW met1 ( 16790 358530 ) ( 51750 * )
NEW met2 ( 51750 358530 ) ( * 1347930 )
NEW met2 ( 905510 1333820 0 ) ( * 1347930 )
NEW met1 ( 51750 1347930 ) ( 905510 * )
NEW met2 ( 16790 358020 ) M2M3_PR_M
NEW met1 ( 16790 358530 ) M1M2_PR
NEW met1 ( 51750 1347930 ) M1M2_PR
NEW met1 ( 905510 1347930 ) M1M2_PR
NEW met1 ( 51750 358530 ) M1M2_PR ;
- io_in[37] ( PIN io_in[37] ) ( mprj io_in[37] ) + USE SIGNAL
+ ROUTED met3 ( 2300 162180 0 ) ( 14030 * )
NEW met2 ( 14030 162180 ) ( * 165070 )
NEW met1 ( 14030 165070 ) ( 24150 * )
NEW met2 ( 24150 165070 ) ( * 1352010 )
NEW met2 ( 922530 1333820 0 ) ( * 1352010 )
NEW met1 ( 24150 1352010 ) ( 922530 * )
NEW met1 ( 24150 1352010 ) M1M2_PR
NEW met2 ( 14030 162180 ) M2M3_PR_M
NEW met1 ( 14030 165070 ) M1M2_PR
NEW met1 ( 24150 165070 ) M1M2_PR
NEW met1 ( 922530 1352010 ) M1M2_PR ;
- io_in[3] ( PIN io_in[3] ) ( mprj io_in[3] ) + USE SIGNAL
+ ROUTED met3 ( 2902830 630020 ) ( 2917780 * 0 )
NEW met2 ( 2902830 630020 ) ( * 1335180 )
NEW met2 ( 353050 1333820 0 ) ( * 1350310 )
NEW met2 ( 728870 1335180 ) ( * 1350310 )
NEW met3 ( 728870 1335180 ) ( 2902830 * )
NEW met1 ( 353050 1350310 ) ( 728870 * )
NEW met2 ( 2902830 630020 ) M2M3_PR_M
NEW met2 ( 2902830 1335180 ) M2M3_PR_M
NEW met1 ( 353050 1350310 ) M1M2_PR
NEW met1 ( 728870 1350310 ) M1M2_PR
NEW met2 ( 728870 1335180 ) M2M3_PR_M ;
- io_in[4] ( PIN io_in[4] ) ( mprj io_in[4] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 829260 ) ( * 834870 )
NEW met3 ( 2900990 829260 ) ( 2917780 * 0 )
NEW met2 ( 369610 1333820 0 ) ( * 1336370 )
NEW met2 ( 1197610 834870 ) ( * 1336370 )
NEW met1 ( 1197610 834870 ) ( 2900990 * )
NEW met1 ( 369610 1336370 ) ( 1197610 * )
NEW met1 ( 2900990 834870 ) M1M2_PR
NEW met2 ( 2900990 829260 ) M2M3_PR_M
NEW met1 ( 369610 1336370 ) M1M2_PR
NEW met1 ( 1197610 834870 ) M1M2_PR
NEW met1 ( 1197610 1336370 ) M1M2_PR ;
- io_in[5] ( PIN io_in[5] ) ( mprj io_in[5] ) + USE SIGNAL
+ ROUTED met2 ( 386630 1333820 0 ) ( * 1334500 )
NEW met2 ( 386630 1334500 ) ( 387090 * )
NEW met2 ( 387090 1334500 ) ( * 1345550 )
NEW met1 ( 1170010 1335350 ) ( * 1335690 )
NEW met3 ( 2903290 1028500 ) ( 2917780 * 0 )
NEW met2 ( 2903290 1028500 ) ( * 1335350 )
NEW met1 ( 1097100 1335350 ) ( * 1335690 )
NEW met1 ( 1097100 1335690 ) ( 1170010 * )
NEW met1 ( 1170010 1335350 ) ( 2903290 * )
NEW met2 ( 616170 1335350 ) ( * 1345550 )
NEW met1 ( 387090 1345550 ) ( 616170 * )
NEW met1 ( 616170 1335350 ) ( 1097100 * )
NEW met1 ( 387090 1345550 ) M1M2_PR
NEW met2 ( 2903290 1028500 ) M2M3_PR_M
NEW met1 ( 2903290 1335350 ) M1M2_PR
NEW met1 ( 616170 1345550 ) M1M2_PR
NEW met1 ( 616170 1335350 ) M1M2_PR ;
- io_in[6] ( PIN io_in[6] ) ( mprj io_in[6] ) + USE SIGNAL
+ ROUTED met2 ( 403190 1333820 0 ) ( * 1337050 )
NEW met2 ( 2900990 1227740 ) ( * 1227910 )
NEW met3 ( 2900990 1227740 ) ( 2917780 * 0 )
NEW met2 ( 1226130 1227910 ) ( * 1337050 )
NEW met1 ( 1226130 1227910 ) ( 2900990 * )
NEW met1 ( 403190 1337050 ) ( 1226130 * )
NEW met1 ( 403190 1337050 ) M1M2_PR
NEW met1 ( 2900990 1227910 ) M1M2_PR
NEW met2 ( 2900990 1227740 ) M2M3_PR_M
NEW met1 ( 1226130 1227910 ) M1M2_PR
NEW met1 ( 1226130 1337050 ) M1M2_PR ;
- io_in[7] ( PIN io_in[7] ) ( mprj io_in[7] ) + USE SIGNAL
+ ROUTED met2 ( 419750 1333820 0 ) ( * 1338600 )
NEW met2 ( 419750 1338600 ) ( 420210 * )
NEW met2 ( 420210 1338600 ) ( * 1490730 )
NEW met2 ( 2900990 1490730 ) ( * 1493620 )
NEW met3 ( 2900990 1493620 ) ( 2917780 * 0 )
NEW met1 ( 420210 1490730 ) ( 2900990 * )
NEW met1 ( 420210 1490730 ) M1M2_PR
NEW met1 ( 2900990 1490730 ) M1M2_PR
NEW met2 ( 2900990 1493620 ) M2M3_PR_M ;
- io_in[8] ( PIN io_in[8] ) ( mprj io_in[8] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 1759500 ) ( * 1759670 )
NEW met3 ( 2900990 1759500 ) ( 2917780 * 0 )
NEW met1 ( 436770 1351330 ) ( 441370 * )
NEW met1 ( 441370 1759670 ) ( 2900990 * )
NEW met2 ( 436770 1333820 0 ) ( * 1351330 )
NEW met2 ( 441370 1351330 ) ( * 1759670 )
NEW met1 ( 2900990 1759670 ) M1M2_PR
NEW met2 ( 2900990 1759500 ) M2M3_PR_M
NEW met1 ( 436770 1351330 ) M1M2_PR
NEW met1 ( 441370 1351330 ) M1M2_PR
NEW met1 ( 441370 1759670 ) M1M2_PR ;
- io_in[9] ( PIN io_in[9] ) ( mprj io_in[9] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 2021810 ) ( * 2024700 )
NEW met3 ( 2900990 2024700 ) ( 2917780 * 0 )
NEW met1 ( 454710 2021810 ) ( 2900990 * )
NEW met2 ( 453330 1333820 0 ) ( * 1338600 )
NEW met2 ( 453330 1338600 ) ( 454710 * )
NEW met2 ( 454710 1338600 ) ( * 2021810 )
NEW met1 ( 2900990 2021810 ) M1M2_PR
NEW met2 ( 2900990 2024700 ) M2M3_PR_M
NEW met1 ( 454710 2021810 ) M1M2_PR ;
- io_oeb[0] ( PIN io_oeb[0] ) ( mprj io_oeb[0] ) + USE SIGNAL
+ ROUTED met2 ( 308430 1333820 0 ) ( * 1353030 )
NEW met2 ( 2900990 165410 ) ( * 165580 )
NEW met3 ( 2900990 165580 ) ( 2917780 * 0 )
NEW met2 ( 1210950 165410 ) ( * 1353030 )
NEW met1 ( 1210950 165410 ) ( 2900990 * )
NEW met1 ( 308430 1353030 ) ( 1210950 * )
NEW met1 ( 308430 1353030 ) M1M2_PR
NEW met1 ( 2900990 165410 ) M1M2_PR
NEW met2 ( 2900990 165580 ) M2M3_PR_M
NEW met1 ( 1210950 1353030 ) M1M2_PR
NEW met1 ( 1210950 165410 ) M1M2_PR ;
- io_oeb[10] ( PIN io_oeb[10] ) ( mprj io_oeb[10] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 2421990 ) ( * 2423180 )
NEW met3 ( 2900990 2423180 ) ( 2917780 * 0 )
NEW met2 ( 475870 1333820 0 ) ( * 1371050 )
NEW met1 ( 475870 1371050 ) ( 1791010 * )
NEW met1 ( 1791010 2421990 ) ( 2900990 * )
NEW met2 ( 1791010 1371050 ) ( * 2421990 )
NEW met1 ( 475870 1371050 ) M1M2_PR
NEW met1 ( 2900990 2421990 ) M1M2_PR
NEW met2 ( 2900990 2423180 ) M2M3_PR_M
NEW met1 ( 1791010 1371050 ) M1M2_PR
NEW met1 ( 1791010 2421990 ) M1M2_PR ;
- io_oeb[11] ( PIN io_oeb[11] ) ( mprj io_oeb[11] ) + USE SIGNAL
+ ROUTED met2 ( 492430 1333820 0 ) ( * 1372750 )
NEW met2 ( 2900990 2684130 ) ( * 2689060 )
NEW met3 ( 2900990 2689060 ) ( 2917780 * 0 )
NEW met1 ( 492430 1372750 ) ( 1026030 * )
NEW met2 ( 1026030 1372750 ) ( * 2684130 )
NEW met1 ( 1026030 2684130 ) ( 2900990 * )
NEW met1 ( 492430 1372750 ) M1M2_PR
NEW met1 ( 2900990 2684130 ) M1M2_PR
NEW met2 ( 2900990 2689060 ) M2M3_PR_M
NEW met1 ( 1026030 1372750 ) M1M2_PR
NEW met1 ( 1026030 2684130 ) M1M2_PR ;
- io_oeb[12] ( PIN io_oeb[12] ) ( mprj io_oeb[12] ) + USE SIGNAL
+ ROUTED met2 ( 509450 1333820 0 ) ( * 1373090 )
NEW met2 ( 2898230 2953410 ) ( * 2954940 )
NEW met3 ( 2898230 2954940 ) ( 2917780 * 0 )
NEW met1 ( 509450 1373090 ) ( 1025570 * )
NEW met2 ( 1025570 1373090 ) ( * 2953410 )
NEW met1 ( 1025570 2953410 ) ( 2898230 * )
NEW met1 ( 509450 1373090 ) M1M2_PR
NEW met1 ( 2898230 2953410 ) M1M2_PR
NEW met2 ( 2898230 2954940 ) M2M3_PR_M
NEW met1 ( 1025570 1373090 ) M1M2_PR
NEW met1 ( 1025570 2953410 ) M1M2_PR ;
- io_oeb[13] ( PIN io_oeb[13] ) ( mprj io_oeb[13] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 3215550 ) ( * 3220140 )
NEW met3 ( 2900990 3220140 ) ( 2917780 * 0 )
NEW met1 ( 1025110 3215550 ) ( 2900990 * )
NEW met2 ( 526010 1333820 0 ) ( * 1355580 )
NEW met2 ( 1025110 1355580 ) ( * 3215550 )
NEW met3 ( 526010 1355580 ) ( 1025110 * )
NEW met1 ( 2900990 3215550 ) M1M2_PR
NEW met2 ( 2900990 3220140 ) M2M3_PR_M
NEW met2 ( 526010 1355580 ) M2M3_PR_M
NEW met2 ( 1025110 1355580 ) M2M3_PR_M
NEW met1 ( 1025110 3215550 ) M1M2_PR ;
- io_oeb[14] ( PIN io_oeb[14] ) ( mprj io_oeb[14] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 3484830 ) ( * 3486020 )
NEW met3 ( 2900990 3486020 ) ( 2917780 * 0 )
NEW met1 ( 1032010 3484830 ) ( 2900990 * )
NEW met2 ( 542570 1333820 0 ) ( * 1356260 )
NEW met2 ( 1032010 1356260 ) ( * 3484830 )
NEW met3 ( 542570 1356260 ) ( 1032010 * )
NEW met1 ( 2900990 3484830 ) M1M2_PR
NEW met2 ( 2900990 3486020 ) M2M3_PR_M
NEW met2 ( 542570 1356260 ) M2M3_PR_M
NEW met2 ( 1032010 1356260 ) M2M3_PR_M
NEW met1 ( 1032010 3484830 ) M1M2_PR ;
- io_oeb[15] ( PIN io_oeb[15] ) ( mprj io_oeb[15] ) + USE SIGNAL
+ ROUTED met2 ( 2636030 3501490 ) ( * 3517980 0 )
NEW met1 ( 1031550 3501490 ) ( 2636030 * )
NEW met2 ( 559590 1333820 0 ) ( * 1354390 )
NEW met2 ( 1031550 1354390 ) ( * 3501490 )
NEW met1 ( 559590 1354390 ) ( 1031550 * )
NEW met1 ( 2636030 3501490 ) M1M2_PR
NEW met1 ( 559590 1354390 ) M1M2_PR
NEW met1 ( 1031550 1354390 ) M1M2_PR
NEW met1 ( 1031550 3501490 ) M1M2_PR ;
- io_oeb[16] ( PIN io_oeb[16] ) ( mprj io_oeb[16] ) + USE SIGNAL
+ ROUTED met2 ( 576150 1333820 0 ) ( * 1368330 )
NEW met2 ( 2311730 3501830 ) ( * 3517980 0 )
NEW met1 ( 576150 1368330 ) ( 1045350 * )
NEW met1 ( 1045350 3501830 ) ( 2311730 * )
NEW met2 ( 1045350 1368330 ) ( * 3501830 )
NEW met1 ( 576150 1368330 ) M1M2_PR
NEW met1 ( 2311730 3501830 ) M1M2_PR
NEW met1 ( 1045350 1368330 ) M1M2_PR
NEW met1 ( 1045350 3501830 ) M1M2_PR ;
- io_oeb[17] ( PIN io_oeb[17] ) ( mprj io_oeb[17] ) + USE SIGNAL
+ ROUTED met2 ( 593170 1333820 0 ) ( * 1356430 )
NEW met2 ( 1987430 1356430 ) ( * 3517980 0 )
NEW met1 ( 593170 1356430 ) ( 1987430 * )
NEW met1 ( 593170 1356430 ) M1M2_PR
NEW met1 ( 1987430 1356430 ) M1M2_PR ;
- io_oeb[18] ( PIN io_oeb[18] ) ( mprj io_oeb[18] ) + USE SIGNAL
+ ROUTED met2 ( 1662670 3502850 ) ( * 3517980 0 )
NEW met1 ( 613870 1480190 ) ( 1011770 * )
NEW met1 ( 1011770 3502850 ) ( 1662670 * )
NEW met2 ( 1011770 1480190 ) ( * 3502850 )
NEW met2 ( 609730 1333820 0 ) ( * 1345890 )
NEW met1 ( 609730 1345890 ) ( 613870 * )
NEW met2 ( 613870 1345890 ) ( * 1480190 )
NEW met1 ( 613870 1480190 ) M1M2_PR
NEW met1 ( 1662670 3502850 ) M1M2_PR
NEW met1 ( 1011770 1480190 ) M1M2_PR
NEW met1 ( 1011770 3502850 ) M1M2_PR
NEW met1 ( 609730 1345890 ) M1M2_PR
NEW met1 ( 613870 1345890 ) M1M2_PR ;
- io_oeb[19] ( PIN io_oeb[19] ) ( mprj io_oeb[19] ) + USE SIGNAL
+ ROUTED met2 ( 1093650 1479850 ) ( * 3503870 )
NEW met1 ( 627670 1479850 ) ( 1093650 * )
NEW met1 ( 1093650 3503870 ) ( 1338370 * )
NEW met2 ( 1338370 3503870 ) ( * 3517980 0 )
NEW met2 ( 627670 1366200 ) ( * 1479850 )
NEW met2 ( 626290 1333820 0 ) ( * 1366200 )
NEW met2 ( 626290 1366200 ) ( 627670 * )
NEW met1 ( 1093650 1479850 ) M1M2_PR
NEW met1 ( 1093650 3503870 ) M1M2_PR
NEW met1 ( 627670 1479850 ) M1M2_PR
NEW met1 ( 1338370 3503870 ) M1M2_PR ;
- io_oeb[1] ( PIN io_oeb[1] ) ( mprj io_oeb[1] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 364820 ) ( * 365670 )
NEW met3 ( 2900990 364820 ) ( 2917780 * 0 )
NEW met2 ( 324990 1333820 0 ) ( * 1346740 )
NEW met2 ( 1197150 365670 ) ( * 1346740 )
NEW met1 ( 1197150 365670 ) ( 2900990 * )
NEW met3 ( 324990 1346740 ) ( 1197150 * )
NEW met1 ( 2900990 365670 ) M1M2_PR
NEW met2 ( 2900990 364820 ) M2M3_PR_M
NEW met2 ( 324990 1346740 ) M2M3_PR_M
NEW met2 ( 1197150 1346740 ) M2M3_PR_M
NEW met1 ( 1197150 365670 ) M1M2_PR ;
- io_oeb[20] ( PIN io_oeb[20] ) ( mprj io_oeb[20] ) + USE SIGNAL
+ ROUTED met2 ( 643310 1333820 0 ) ( * 1354050 )
NEW met1 ( 1007630 3515090 ) ( 1014070 * )
NEW met2 ( 1014070 3515090 ) ( * 3517980 0 )
NEW met2 ( 1007630 1354050 ) ( * 3515090 )
NEW met1 ( 643310 1354050 ) ( 1007630 * )
NEW met1 ( 643310 1354050 ) M1M2_PR
NEW met1 ( 1007630 1354050 ) M1M2_PR
NEW met1 ( 1007630 3515090 ) M1M2_PR
NEW met1 ( 1014070 3515090 ) M1M2_PR ;
- io_oeb[21] ( PIN io_oeb[21] ) ( mprj io_oeb[21] ) + USE SIGNAL
+ ROUTED met1 ( 689310 3501490 ) ( 693450 * )
NEW met1 ( 762910 1455030 ) ( 766590 * )
NEW met2 ( 766590 1455030 ) ( * 1476790 )
NEW met1 ( 766590 1476790 ) ( 783150 * )
NEW met1 ( 762450 3180870 ) ( 769350 * )
NEW met1 ( 893550 2132310 ) ( 897230 * )
NEW met2 ( 897230 2132310 ) ( * 2153390 )
NEW met2 ( 869630 2704530 ) ( * 2728670 )
NEW met1 ( 869630 2704530 ) ( 879750 * )
NEW met1 ( 980030 2208810 ) ( 987390 * )
NEW met1 ( 693450 3443010 ) ( 697130 * )
NEW met2 ( 697130 3423630 ) ( * 3443010 )
NEW met2 ( 693450 3443010 ) ( * 3501490 )
NEW met2 ( 689310 3501490 ) ( * 3517980 0 )
NEW met2 ( 762910 1380230 ) ( * 1455030 )
NEW met1 ( 783150 1594430 ) ( 791890 * )
NEW met2 ( 791890 1594430 ) ( * 1607690 )
NEW met2 ( 783150 1476790 ) ( * 1594430 )
NEW met1 ( 783150 2968710 ) ( 790510 * )
NEW met2 ( 790510 2959870 ) ( * 2968710 )
NEW met1 ( 790510 2959870 ) ( 796950 * )
NEW met2 ( 796950 2918730 ) ( * 2959870 )
NEW met1 ( 769350 3049630 ) ( 783150 * )
NEW met2 ( 783150 2968710 ) ( * 3049630 )
NEW met2 ( 769350 3049630 ) ( * 3180870 )
NEW met2 ( 762450 3180870 ) ( * 3262470 )
NEW met1 ( 872850 1984410 ) ( 880210 * )
NEW met2 ( 880210 1984410 ) ( * 1994270 )
NEW met1 ( 880210 1994270 ) ( 886650 * )
NEW met2 ( 872850 1942250 ) ( * 1984410 )
NEW met1 ( 886650 2083690 ) ( 893550 * )
NEW met2 ( 886650 1994270 ) ( * 2083690 )
NEW met2 ( 893550 2083690 ) ( * 2132310 )
NEW met1 ( 879750 2670190 ) ( 884810 * )
NEW met2 ( 884810 2652850 ) ( * 2670190 )
NEW met2 ( 879750 2670190 ) ( * 2704530 )
NEW met2 ( 957030 2187390 ) ( * 2194530 )
NEW met1 ( 957030 2194530 ) ( 980030 * )
NEW met2 ( 980030 2194530 ) ( * 2208810 )
NEW met2 ( 980030 2647750 ) ( * 2652850 )
NEW met1 ( 980030 2647750 ) ( 987390 * )
NEW met2 ( 987390 2208810 ) ( * 2647750 )
NEW met2 ( 752330 1359830 ) ( * 1380230 )
NEW met1 ( 659870 1359830 ) ( 752330 * )
NEW met1 ( 752330 1380230 ) ( 762910 * )
NEW met1 ( 727950 3277770 ) ( 731630 * )
NEW met1 ( 731630 3277430 ) ( * 3277770 )
NEW met1 ( 731630 3277430 ) ( 745430 * )
NEW met1 ( 717830 3395070 ) ( 727950 * )
NEW met1 ( 810750 1648490 ) ( 825010 * )
NEW met2 ( 825010 1648490 ) ( * 1656310 )
NEW met1 ( 825010 1656310 ) ( 838350 * )
NEW met1 ( 845710 1849430 ) ( 852150 * )
NEW met1 ( 852150 1942250 ) ( 872850 * )
NEW met1 ( 842030 2739210 ) ( 848930 * )
NEW met2 ( 848930 2728670 ) ( * 2739210 )
NEW met1 ( 848930 2728670 ) ( 869630 * )
NEW met1 ( 796950 2918730 ) ( 807300 * )
NEW met1 ( 807300 2918390 ) ( * 2918730 )
NEW met1 ( 807300 2918390 ) ( 808910 * )
NEW met2 ( 808910 2906830 ) ( * 2918390 )
NEW met1 ( 808910 2906830 ) ( 824550 * )
NEW met1 ( 897230 2153390 ) ( 915170 * )
NEW met2 ( 659870 1333820 0 ) ( * 1359830 )
NEW met2 ( 745430 3262470 ) ( * 3277430 )
NEW met1 ( 745430 3262470 ) ( 762450 * )
NEW met2 ( 727950 3277770 ) ( * 3395070 )
NEW met1 ( 697130 3423630 ) ( 717830 * )
NEW met2 ( 717830 3395070 ) ( * 3423630 )
NEW met1 ( 791890 1607690 ) ( 807300 * )
NEW met1 ( 807300 1607690 ) ( * 1608030 )
NEW met1 ( 807300 1608030 ) ( 810750 * )
NEW met2 ( 810750 1608030 ) ( * 1648490 )
NEW met1 ( 838350 1711390 ) ( 845710 * )
NEW met2 ( 838350 1656310 ) ( * 1711390 )
NEW met2 ( 845710 1711390 ) ( * 1849430 )
NEW met2 ( 852150 1849430 ) ( * 1942250 )
NEW met1 ( 831450 2739550 ) ( 842030 * )
NEW met1 ( 842030 2739210 ) ( * 2739550 )
NEW met1 ( 824550 2877590 ) ( 831450 * )
NEW met2 ( 824550 2877590 ) ( * 2906830 )
NEW met2 ( 831450 2739550 ) ( * 2877590 )
NEW met1 ( 915170 2187050 ) ( 931730 * )
NEW met1 ( 931730 2187050 ) ( * 2187390 )
NEW met2 ( 915170 2153390 ) ( * 2187050 )
NEW met1 ( 931730 2187390 ) ( 957030 * )
NEW met1 ( 884810 2652850 ) ( 980030 * )
NEW met1 ( 689310 3501490 ) M1M2_PR
NEW met1 ( 693450 3501490 ) M1M2_PR
NEW met1 ( 762910 1380230 ) M1M2_PR
NEW met1 ( 762910 1455030 ) M1M2_PR
NEW met1 ( 766590 1455030 ) M1M2_PR
NEW met1 ( 766590 1476790 ) M1M2_PR
NEW met1 ( 783150 1476790 ) M1M2_PR
NEW met1 ( 796950 2918730 ) M1M2_PR
NEW met1 ( 762450 3180870 ) M1M2_PR
NEW met1 ( 769350 3180870 ) M1M2_PR
NEW met1 ( 872850 1942250 ) M1M2_PR
NEW met1 ( 893550 2132310 ) M1M2_PR
NEW met1 ( 897230 2132310 ) M1M2_PR
NEW met1 ( 897230 2153390 ) M1M2_PR
NEW met1 ( 869630 2728670 ) M1M2_PR
NEW met1 ( 869630 2704530 ) M1M2_PR
NEW met1 ( 879750 2704530 ) M1M2_PR
NEW met1 ( 980030 2208810 ) M1M2_PR
NEW met1 ( 987390 2208810 ) M1M2_PR
NEW met1 ( 693450 3443010 ) M1M2_PR
NEW met1 ( 697130 3443010 ) M1M2_PR
NEW met1 ( 697130 3423630 ) M1M2_PR
NEW met1 ( 783150 1594430 ) M1M2_PR
NEW met1 ( 791890 1594430 ) M1M2_PR
NEW met1 ( 791890 1607690 ) M1M2_PR
NEW met1 ( 783150 2968710 ) M1M2_PR
NEW met1 ( 790510 2968710 ) M1M2_PR
NEW met1 ( 790510 2959870 ) M1M2_PR
NEW met1 ( 796950 2959870 ) M1M2_PR
NEW met1 ( 769350 3049630 ) M1M2_PR
NEW met1 ( 783150 3049630 ) M1M2_PR
NEW met1 ( 762450 3262470 ) M1M2_PR
NEW met1 ( 872850 1984410 ) M1M2_PR
NEW met1 ( 880210 1984410 ) M1M2_PR
NEW met1 ( 880210 1994270 ) M1M2_PR
NEW met1 ( 886650 1994270 ) M1M2_PR
NEW met1 ( 886650 2083690 ) M1M2_PR
NEW met1 ( 893550 2083690 ) M1M2_PR
NEW met1 ( 879750 2670190 ) M1M2_PR
NEW met1 ( 884810 2670190 ) M1M2_PR
NEW met1 ( 884810 2652850 ) M1M2_PR
NEW met1 ( 957030 2187390 ) M1M2_PR
NEW met1 ( 957030 2194530 ) M1M2_PR
NEW met1 ( 980030 2194530 ) M1M2_PR
NEW met1 ( 980030 2652850 ) M1M2_PR
NEW met1 ( 980030 2647750 ) M1M2_PR
NEW met1 ( 987390 2647750 ) M1M2_PR
NEW met1 ( 659870 1359830 ) M1M2_PR
NEW met1 ( 752330 1359830 ) M1M2_PR
NEW met1 ( 752330 1380230 ) M1M2_PR
NEW met1 ( 727950 3277770 ) M1M2_PR
NEW met1 ( 745430 3277430 ) M1M2_PR
NEW met1 ( 717830 3395070 ) M1M2_PR
NEW met1 ( 727950 3395070 ) M1M2_PR
NEW met1 ( 810750 1648490 ) M1M2_PR
NEW met1 ( 825010 1648490 ) M1M2_PR
NEW met1 ( 825010 1656310 ) M1M2_PR
NEW met1 ( 838350 1656310 ) M1M2_PR
NEW met1 ( 845710 1849430 ) M1M2_PR
NEW met1 ( 852150 1849430 ) M1M2_PR
NEW met1 ( 852150 1942250 ) M1M2_PR
NEW met1 ( 848930 2739210 ) M1M2_PR
NEW met1 ( 848930 2728670 ) M1M2_PR
NEW met1 ( 808910 2918390 ) M1M2_PR
NEW met1 ( 808910 2906830 ) M1M2_PR
NEW met1 ( 824550 2906830 ) M1M2_PR
NEW met1 ( 915170 2153390 ) M1M2_PR
NEW met1 ( 745430 3262470 ) M1M2_PR
NEW met1 ( 717830 3423630 ) M1M2_PR
NEW met1 ( 810750 1608030 ) M1M2_PR
NEW met1 ( 838350 1711390 ) M1M2_PR
NEW met1 ( 845710 1711390 ) M1M2_PR
NEW met1 ( 831450 2739550 ) M1M2_PR
NEW met1 ( 824550 2877590 ) M1M2_PR
NEW met1 ( 831450 2877590 ) M1M2_PR
NEW met1 ( 915170 2187050 ) M1M2_PR ;
- io_oeb[22] ( PIN io_oeb[22] ) ( mprj io_oeb[22] ) + USE SIGNAL
+ ROUTED met2 ( 295550 2225130 ) ( * 3501490 )
NEW met2 ( 676890 1333820 0 ) ( * 2225130 )
NEW met1 ( 295550 3501490 ) ( 365010 * )
NEW met1 ( 295550 2225130 ) ( 676890 * )
NEW met2 ( 365010 3501490 ) ( * 3517980 0 )
NEW met1 ( 295550 2225130 ) M1M2_PR
NEW met1 ( 295550 3501490 ) M1M2_PR
NEW met1 ( 676890 2225130 ) M1M2_PR
NEW met1 ( 365010 3501490 ) M1M2_PR ;
- io_oeb[23] ( PIN io_oeb[23] ) ( mprj io_oeb[23] ) + USE SIGNAL
+ ROUTED met2 ( 693450 1333820 0 ) ( * 1359150 )
NEW met2 ( 41170 1359150 ) ( * 3512100 )
NEW met2 ( 40710 3512100 ) ( 41170 * )
NEW met2 ( 40710 3512100 ) ( * 3517980 0 )
NEW met1 ( 41170 1359150 ) ( 693450 * )
NEW met1 ( 693450 1359150 ) M1M2_PR
NEW met1 ( 41170 1359150 ) M1M2_PR ;
- io_oeb[24] ( PIN io_oeb[24] ) ( mprj io_oeb[24] ) + USE SIGNAL
+ ROUTED met3 ( 2300 3290860 0 ) ( 17710 * )
NEW met2 ( 704030 1353710 ) ( * 1358810 )
NEW met1 ( 704030 1353710 ) ( 710470 * )
NEW met2 ( 17710 1358810 ) ( * 3290860 )
NEW met2 ( 710470 1333820 0 ) ( * 1353710 )
NEW met1 ( 17710 1358810 ) ( 704030 * )
NEW met1 ( 17710 1358810 ) M1M2_PR
NEW met2 ( 17710 3290860 ) M2M3_PR_M
NEW met1 ( 704030 1358810 ) M1M2_PR
NEW met1 ( 704030 1353710 ) M1M2_PR
NEW met1 ( 710470 1353710 ) M1M2_PR ;
- io_oeb[25] ( PIN io_oeb[25] ) ( mprj io_oeb[25] ) + USE SIGNAL
+ ROUTED met3 ( 2300 3030420 0 ) ( 19090 * )
NEW met2 ( 19090 1358130 ) ( * 3030420 )
NEW met2 ( 727030 1333820 0 ) ( * 1358130 )
NEW met1 ( 19090 1358130 ) ( 727030 * )
NEW met1 ( 19090 1358130 ) M1M2_PR
NEW met2 ( 19090 3030420 ) M2M3_PR_M
NEW met1 ( 727030 1358130 ) M1M2_PR ;
- io_oeb[26] ( PIN io_oeb[26] ) ( mprj io_oeb[26] ) + USE SIGNAL
+ ROUTED met3 ( 2300 2769300 0 ) ( 20010 * )
NEW met2 ( 20010 1357790 ) ( * 2769300 )
NEW met2 ( 743590 1333820 0 ) ( * 1357790 )
NEW met1 ( 20010 1357790 ) ( 743590 * )
NEW met1 ( 20010 1357790 ) M1M2_PR
NEW met2 ( 20010 2769300 ) M2M3_PR_M
NEW met1 ( 743590 1357790 ) M1M2_PR ;
- io_oeb[27] ( PIN io_oeb[27] ) ( mprj io_oeb[27] ) + USE SIGNAL
+ ROUTED met3 ( 2300 2508860 0 ) ( 16790 * )
NEW met2 ( 16790 1357110 ) ( * 2508860 )
NEW met2 ( 760610 1333820 0 ) ( * 1357110 )
NEW met1 ( 16790 1357110 ) ( 760610 * )
NEW met1 ( 16790 1357110 ) M1M2_PR
NEW met2 ( 16790 2508860 ) M2M3_PR_M
NEW met1 ( 760610 1357110 ) M1M2_PR ;
- io_oeb[28] ( PIN io_oeb[28] ) ( mprj io_oeb[28] ) + USE SIGNAL
+ ROUTED met3 ( 2300 2247740 0 ) ( 15870 * )
NEW met2 ( 15870 1356770 ) ( * 2247740 )
NEW met2 ( 777170 1333820 0 ) ( * 1356770 )
NEW met1 ( 15870 1356770 ) ( 777170 * )
NEW met1 ( 15870 1356770 ) M1M2_PR
NEW met2 ( 15870 2247740 ) M2M3_PR_M
NEW met1 ( 777170 1356770 ) M1M2_PR ;
- io_oeb[29] ( PIN io_oeb[29] ) ( mprj io_oeb[29] ) + USE SIGNAL
+ ROUTED met3 ( 2300 1987300 0 ) ( 15410 * )
NEW met2 ( 15410 1987300 ) ( * 1987470 )
NEW met2 ( 794190 1333820 0 ) ( * 1987470 )
NEW met1 ( 15410 1987470 ) ( 794190 * )
NEW met2 ( 15410 1987300 ) M2M3_PR_M
NEW met1 ( 15410 1987470 ) M1M2_PR
NEW met1 ( 794190 1987470 ) M1M2_PR ;
- io_oeb[2] ( PIN io_oeb[2] ) ( mprj io_oeb[2] ) + USE SIGNAL
+ ROUTED met3 ( 2902370 564060 ) ( 2917780 * 0 )
NEW met2 ( 2902370 564060 ) ( * 1339260 )
NEW met2 ( 341550 1333820 0 ) ( * 1339260 )
NEW met3 ( 341550 1339260 ) ( 2902370 * )
NEW met2 ( 2902370 1339260 ) M2M3_PR_M
NEW met2 ( 2902370 564060 ) M2M3_PR_M
NEW met2 ( 341550 1339260 ) M2M3_PR_M ;
- io_oeb[30] ( PIN io_oeb[30] ) ( mprj io_oeb[30] ) + USE SIGNAL
+ ROUTED met3 ( 2300 1726860 0 ) ( 15410 * )
NEW met2 ( 15410 1725330 ) ( * 1726860 )
NEW met1 ( 15410 1725330 ) ( 807530 * )
NEW met2 ( 807530 1435200 ) ( 810750 * )
NEW met2 ( 810750 1333820 0 ) ( * 1435200 )
NEW met2 ( 807530 1435200 ) ( * 1725330 )
NEW met2 ( 15410 1726860 ) M2M3_PR_M
NEW met1 ( 15410 1725330 ) M1M2_PR
NEW met1 ( 807530 1725330 ) M1M2_PR ;
- io_oeb[31] ( PIN io_oeb[31] ) ( mprj io_oeb[31] ) + USE SIGNAL
+ ROUTED met3 ( 2300 1465740 0 ) ( 15410 * )
NEW met2 ( 15410 1462850 ) ( * 1465740 )
NEW met1 ( 15410 1462850 ) ( 821790 * )
NEW met2 ( 821790 1435200 ) ( * 1462850 )
NEW met2 ( 821790 1435200 ) ( 827310 * )
NEW met2 ( 827310 1333820 0 ) ( * 1435200 )
NEW met2 ( 15410 1465740 ) M2M3_PR_M
NEW met1 ( 15410 1462850 ) M1M2_PR
NEW met1 ( 821790 1462850 ) M1M2_PR ;
- io_oeb[32] ( PIN io_oeb[32] ) ( mprj io_oeb[32] ) + USE SIGNAL
+ ROUTED met3 ( 2300 1205300 0 ) ( 16790 * )
NEW met2 ( 16790 1205300 ) ( * 1205470 )
NEW met1 ( 16790 1205470 ) ( 38870 * )
NEW met2 ( 38870 1205470 ) ( * 1337390 )
NEW met2 ( 844330 1333820 0 ) ( * 1337390 )
NEW met1 ( 38870 1337390 ) ( 844330 * )
NEW met2 ( 16790 1205300 ) M2M3_PR_M
NEW met1 ( 16790 1205470 ) M1M2_PR
NEW met1 ( 38870 1205470 ) M1M2_PR
NEW met1 ( 38870 1337390 ) M1M2_PR
NEW met1 ( 844330 1337390 ) M1M2_PR ;
- io_oeb[33] ( PIN io_oeb[33] ) ( mprj io_oeb[33] ) + USE SIGNAL
+ ROUTED met3 ( 2300 944180 0 ) ( 16790 * )
NEW met2 ( 16790 944180 ) ( * 944690 )
NEW met2 ( 860890 1333820 0 ) ( * 1335690 )
NEW met1 ( 16790 944690 ) ( 38410 * )
NEW met2 ( 38410 944690 ) ( * 1335690 )
NEW met1 ( 38410 1335690 ) ( 860890 * )
NEW met2 ( 16790 944180 ) M2M3_PR_M
NEW met1 ( 16790 944690 ) M1M2_PR
NEW met1 ( 860890 1335690 ) M1M2_PR
NEW met1 ( 38410 944690 ) M1M2_PR
NEW met1 ( 38410 1335690 ) M1M2_PR ;
- io_oeb[34] ( PIN io_oeb[34] ) ( mprj io_oeb[34] ) + USE SIGNAL
+ ROUTED met3 ( 2300 683740 0 ) ( 18630 * )
NEW met2 ( 18630 683740 ) ( * 1336030 )
NEW met2 ( 877910 1333820 0 ) ( * 1336030 )
NEW met1 ( 18630 1336030 ) ( 877910 * )
NEW met2 ( 18630 683740 ) M2M3_PR_M
NEW met1 ( 18630 1336030 ) M1M2_PR
NEW met1 ( 877910 1336030 ) M1M2_PR ;
- io_oeb[35] ( PIN io_oeb[35] ) ( mprj io_oeb[35] ) + USE SIGNAL
+ ROUTED met3 ( 2300 423300 0 ) ( 18170 * )
NEW met2 ( 18170 423300 ) ( * 1333990 )
NEW met2 ( 894470 1333820 0 ) ( * 1333990 )
NEW met1 ( 18170 1333990 ) ( 894470 * )
NEW met2 ( 18170 423300 ) M2M3_PR_M
NEW met1 ( 18170 1333990 ) M1M2_PR
NEW met1 ( 894470 1333990 ) M1M2_PR ;
- io_oeb[36] ( PIN io_oeb[36] ) ( mprj io_oeb[36] ) + USE SIGNAL
+ ROUTED met3 ( 2300 227460 0 ) ( 17710 * )
NEW met2 ( 16790 1314780 ) ( 17710 * )
NEW met2 ( 16790 1314780 ) ( * 1340790 )
NEW met2 ( 17710 227460 ) ( * 1314780 )
NEW met2 ( 524170 1340790 ) ( * 1350990 )
NEW met1 ( 16790 1340790 ) ( 524170 * )
NEW met2 ( 911030 1333820 0 ) ( * 1350990 )
NEW met1 ( 524170 1350990 ) ( 911030 * )
NEW met2 ( 17710 227460 ) M2M3_PR_M
NEW met1 ( 16790 1340790 ) M1M2_PR
NEW met1 ( 524170 1340790 ) M1M2_PR
NEW met1 ( 524170 1350990 ) M1M2_PR
NEW met1 ( 911030 1350990 ) M1M2_PR ;
- io_oeb[37] ( PIN io_oeb[37] ) ( mprj io_oeb[37] ) + USE SIGNAL
+ ROUTED met3 ( 2300 32300 0 ) ( 17250 * )
NEW met2 ( 17250 32300 ) ( * 34170 )
NEW met2 ( 196650 34170 ) ( * 1349290 )
NEW met1 ( 17250 34170 ) ( 196650 * )
NEW met2 ( 928050 1333820 0 ) ( * 1349290 )
NEW met1 ( 196650 1349290 ) ( 928050 * )
NEW met2 ( 17250 32300 ) M2M3_PR_M
NEW met1 ( 17250 34170 ) M1M2_PR
NEW met1 ( 196650 34170 ) M1M2_PR
NEW met1 ( 196650 1349290 ) M1M2_PR
NEW met1 ( 928050 1349290 ) M1M2_PR ;
- io_oeb[3] ( PIN io_oeb[3] ) ( mprj io_oeb[3] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 763300 ) ( * 765850 )
NEW met3 ( 2900990 763300 ) ( 2917780 * 0 )
NEW met1 ( 1225670 765850 ) ( 2900990 * )
NEW met2 ( 358570 1333820 0 ) ( * 1334500 )
NEW met2 ( 1225670 765850 ) ( * 1334500 )
NEW met3 ( 358570 1334500 ) ( 1225670 * )
NEW met1 ( 2900990 765850 ) M1M2_PR
NEW met2 ( 2900990 763300 ) M2M3_PR_M
NEW met1 ( 1225670 765850 ) M1M2_PR
NEW met2 ( 358570 1334500 ) M2M3_PR_M
NEW met2 ( 1225670 1334500 ) M2M3_PR_M ;
- io_oeb[4] ( PIN io_oeb[4] ) ( mprj io_oeb[4] ) + USE SIGNAL
+ ROUTED met2 ( 2898230 962540 ) ( * 965770 )
NEW met3 ( 2898230 962540 ) ( 2917780 * 0 )
NEW met2 ( 375130 1333820 0 ) ( * 1334670 )
NEW met1 ( 1232110 965770 ) ( 2898230 * )
NEW met2 ( 1232110 965770 ) ( * 1334670 )
NEW met1 ( 375130 1334670 ) ( 1232110 * )
NEW met1 ( 2898230 965770 ) M1M2_PR
NEW met2 ( 2898230 962540 ) M2M3_PR_M
NEW met1 ( 375130 1334670 ) M1M2_PR
NEW met1 ( 1232110 965770 ) M1M2_PR
NEW met1 ( 1232110 1334670 ) M1M2_PR ;
- io_oeb[5] ( PIN io_oeb[5] ) ( mprj io_oeb[5] ) + USE SIGNAL
+ ROUTED met3 ( 2903750 1161780 ) ( 2917780 * 0 )
NEW met2 ( 392150 1333820 0 ) ( * 1345890 )
NEW met2 ( 486450 1335010 ) ( * 1345890 )
NEW li1 ( 1169090 1335010 ) ( 1170010 * )
NEW met2 ( 2903750 1161780 ) ( * 1335010 )
NEW met1 ( 392150 1345890 ) ( 486450 * )
NEW met1 ( 1170010 1335010 ) ( 2903750 * )
NEW met1 ( 486450 1335010 ) ( 1169090 * )
NEW met1 ( 392150 1345890 ) M1M2_PR
NEW met1 ( 486450 1345890 ) M1M2_PR
NEW met2 ( 2903750 1161780 ) M2M3_PR_M
NEW met1 ( 486450 1335010 ) M1M2_PR
NEW li1 ( 1169090 1335010 ) L1M1_PR_MR
NEW li1 ( 1170010 1335010 ) L1M1_PR_MR
NEW met1 ( 2903750 1335010 ) M1M2_PR ;
- io_oeb[6] ( PIN io_oeb[6] ) ( mprj io_oeb[6] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 1355750 ) ( * 1361020 )
NEW met3 ( 2900990 1361020 ) ( 2917780 * 0 )
NEW met2 ( 408710 1333820 0 ) ( * 1355750 )
NEW met1 ( 408710 1355750 ) ( 2900990 * )
NEW met1 ( 408710 1355750 ) M1M2_PR
NEW met1 ( 2900990 1355750 ) M1M2_PR
NEW met2 ( 2900990 1361020 ) M2M3_PR_M ;
- io_oeb[7] ( PIN io_oeb[7] ) ( mprj io_oeb[7] ) + USE SIGNAL
+ ROUTED met2 ( 2898230 1621630 ) ( * 1626220 )
NEW met3 ( 2898230 1626220 ) ( 2917780 * 0 )
NEW met2 ( 425730 1333820 0 ) ( * 1338600 )
NEW met2 ( 425730 1338600 ) ( 427110 * )
NEW met2 ( 427110 1338600 ) ( * 1621630 )
NEW met1 ( 427110 1621630 ) ( 2898230 * )
NEW met1 ( 2898230 1621630 ) M1M2_PR
NEW met2 ( 2898230 1626220 ) M2M3_PR_M
NEW met1 ( 427110 1621630 ) M1M2_PR ;
- io_oeb[8] ( PIN io_oeb[8] ) ( mprj io_oeb[8] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 1890910 ) ( * 1892100 )
NEW met3 ( 2900990 1892100 ) ( 2917780 * 0 )
NEW met1 ( 442290 1351330 ) ( 447810 * )
NEW met2 ( 442290 1333820 0 ) ( * 1351330 )
NEW met2 ( 447810 1351330 ) ( * 1890910 )
NEW met1 ( 447810 1890910 ) ( 2900990 * )
NEW met1 ( 2900990 1890910 ) M1M2_PR
NEW met2 ( 2900990 1892100 ) M2M3_PR_M
NEW met1 ( 442290 1351330 ) M1M2_PR
NEW met1 ( 447810 1351330 ) M1M2_PR
NEW met1 ( 447810 1890910 ) M1M2_PR ;
- io_oeb[9] ( PIN io_oeb[9] ) ( mprj io_oeb[9] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 2153050 ) ( * 2157980 )
NEW met3 ( 2900990 2157980 ) ( 2917780 * 0 )
NEW met1 ( 458850 1351330 ) ( 462070 * )
NEW met1 ( 462070 2153050 ) ( 2900990 * )
NEW met2 ( 458850 1333820 0 ) ( * 1351330 )
NEW met2 ( 462070 1351330 ) ( * 2153050 )
NEW met1 ( 2900990 2153050 ) M1M2_PR
NEW met2 ( 2900990 2157980 ) M2M3_PR_M
NEW met1 ( 458850 1351330 ) M1M2_PR
NEW met1 ( 462070 1351330 ) M1M2_PR
NEW met1 ( 462070 2153050 ) M1M2_PR ;
- io_out[0] ( PIN io_out[0] ) ( mprj io_out[0] ) + USE SIGNAL
+ ROUTED met3 ( 2901910 98940 ) ( 2917780 * 0 )
NEW met2 ( 313950 1333820 0 ) ( * 1349630 )
NEW met2 ( 796950 1337730 ) ( * 1349630 )
NEW met2 ( 2901910 98940 ) ( * 1337730 )
NEW met1 ( 796950 1337730 ) ( 2901910 * )
NEW met1 ( 313950 1349630 ) ( 796950 * )
NEW met1 ( 313950 1349630 ) M1M2_PR
NEW met1 ( 796950 1349630 ) M1M2_PR
NEW met2 ( 2901910 98940 ) M2M3_PR_M
NEW met1 ( 796950 1337730 ) M1M2_PR
NEW met1 ( 2901910 1337730 ) M1M2_PR ;
- io_out[10] ( PIN io_out[10] ) ( mprj io_out[10] ) + USE SIGNAL
+ ROUTED met2 ( 481390 1333820 0 ) ( * 1371390 )
NEW met2 ( 2898230 2352970 ) ( * 2357220 )
NEW met3 ( 2898230 2357220 ) ( 2917780 * 0 )
NEW met1 ( 481390 1371390 ) ( 1797910 * )
NEW met2 ( 1797910 1371390 ) ( * 2352970 )
NEW met1 ( 1797910 2352970 ) ( 2898230 * )
NEW met1 ( 481390 1371390 ) M1M2_PR
NEW met1 ( 2898230 2352970 ) M1M2_PR
NEW met2 ( 2898230 2357220 ) M2M3_PR_M
NEW met1 ( 1797910 1371390 ) M1M2_PR
NEW met1 ( 1797910 2352970 ) M1M2_PR ;
- io_out[11] ( PIN io_out[11] ) ( mprj io_out[11] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 2622250 ) ( * 2622420 )
NEW met3 ( 2900990 2622420 ) ( 2917780 * 0 )
NEW met2 ( 497950 1333820 0 ) ( * 1376490 )
NEW met1 ( 497950 1376490 ) ( 1797450 * )
NEW met1 ( 1797450 2622250 ) ( 2900990 * )
NEW met2 ( 1797450 1376490 ) ( * 2622250 )
NEW met1 ( 497950 1376490 ) M1M2_PR
NEW met1 ( 2900990 2622250 ) M1M2_PR
NEW met2 ( 2900990 2622420 ) M2M3_PR_M
NEW met1 ( 1797450 1376490 ) M1M2_PR
NEW met1 ( 1797450 2622250 ) M1M2_PR ;
- io_out[12] ( PIN io_out[12] ) ( mprj io_out[12] ) + USE SIGNAL
+ ROUTED met3 ( 2902370 2888300 ) ( 2917780 * 0 )
NEW met2 ( 514970 1333820 0 ) ( * 1356090 )
NEW met2 ( 2902370 1356090 ) ( * 2888300 )
NEW met1 ( 514970 1356090 ) ( 2902370 * )
NEW met1 ( 514970 1356090 ) M1M2_PR
NEW met1 ( 2902370 1356090 ) M1M2_PR
NEW met2 ( 2902370 2888300 ) M2M3_PR_M ;
- io_out[13] ( PIN io_out[13] ) ( mprj io_out[13] ) + USE SIGNAL
+ ROUTED met3 ( 2901910 3154180 ) ( 2917780 * 0 )
NEW met2 ( 2901910 1362550 ) ( * 3154180 )
NEW met2 ( 531530 1333820 0 ) ( * 1362550 )
NEW met1 ( 531530 1362550 ) ( 2901910 * )
NEW met1 ( 2901910 1362550 ) M1M2_PR
NEW met2 ( 2901910 3154180 ) M2M3_PR_M
NEW met1 ( 531530 1362550 ) M1M2_PR ;
- io_out[14] ( PIN io_out[14] ) ( mprj io_out[14] ) + USE SIGNAL
+ ROUTED met3 ( 2901450 3419380 ) ( 2917780 * 0 )
NEW met2 ( 2901450 1362890 ) ( * 3419380 )
NEW met2 ( 548550 1333820 0 ) ( * 1362890 )
NEW met1 ( 548550 1362890 ) ( 2901450 * )
NEW met1 ( 2901450 1362890 ) M1M2_PR
NEW met2 ( 2901450 3419380 ) M2M3_PR_M
NEW met1 ( 548550 1362890 ) M1M2_PR ;
- io_out[15] ( PIN io_out[15] ) ( mprj io_out[15] ) + USE SIGNAL
+ ROUTED met2 ( 2711930 1363230 ) ( * 3512100 )
NEW met2 ( 2711930 3512100 ) ( 2717450 * )
NEW met2 ( 2717450 3512100 ) ( * 3517980 0 )
NEW met2 ( 565110 1333820 0 ) ( * 1363230 )
NEW met1 ( 565110 1363230 ) ( 2711930 * )
NEW met1 ( 2711930 1363230 ) M1M2_PR
NEW met1 ( 565110 1363230 ) M1M2_PR ;
- io_out[16] ( PIN io_out[16] ) ( mprj io_out[16] ) + USE SIGNAL
+ ROUTED met2 ( 581670 1333820 0 ) ( * 1363570 )
NEW met2 ( 2387630 1363570 ) ( * 3512100 )
NEW met2 ( 2387630 3512100 ) ( 2392690 * )
NEW met2 ( 2392690 3512100 ) ( * 3517980 0 )
NEW met1 ( 581670 1363570 ) ( 2387630 * )
NEW met1 ( 581670 1363570 ) M1M2_PR
NEW met1 ( 2387630 1363570 ) M1M2_PR ;
- io_out[17] ( PIN io_out[17] ) ( mprj io_out[17] ) + USE SIGNAL
+ ROUTED met2 ( 2063330 3517980 ) ( 2067470 * )
NEW met2 ( 2067470 3517300 ) ( * 3517980 )
NEW met2 ( 2067470 3517300 ) ( 2068390 * )
NEW met2 ( 2068390 3517300 ) ( * 3517980 0 )
NEW met2 ( 2063330 1363910 ) ( * 3517980 )
NEW met2 ( 598690 1333820 0 ) ( * 1363910 )
NEW met1 ( 598690 1363910 ) ( 2063330 * )
NEW met1 ( 2063330 1363910 ) M1M2_PR
NEW met1 ( 598690 1363910 ) M1M2_PR ;
- io_out[18] ( PIN io_out[18] ) ( mprj io_out[18] ) + USE SIGNAL
+ ROUTED met2 ( 997050 1487330 ) ( * 3502510 )
NEW met2 ( 1744090 3502510 ) ( * 3517980 0 )
NEW met1 ( 997050 3502510 ) ( 1744090 * )
NEW met1 ( 620310 1487330 ) ( 997050 * )
NEW met2 ( 615250 1333820 0 ) ( * 1345890 )
NEW met1 ( 615250 1345890 ) ( 620310 * )
NEW met2 ( 620310 1345890 ) ( * 1487330 )
NEW met1 ( 997050 3502510 ) M1M2_PR
NEW met1 ( 1744090 3502510 ) M1M2_PR
NEW met1 ( 997050 1487330 ) M1M2_PR
NEW met1 ( 620310 1487330 ) M1M2_PR
NEW met1 ( 615250 1345890 ) M1M2_PR
NEW met1 ( 620310 1345890 ) M1M2_PR ;
- io_out[19] ( PIN io_out[19] ) ( mprj io_out[19] ) + USE SIGNAL
+ ROUTED met1 ( 1024650 3503530 ) ( 1419330 * )
NEW met1 ( 634110 1486990 ) ( 1024650 * )
NEW met2 ( 1024650 1486990 ) ( * 3503530 )
NEW met2 ( 1419330 3503530 ) ( * 3517980 0 )
NEW met2 ( 634110 1366200 ) ( * 1486990 )
NEW met2 ( 632270 1333820 0 ) ( * 1366200 )
NEW met2 ( 632270 1366200 ) ( 634110 * )
NEW met1 ( 1024650 3503530 ) M1M2_PR
NEW met1 ( 1419330 3503530 ) M1M2_PR
NEW met1 ( 634110 1486990 ) M1M2_PR
NEW met1 ( 1024650 1486990 ) M1M2_PR ;
- io_out[1] ( PIN io_out[1] ) ( mprj io_out[1] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 298180 ) ( * 303450 )
NEW met3 ( 2900990 298180 ) ( 2917780 * 0 )
NEW met1 ( 1225210 303450 ) ( 2900990 * )
NEW met2 ( 330510 1333820 0 ) ( * 1346060 )
NEW met2 ( 1225210 303450 ) ( * 1346060 )
NEW met3 ( 330510 1346060 ) ( 1225210 * )
NEW met1 ( 2900990 303450 ) M1M2_PR
NEW met2 ( 2900990 298180 ) M2M3_PR_M
NEW met2 ( 330510 1346060 ) M2M3_PR_M
NEW met1 ( 1225210 303450 ) M1M2_PR
NEW met2 ( 1225210 1346060 ) M2M3_PR_M ;
- io_out[20] ( PIN io_out[20] ) ( mprj io_out[20] ) + USE SIGNAL
+ ROUTED met2 ( 1090430 3517980 ) ( 1094110 * )
NEW met2 ( 1094110 3517300 ) ( * 3517980 )
NEW met2 ( 1094110 3517300 ) ( 1095030 * )
NEW met2 ( 1095030 3517300 ) ( * 3517980 0 )
NEW met2 ( 1090430 1360850 ) ( * 3517980 )
NEW met2 ( 648830 1333820 0 ) ( * 1360850 )
NEW met1 ( 648830 1360850 ) ( 1090430 * )
NEW met1 ( 1090430 1360850 ) M1M2_PR
NEW met1 ( 648830 1360850 ) M1M2_PR ;
- io_out[21] ( PIN io_out[21] ) ( mprj io_out[21] ) + USE SIGNAL
+ ROUTED met1 ( 796950 1663110 ) ( 802010 * )
NEW met2 ( 802010 1663110 ) ( * 1676370 )
NEW met1 ( 890330 2015010 ) ( 900450 * )
NEW met1 ( 962550 3215550 ) ( 983710 * )
NEW met2 ( 665390 1333820 0 ) ( * 1359490 )
NEW met1 ( 762450 1614490 ) ( 786830 * )
NEW met1 ( 786830 1614490 ) ( * 1614830 )
NEW met1 ( 786830 1614830 ) ( 796950 * )
NEW met2 ( 762450 1455710 ) ( * 1614490 )
NEW met2 ( 796950 1614830 ) ( * 1663110 )
NEW met2 ( 770730 3501490 ) ( * 3517980 0 )
NEW met2 ( 860890 1794010 ) ( * 1807950 )
NEW met1 ( 860890 1807950 ) ( 865950 * )
NEW met1 ( 865950 1989170 ) ( 869630 * )
NEW met2 ( 869630 1989170 ) ( * 2014670 )
NEW met1 ( 869630 2014670 ) ( 890330 * )
NEW met2 ( 865950 1807950 ) ( * 1989170 )
NEW met1 ( 890330 2014670 ) ( * 2015010 )
NEW met2 ( 900450 2015010 ) ( * 2063290 )
NEW met1 ( 956110 2174810 ) ( 965310 * )
NEW met2 ( 965310 2174810 ) ( * 2187050 )
NEW met1 ( 965310 2187050 ) ( 973130 * )
NEW met1 ( 973130 2187050 ) ( * 2187390 )
NEW met1 ( 973130 2187390 ) ( 983710 * )
NEW met2 ( 956110 2149310 ) ( * 2174810 )
NEW met2 ( 983710 2187390 ) ( * 3215550 )
NEW met2 ( 962550 3215550 ) ( * 3363110 )
NEW met2 ( 731630 1359490 ) ( * 1373430 )
NEW met1 ( 731630 1373430 ) ( 741750 * )
NEW met1 ( 665390 1359490 ) ( 731630 * )
NEW met1 ( 755550 1455710 ) ( 762450 * )
NEW met1 ( 802010 1676370 ) ( 807530 * )
NEW met1 ( 835130 1725330 ) ( 846170 * )
NEW met1 ( 770730 3501490 ) ( 845250 * )
NEW met1 ( 928510 2119730 ) ( 938170 * )
NEW met2 ( 938170 2119730 ) ( * 2149310 )
NEW met1 ( 938170 2149310 ) ( 956110 * )
NEW met1 ( 845250 3404930 ) ( 928050 * )
NEW met1 ( 741750 1404370 ) ( 749110 * )
NEW met2 ( 749110 1404370 ) ( * 1414570 )
NEW met1 ( 749110 1414570 ) ( 755550 * )
NEW met2 ( 741750 1373430 ) ( * 1404370 )
NEW met2 ( 755550 1414570 ) ( * 1455710 )
NEW met1 ( 807530 1676710 ) ( 815350 * )
NEW met2 ( 815350 1676710 ) ( * 1690650 )
NEW met1 ( 815350 1690650 ) ( 828230 * )
NEW met2 ( 828230 1690650 ) ( * 1724990 )
NEW met1 ( 828230 1724990 ) ( 835130 * )
NEW met1 ( 807530 1676370 ) ( * 1676710 )
NEW met1 ( 835130 1724990 ) ( * 1725330 )
NEW met1 ( 855600 1794010 ) ( 860890 * )
NEW met1 ( 846170 1793670 ) ( 855600 * )
NEW met1 ( 855600 1793670 ) ( * 1794010 )
NEW met2 ( 846170 1725330 ) ( * 1793670 )
NEW met2 ( 845250 3404930 ) ( * 3501490 )
NEW met2 ( 917470 2063290 ) ( * 2070260 )
NEW met2 ( 917470 2070260 ) ( 917930 * )
NEW met2 ( 917930 2070260 ) ( * 2091170 )
NEW met1 ( 917930 2091170 ) ( 928510 * )
NEW met1 ( 900450 2063290 ) ( 917470 * )
NEW met2 ( 928510 2091170 ) ( * 2119730 )
NEW met2 ( 928050 3363110 ) ( * 3404930 )
NEW met1 ( 928050 3363110 ) ( 962550 * )
NEW met1 ( 665390 1359490 ) M1M2_PR
NEW met1 ( 762450 1455710 ) M1M2_PR
NEW met1 ( 796950 1663110 ) M1M2_PR
NEW met1 ( 802010 1663110 ) M1M2_PR
NEW met1 ( 802010 1676370 ) M1M2_PR
NEW met1 ( 770730 3501490 ) M1M2_PR
NEW met1 ( 900450 2015010 ) M1M2_PR
NEW met1 ( 956110 2149310 ) M1M2_PR
NEW met1 ( 962550 3215550 ) M1M2_PR
NEW met1 ( 983710 3215550 ) M1M2_PR
NEW met1 ( 762450 1614490 ) M1M2_PR
NEW met1 ( 796950 1614830 ) M1M2_PR
NEW met1 ( 860890 1794010 ) M1M2_PR
NEW met1 ( 860890 1807950 ) M1M2_PR
NEW met1 ( 865950 1807950 ) M1M2_PR
NEW met1 ( 865950 1989170 ) M1M2_PR
NEW met1 ( 869630 1989170 ) M1M2_PR
NEW met1 ( 869630 2014670 ) M1M2_PR
NEW met1 ( 900450 2063290 ) M1M2_PR
NEW met1 ( 956110 2174810 ) M1M2_PR
NEW met1 ( 965310 2174810 ) M1M2_PR
NEW met1 ( 965310 2187050 ) M1M2_PR
NEW met1 ( 983710 2187390 ) M1M2_PR
NEW met1 ( 962550 3363110 ) M1M2_PR
NEW met1 ( 731630 1359490 ) M1M2_PR
NEW met1 ( 731630 1373430 ) M1M2_PR
NEW met1 ( 741750 1373430 ) M1M2_PR
NEW met1 ( 755550 1455710 ) M1M2_PR
NEW met1 ( 846170 1725330 ) M1M2_PR
NEW met1 ( 845250 3404930 ) M1M2_PR
NEW met1 ( 845250 3501490 ) M1M2_PR
NEW met1 ( 928510 2119730 ) M1M2_PR
NEW met1 ( 938170 2119730 ) M1M2_PR
NEW met1 ( 938170 2149310 ) M1M2_PR
NEW met1 ( 928050 3404930 ) M1M2_PR
NEW met1 ( 741750 1404370 ) M1M2_PR
NEW met1 ( 749110 1404370 ) M1M2_PR
NEW met1 ( 749110 1414570 ) M1M2_PR
NEW met1 ( 755550 1414570 ) M1M2_PR
NEW met1 ( 815350 1676710 ) M1M2_PR
NEW met1 ( 815350 1690650 ) M1M2_PR
NEW met1 ( 828230 1690650 ) M1M2_PR
NEW met1 ( 828230 1724990 ) M1M2_PR
NEW met1 ( 846170 1793670 ) M1M2_PR
NEW met1 ( 917470 2063290 ) M1M2_PR
NEW met1 ( 917930 2091170 ) M1M2_PR
NEW met1 ( 928510 2091170 ) M1M2_PR
NEW met1 ( 928050 3363110 ) M1M2_PR ;
- io_out[22] ( PIN io_out[22] ) ( mprj io_out[22] ) + USE SIGNAL
+ ROUTED met2 ( 296010 1349970 ) ( * 2659990 )
NEW met2 ( 682410 1333820 0 ) ( * 1349970 )
NEW met1 ( 441830 3498430 ) ( 445970 * )
NEW met1 ( 296010 2659990 ) ( 441830 * )
NEW met2 ( 441830 2659990 ) ( * 3498430 )
NEW met2 ( 445970 3498430 ) ( * 3517980 0 )
NEW met1 ( 296010 1349970 ) ( 682410 * )
NEW met1 ( 296010 1349970 ) M1M2_PR
NEW met1 ( 682410 1349970 ) M1M2_PR
NEW met1 ( 296010 2659990 ) M1M2_PR
NEW met1 ( 441830 3498430 ) M1M2_PR
NEW met1 ( 445970 3498430 ) M1M2_PR
NEW met1 ( 441830 2659990 ) M1M2_PR ;
- io_out[23] ( PIN io_out[23] ) ( mprj io_out[23] ) + USE SIGNAL
+ ROUTED met1 ( 121670 3498430 ) ( 123970 * )
NEW met2 ( 123970 1362210 ) ( * 3498430 )
NEW met2 ( 121670 3498430 ) ( * 3517980 0 )
NEW met2 ( 698970 1333820 0 ) ( * 1362210 )
NEW met1 ( 123970 1362210 ) ( 698970 * )
NEW met1 ( 123970 1362210 ) M1M2_PR
NEW met1 ( 121670 3498430 ) M1M2_PR
NEW met1 ( 123970 3498430 ) M1M2_PR
NEW met1 ( 698970 1362210 ) M1M2_PR ;
- io_out[24] ( PIN io_out[24] ) ( mprj io_out[24] ) + USE SIGNAL
+ ROUTED met3 ( 2300 3356140 0 ) ( 17250 * )
NEW met2 ( 17250 1365950 ) ( * 3356140 )
NEW met2 ( 715990 1333820 0 ) ( * 1365950 )
NEW met1 ( 17250 1365950 ) ( 715990 * )
NEW met1 ( 17250 1365950 ) M1M2_PR
NEW met2 ( 17250 3356140 ) M2M3_PR_M
NEW met1 ( 715990 1365950 ) M1M2_PR ;
- io_out[25] ( PIN io_out[25] ) ( mprj io_out[25] ) + USE SIGNAL
+ ROUTED met3 ( 2300 3095700 0 ) ( 18630 * )
NEW met2 ( 18630 1365610 ) ( * 3095700 )
NEW met2 ( 732550 1333820 0 ) ( * 1365610 )
NEW met1 ( 18630 1365610 ) ( 732550 * )
NEW met1 ( 18630 1365610 ) M1M2_PR
NEW met2 ( 18630 3095700 ) M2M3_PR_M
NEW met1 ( 732550 1365610 ) M1M2_PR ;
- io_out[26] ( PIN io_out[26] ) ( mprj io_out[26] ) + USE SIGNAL
+ ROUTED met3 ( 2300 2834580 0 ) ( 19550 * )
NEW met2 ( 19550 1365270 ) ( * 2834580 )
NEW met2 ( 749570 1333820 0 ) ( * 1365270 )
NEW met1 ( 19550 1365270 ) ( 749570 * )
NEW met1 ( 19550 1365270 ) M1M2_PR
NEW met2 ( 19550 2834580 ) M2M3_PR_M
NEW met1 ( 749570 1365270 ) M1M2_PR ;
- io_out[27] ( PIN io_out[27] ) ( mprj io_out[27] ) + USE SIGNAL
+ ROUTED met3 ( 2300 2574140 0 ) ( 20470 * )
NEW met2 ( 20470 1364930 ) ( * 2574140 )
NEW met2 ( 766590 1338600 ) ( * 1364930 )
NEW met2 ( 766130 1333820 0 ) ( * 1338600 )
NEW met2 ( 766130 1338600 ) ( 766590 * )
NEW met1 ( 20470 1364930 ) ( 766590 * )
NEW met1 ( 20470 1364930 ) M1M2_PR
NEW met1 ( 766590 1364930 ) M1M2_PR
NEW met2 ( 20470 2574140 ) M2M3_PR_M ;
- io_out[28] ( PIN io_out[28] ) ( mprj io_out[28] ) + USE SIGNAL
+ ROUTED met3 ( 2300 2313020 0 ) ( 16330 * )
NEW met2 ( 16330 1364590 ) ( * 2313020 )
NEW met2 ( 782690 1333820 0 ) ( * 1364590 )
NEW met1 ( 16330 1364590 ) ( 782690 * )
NEW met1 ( 16330 1364590 ) M1M2_PR
NEW met2 ( 16330 2313020 ) M2M3_PR_M
NEW met1 ( 782690 1364590 ) M1M2_PR ;
- io_out[29] ( PIN io_out[29] ) ( mprj io_out[29] ) + USE SIGNAL
+ ROUTED met3 ( 2300 2052580 0 ) ( 14950 * )
NEW met2 ( 14950 2049350 ) ( * 2052580 )
NEW met1 ( 793730 1361530 ) ( 799710 * )
NEW met2 ( 799710 1333820 0 ) ( * 1361530 )
NEW met2 ( 793730 1361530 ) ( * 2049350 )
NEW met1 ( 14950 2049350 ) ( 793730 * )
NEW met2 ( 14950 2052580 ) M2M3_PR_M
NEW met1 ( 14950 2049350 ) M1M2_PR
NEW met1 ( 793730 1361530 ) M1M2_PR
NEW met1 ( 799710 1361530 ) M1M2_PR
NEW met1 ( 793730 2049350 ) M1M2_PR ;
- io_out[2] ( PIN io_out[2] ) ( mprj io_out[2] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 497420 ) ( * 503370 )
NEW met3 ( 2900990 497420 ) ( 2917780 * 0 )
NEW met2 ( 2052750 503370 ) ( * 1352350 )
NEW met1 ( 2052750 503370 ) ( 2900990 * )
NEW met2 ( 347530 1333820 0 ) ( * 1352350 )
NEW met1 ( 347530 1352350 ) ( 2052750 * )
NEW met1 ( 2052750 503370 ) M1M2_PR
NEW met1 ( 2052750 1352350 ) M1M2_PR
NEW met1 ( 2900990 503370 ) M1M2_PR
NEW met2 ( 2900990 497420 ) M2M3_PR_M
NEW met1 ( 347530 1352350 ) M1M2_PR ;
- io_out[30] ( PIN io_out[30] ) ( mprj io_out[30] ) + USE SIGNAL
+ ROUTED met3 ( 2300 1792140 0 ) ( 15410 * )
NEW met2 ( 15410 1787210 ) ( * 1792140 )
NEW met2 ( 814430 1435200 ) ( 816270 * )
NEW met2 ( 816270 1333820 0 ) ( * 1435200 )
NEW met1 ( 15410 1787210 ) ( 814430 * )
NEW met2 ( 814430 1435200 ) ( * 1787210 )
NEW met2 ( 15410 1792140 ) M2M3_PR_M
NEW met1 ( 15410 1787210 ) M1M2_PR
NEW met1 ( 814430 1787210 ) M1M2_PR ;
- io_out[31] ( PIN io_out[31] ) ( mprj io_out[31] ) + USE SIGNAL
+ ROUTED met3 ( 2300 1531020 0 ) ( 15410 * )
NEW met2 ( 15410 1525070 ) ( * 1531020 )
NEW met2 ( 828230 1435200 ) ( 833290 * )
NEW met2 ( 833290 1333820 0 ) ( * 1435200 )
NEW met1 ( 15410 1525070 ) ( 828230 * )
NEW met2 ( 828230 1435200 ) ( * 1525070 )
NEW met2 ( 15410 1531020 ) M2M3_PR_M
NEW met1 ( 15410 1525070 ) M1M2_PR
NEW met1 ( 828230 1525070 ) M1M2_PR ;
- io_out[32] ( PIN io_out[32] ) ( mprj io_out[32] ) + USE SIGNAL
+ ROUTED met3 ( 2300 1270580 0 ) ( 20010 * )
NEW met2 ( 20010 1270580 ) ( * 1338410 )
NEW met2 ( 849850 1333820 0 ) ( * 1345550 )
NEW met2 ( 617550 1338410 ) ( * 1345550 )
NEW met1 ( 20010 1338410 ) ( 617550 * )
NEW met1 ( 617550 1345550 ) ( 849850 * )
NEW met2 ( 20010 1270580 ) M2M3_PR_M
NEW met1 ( 20010 1338410 ) M1M2_PR
NEW met1 ( 849850 1345550 ) M1M2_PR
NEW met1 ( 617550 1338410 ) M1M2_PR
NEW met1 ( 617550 1345550 ) M1M2_PR ;
- io_out[33] ( PIN io_out[33] ) ( mprj io_out[33] ) + USE SIGNAL
+ ROUTED met2 ( 492890 1341470 ) ( * 1351330 )
NEW met3 ( 2300 1009460 0 ) ( 19550 * )
NEW met2 ( 19550 1009460 ) ( * 1341470 )
NEW met2 ( 866410 1333820 0 ) ( * 1351330 )
NEW met1 ( 19550 1341470 ) ( 492890 * )
NEW met1 ( 492890 1351330 ) ( 866410 * )
NEW met1 ( 19550 1341470 ) M1M2_PR
NEW met1 ( 492890 1341470 ) M1M2_PR
NEW met1 ( 492890 1351330 ) M1M2_PR
NEW met1 ( 866410 1351330 ) M1M2_PR
NEW met2 ( 19550 1009460 ) M2M3_PR_M ;
- io_out[34] ( PIN io_out[34] ) ( mprj io_out[34] ) + USE SIGNAL
+ ROUTED met2 ( 483690 1341130 ) ( * 1350650 )
NEW met3 ( 2300 749020 0 ) ( 19090 * )
NEW met2 ( 19090 749020 ) ( * 1341130 )
NEW met2 ( 883430 1333820 0 ) ( * 1350650 )
NEW met1 ( 19090 1341130 ) ( 483690 * )
NEW met1 ( 483690 1350650 ) ( 883430 * )
NEW met1 ( 19090 1341130 ) M1M2_PR
NEW met1 ( 483690 1341130 ) M1M2_PR
NEW met1 ( 483690 1350650 ) M1M2_PR
NEW met1 ( 883430 1350650 ) M1M2_PR
NEW met2 ( 19090 749020 ) M2M3_PR_M ;
- io_out[35] ( PIN io_out[35] ) ( mprj io_out[35] ) + USE SIGNAL
+ ROUTED met3 ( 2300 487900 0 ) ( 15870 * )
NEW met2 ( 15870 487900 ) ( * 488750 )
NEW met2 ( 899990 1333820 0 ) ( * 1348270 )
NEW met1 ( 15870 488750 ) ( 44850 * )
NEW met2 ( 44850 488750 ) ( * 1348270 )
NEW met1 ( 44850 1348270 ) ( 899990 * )
NEW met2 ( 15870 487900 ) M2M3_PR_M
NEW met1 ( 15870 488750 ) M1M2_PR
NEW met1 ( 899990 1348270 ) M1M2_PR
NEW met1 ( 44850 488750 ) M1M2_PR
NEW met1 ( 44850 1348270 ) M1M2_PR ;
- io_out[36] ( PIN io_out[36] ) ( mprj io_out[36] ) + USE SIGNAL
+ ROUTED met3 ( 2300 292740 0 ) ( 15870 * )
NEW met2 ( 15870 292740 ) ( * 293590 )
NEW met1 ( 15870 293590 ) ( 37950 * )
NEW met2 ( 37950 293590 ) ( * 1346910 )
NEW met2 ( 917010 1333820 0 ) ( * 1346910 )
NEW met1 ( 37950 1346910 ) ( 917010 * )
NEW met2 ( 15870 292740 ) M2M3_PR_M
NEW met1 ( 15870 293590 ) M1M2_PR
NEW met1 ( 37950 293590 ) M1M2_PR
NEW met1 ( 37950 1346910 ) M1M2_PR
NEW met1 ( 917010 1346910 ) M1M2_PR ;
- io_out[37] ( PIN io_out[37] ) ( mprj io_out[37] ) + USE SIGNAL
+ ROUTED met3 ( 2300 96900 0 ) ( 17250 * )
NEW met2 ( 17250 96900 ) ( * 1290300 )
NEW met2 ( 16330 1290300 ) ( 17250 * )
NEW met2 ( 16330 1290300 ) ( * 1337730 )
NEW met2 ( 795570 1337730 ) ( * 1345890 )
NEW met2 ( 933570 1333820 0 ) ( * 1345890 )
NEW met1 ( 795570 1345890 ) ( 933570 * )
NEW met1 ( 16330 1337730 ) ( 795570 * )
NEW met2 ( 17250 96900 ) M2M3_PR_M
NEW met1 ( 795570 1345890 ) M1M2_PR
NEW met1 ( 16330 1337730 ) M1M2_PR
NEW met1 ( 795570 1337730 ) M1M2_PR
NEW met1 ( 933570 1345890 ) M1M2_PR ;
- io_out[3] ( PIN io_out[3] ) ( mprj io_out[3] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 696660 ) ( * 696830 )
NEW met3 ( 2900990 696660 ) ( 2917780 * 0 )
NEW met1 ( 1232570 696830 ) ( 2900990 * )
NEW met2 ( 364090 1333820 0 ) ( * 1346570 )
NEW met2 ( 1232570 696830 ) ( * 1346570 )
NEW met1 ( 364090 1346570 ) ( 1232570 * )
NEW met1 ( 2900990 696830 ) M1M2_PR
NEW met2 ( 2900990 696660 ) M2M3_PR_M
NEW met1 ( 364090 1346570 ) M1M2_PR
NEW met1 ( 1232570 696830 ) M1M2_PR
NEW met1 ( 1232570 1346570 ) M1M2_PR ;
- io_out[4] ( PIN io_out[4] ) ( mprj io_out[4] ) + USE SIGNAL
+ ROUTED met2 ( 2898230 895900 ) ( * 896750 )
NEW met3 ( 2898230 895900 ) ( 2917780 * 0 )
NEW met2 ( 380650 1333820 0 ) ( * 1334330 )
NEW li1 ( 1169090 1334330 ) ( 1170010 * )
NEW met2 ( 1245450 896750 ) ( * 1334330 )
NEW met1 ( 1245450 896750 ) ( 2898230 * )
NEW met1 ( 1170010 1334330 ) ( 1245450 * )
NEW met1 ( 380650 1334330 ) ( 1169090 * )
NEW met1 ( 1245450 896750 ) M1M2_PR
NEW met1 ( 2898230 896750 ) M1M2_PR
NEW met2 ( 2898230 895900 ) M2M3_PR_M
NEW met1 ( 380650 1334330 ) M1M2_PR
NEW li1 ( 1169090 1334330 ) L1M1_PR_MR
NEW li1 ( 1170010 1334330 ) L1M1_PR_MR
NEW met1 ( 1245450 1334330 ) M1M2_PR ;
- io_out[5] ( PIN io_out[5] ) ( mprj io_out[5] ) + USE SIGNAL
+ ROUTED met2 ( 2900070 1095140 ) ( * 1097010 )
NEW met3 ( 2900070 1095140 ) ( 2917780 * 0 )
NEW met2 ( 397670 1333820 0 ) ( * 1350650 )
NEW met2 ( 1252810 1097010 ) ( * 1347250 )
NEW li1 ( 445050 1347250 ) ( * 1350650 )
NEW met1 ( 397670 1350650 ) ( 445050 * )
NEW met1 ( 1252810 1097010 ) ( 2900070 * )
NEW met1 ( 445050 1347250 ) ( 1252810 * )
NEW met1 ( 397670 1350650 ) M1M2_PR
NEW met1 ( 1252810 1097010 ) M1M2_PR
NEW met1 ( 1252810 1347250 ) M1M2_PR
NEW met1 ( 2900070 1097010 ) M1M2_PR
NEW met2 ( 2900070 1095140 ) M2M3_PR_M
NEW li1 ( 445050 1350650 ) L1M1_PR_MR
NEW li1 ( 445050 1347250 ) L1M1_PR_MR ;
- io_out[6] ( PIN io_out[6] ) ( mprj io_out[6] ) + USE SIGNAL
+ ROUTED met2 ( 414230 1333820 0 ) ( * 1336710 )
NEW met2 ( 1248670 1296930 ) ( * 1336710 )
NEW met2 ( 2898230 1294380 ) ( * 1296930 )
NEW met3 ( 2898230 1294380 ) ( 2917780 * 0 )
NEW met1 ( 1248670 1296930 ) ( 2898230 * )
NEW met1 ( 414230 1336710 ) ( 1248670 * )
NEW met1 ( 414230 1336710 ) M1M2_PR
NEW met1 ( 1248670 1336710 ) M1M2_PR
NEW met1 ( 1248670 1296930 ) M1M2_PR
NEW met1 ( 2898230 1296930 ) M1M2_PR
NEW met2 ( 2898230 1294380 ) M2M3_PR_M ;
- io_out[7] ( PIN io_out[7] ) ( mprj io_out[7] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 1559410 ) ( * 1560260 )
NEW met3 ( 2900990 1560260 ) ( 2917780 * 0 )
NEW met1 ( 431250 1351330 ) ( 434470 * )
NEW met1 ( 434470 1559410 ) ( 2900990 * )
NEW met2 ( 431250 1333820 0 ) ( * 1351330 )
NEW met2 ( 434470 1351330 ) ( * 1559410 )
NEW met1 ( 2900990 1559410 ) M1M2_PR
NEW met2 ( 2900990 1560260 ) M2M3_PR_M
NEW met1 ( 431250 1351330 ) M1M2_PR
NEW met1 ( 434470 1351330 ) M1M2_PR
NEW met1 ( 434470 1559410 ) M1M2_PR ;
- io_out[8] ( PIN io_out[8] ) ( mprj io_out[8] ) + USE SIGNAL
+ ROUTED met2 ( 2899150 1821890 ) ( * 1825460 )
NEW met3 ( 2899150 1825460 ) ( 2917780 * 0 )
NEW met1 ( 447350 1821890 ) ( 2899150 * )
NEW met2 ( 447810 1333820 0 ) ( * 1338600 )
NEW met2 ( 447350 1338600 ) ( 447810 * )
NEW met2 ( 447350 1338600 ) ( * 1821890 )
NEW met1 ( 2899150 1821890 ) M1M2_PR
NEW met2 ( 2899150 1825460 ) M2M3_PR_M
NEW met1 ( 447350 1821890 ) M1M2_PR ;
- io_out[9] ( PIN io_out[9] ) ( mprj io_out[9] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 2090830 ) ( * 2091340 )
NEW met3 ( 2900990 2091340 ) ( 2917780 * 0 )
NEW met1 ( 464370 1351330 ) ( 468970 * )
NEW met2 ( 464370 1333820 0 ) ( * 1351330 )
NEW met2 ( 468970 1351330 ) ( * 2090830 )
NEW met1 ( 468970 2090830 ) ( 2900990 * )
NEW met1 ( 2900990 2090830 ) M1M2_PR
NEW met2 ( 2900990 2091340 ) M2M3_PR_M
NEW met1 ( 464370 1351330 ) M1M2_PR
NEW met1 ( 468970 1351330 ) M1M2_PR
NEW met1 ( 468970 2090830 ) M1M2_PR ;
- la_data_in[0] ( PIN la_data_in[0] ) + USE SIGNAL ;
- la_data_in[100] ( PIN la_data_in[100] ) + USE SIGNAL ;
- la_data_in[101] ( PIN la_data_in[101] ) + USE SIGNAL ;
- la_data_in[102] ( PIN la_data_in[102] ) + USE SIGNAL ;
- la_data_in[103] ( PIN la_data_in[103] ) + USE SIGNAL ;
- la_data_in[104] ( PIN la_data_in[104] ) + USE SIGNAL ;
- la_data_in[105] ( PIN la_data_in[105] ) + USE SIGNAL ;
- la_data_in[106] ( PIN la_data_in[106] ) + USE SIGNAL ;
- la_data_in[107] ( PIN la_data_in[107] ) + USE SIGNAL ;
- la_data_in[108] ( PIN la_data_in[108] ) + USE SIGNAL ;
- la_data_in[109] ( PIN la_data_in[109] ) + USE SIGNAL ;
- la_data_in[10] ( PIN la_data_in[10] ) + USE SIGNAL ;
- la_data_in[110] ( PIN la_data_in[110] ) + USE SIGNAL ;
- la_data_in[111] ( PIN la_data_in[111] ) + USE SIGNAL ;
- la_data_in[112] ( PIN la_data_in[112] ) + USE SIGNAL ;
- la_data_in[113] ( PIN la_data_in[113] ) + USE SIGNAL ;
- la_data_in[114] ( PIN la_data_in[114] ) + USE SIGNAL ;
- la_data_in[115] ( PIN la_data_in[115] ) + USE SIGNAL ;
- la_data_in[116] ( PIN la_data_in[116] ) + USE SIGNAL ;
- la_data_in[117] ( PIN la_data_in[117] ) + USE SIGNAL ;
- la_data_in[118] ( PIN la_data_in[118] ) + USE SIGNAL ;
- la_data_in[119] ( PIN la_data_in[119] ) + USE SIGNAL ;
- la_data_in[11] ( PIN la_data_in[11] ) + USE SIGNAL ;
- la_data_in[120] ( PIN la_data_in[120] ) + USE SIGNAL ;
- la_data_in[121] ( PIN la_data_in[121] ) + USE SIGNAL ;
- la_data_in[122] ( PIN la_data_in[122] ) + USE SIGNAL ;
- la_data_in[123] ( PIN la_data_in[123] ) + USE SIGNAL ;
- la_data_in[124] ( PIN la_data_in[124] ) + USE SIGNAL ;
- la_data_in[125] ( PIN la_data_in[125] ) + USE SIGNAL ;
- la_data_in[126] ( PIN la_data_in[126] ) + USE SIGNAL ;
- la_data_in[127] ( PIN la_data_in[127] ) + USE SIGNAL ;
- la_data_in[12] ( PIN la_data_in[12] ) + USE SIGNAL ;
- la_data_in[13] ( PIN la_data_in[13] ) + USE SIGNAL ;
- la_data_in[14] ( PIN la_data_in[14] ) + USE SIGNAL ;
- la_data_in[15] ( PIN la_data_in[15] ) + USE SIGNAL ;
- la_data_in[16] ( PIN la_data_in[16] ) + USE SIGNAL ;
- la_data_in[17] ( PIN la_data_in[17] ) + USE SIGNAL ;
- la_data_in[18] ( PIN la_data_in[18] ) + USE SIGNAL ;
- la_data_in[19] ( PIN la_data_in[19] ) + USE SIGNAL ;
- la_data_in[1] ( PIN la_data_in[1] ) + USE SIGNAL ;
- la_data_in[20] ( PIN la_data_in[20] ) + USE SIGNAL ;
- la_data_in[21] ( PIN la_data_in[21] ) + USE SIGNAL ;
- la_data_in[22] ( PIN la_data_in[22] ) + USE SIGNAL ;
- la_data_in[23] ( PIN la_data_in[23] ) + USE SIGNAL ;
- la_data_in[24] ( PIN la_data_in[24] ) + USE SIGNAL ;
- la_data_in[25] ( PIN la_data_in[25] ) + USE SIGNAL ;
- la_data_in[26] ( PIN la_data_in[26] ) + USE SIGNAL ;
- la_data_in[27] ( PIN la_data_in[27] ) + USE SIGNAL ;
- la_data_in[28] ( PIN la_data_in[28] ) + USE SIGNAL ;
- la_data_in[29] ( PIN la_data_in[29] ) + USE SIGNAL ;
- la_data_in[2] ( PIN la_data_in[2] ) + USE SIGNAL ;
- la_data_in[30] ( PIN la_data_in[30] ) + USE SIGNAL ;
- la_data_in[31] ( PIN la_data_in[31] ) + USE SIGNAL ;
- la_data_in[32] ( PIN la_data_in[32] ) + USE SIGNAL ;
- la_data_in[33] ( PIN la_data_in[33] ) + USE SIGNAL ;
- la_data_in[34] ( PIN la_data_in[34] ) + USE SIGNAL ;
- la_data_in[35] ( PIN la_data_in[35] ) + USE SIGNAL ;
- la_data_in[36] ( PIN la_data_in[36] ) + USE SIGNAL ;
- la_data_in[37] ( PIN la_data_in[37] ) + USE SIGNAL ;
- la_data_in[38] ( PIN la_data_in[38] ) + USE SIGNAL ;
- la_data_in[39] ( PIN la_data_in[39] ) + USE SIGNAL ;
- la_data_in[3] ( PIN la_data_in[3] ) + USE SIGNAL ;
- la_data_in[40] ( PIN la_data_in[40] ) + USE SIGNAL ;
- la_data_in[41] ( PIN la_data_in[41] ) + USE SIGNAL ;
- la_data_in[42] ( PIN la_data_in[42] ) + USE SIGNAL ;
- la_data_in[43] ( PIN la_data_in[43] ) + USE SIGNAL ;
- la_data_in[44] ( PIN la_data_in[44] ) + USE SIGNAL ;
- la_data_in[45] ( PIN la_data_in[45] ) + USE SIGNAL ;
- la_data_in[46] ( PIN la_data_in[46] ) + USE SIGNAL ;
- la_data_in[47] ( PIN la_data_in[47] ) + USE SIGNAL ;
- la_data_in[48] ( PIN la_data_in[48] ) + USE SIGNAL ;
- la_data_in[49] ( PIN la_data_in[49] ) + USE SIGNAL ;
- la_data_in[4] ( PIN la_data_in[4] ) + USE SIGNAL ;
- la_data_in[50] ( PIN la_data_in[50] ) + USE SIGNAL ;
- la_data_in[51] ( PIN la_data_in[51] ) + USE SIGNAL ;
- la_data_in[52] ( PIN la_data_in[52] ) + USE SIGNAL ;
- la_data_in[53] ( PIN la_data_in[53] ) + USE SIGNAL ;
- la_data_in[54] ( PIN la_data_in[54] ) + USE SIGNAL ;
- la_data_in[55] ( PIN la_data_in[55] ) + USE SIGNAL ;
- la_data_in[56] ( PIN la_data_in[56] ) + USE SIGNAL ;
- la_data_in[57] ( PIN la_data_in[57] ) + USE SIGNAL ;
- la_data_in[58] ( PIN la_data_in[58] ) + USE SIGNAL ;
- la_data_in[59] ( PIN la_data_in[59] ) + USE SIGNAL ;
- la_data_in[5] ( PIN la_data_in[5] ) + USE SIGNAL ;
- la_data_in[60] ( PIN la_data_in[60] ) + USE SIGNAL ;
- la_data_in[61] ( PIN la_data_in[61] ) + USE SIGNAL ;
- la_data_in[62] ( PIN la_data_in[62] ) + USE SIGNAL ;
- la_data_in[63] ( PIN la_data_in[63] ) + USE SIGNAL ;
- la_data_in[64] ( PIN la_data_in[64] ) + USE SIGNAL ;
- la_data_in[65] ( PIN la_data_in[65] ) + USE SIGNAL ;
- la_data_in[66] ( PIN la_data_in[66] ) + USE SIGNAL ;
- la_data_in[67] ( PIN la_data_in[67] ) + USE SIGNAL ;
- la_data_in[68] ( PIN la_data_in[68] ) + USE SIGNAL ;
- la_data_in[69] ( PIN la_data_in[69] ) + USE SIGNAL ;
- la_data_in[6] ( PIN la_data_in[6] ) + USE SIGNAL ;
- la_data_in[70] ( PIN la_data_in[70] ) + USE SIGNAL ;
- la_data_in[71] ( PIN la_data_in[71] ) + USE SIGNAL ;
- la_data_in[72] ( PIN la_data_in[72] ) + USE SIGNAL ;
- la_data_in[73] ( PIN la_data_in[73] ) + USE SIGNAL ;
- la_data_in[74] ( PIN la_data_in[74] ) + USE SIGNAL ;
- la_data_in[75] ( PIN la_data_in[75] ) + USE SIGNAL ;
- la_data_in[76] ( PIN la_data_in[76] ) + USE SIGNAL ;
- la_data_in[77] ( PIN la_data_in[77] ) + USE SIGNAL ;
- la_data_in[78] ( PIN la_data_in[78] ) + USE SIGNAL ;
- la_data_in[79] ( PIN la_data_in[79] ) + USE SIGNAL ;
- la_data_in[7] ( PIN la_data_in[7] ) + USE SIGNAL ;
- la_data_in[80] ( PIN la_data_in[80] ) + USE SIGNAL ;
- la_data_in[81] ( PIN la_data_in[81] ) + USE SIGNAL ;
- la_data_in[82] ( PIN la_data_in[82] ) + USE SIGNAL ;
- la_data_in[83] ( PIN la_data_in[83] ) + USE SIGNAL ;
- la_data_in[84] ( PIN la_data_in[84] ) + USE SIGNAL ;
- la_data_in[85] ( PIN la_data_in[85] ) + USE SIGNAL ;
- la_data_in[86] ( PIN la_data_in[86] ) + USE SIGNAL ;
- la_data_in[87] ( PIN la_data_in[87] ) + USE SIGNAL ;
- la_data_in[88] ( PIN la_data_in[88] ) + USE SIGNAL ;
- la_data_in[89] ( PIN la_data_in[89] ) + USE SIGNAL ;
- la_data_in[8] ( PIN la_data_in[8] ) + USE SIGNAL ;
- la_data_in[90] ( PIN la_data_in[90] ) + USE SIGNAL ;
- la_data_in[91] ( PIN la_data_in[91] ) + USE SIGNAL ;
- la_data_in[92] ( PIN la_data_in[92] ) + USE SIGNAL ;
- la_data_in[93] ( PIN la_data_in[93] ) + USE SIGNAL ;
- la_data_in[94] ( PIN la_data_in[94] ) + USE SIGNAL ;
- la_data_in[95] ( PIN la_data_in[95] ) + USE SIGNAL ;
- la_data_in[96] ( PIN la_data_in[96] ) + USE SIGNAL ;
- la_data_in[97] ( PIN la_data_in[97] ) + USE SIGNAL ;
- la_data_in[98] ( PIN la_data_in[98] ) + USE SIGNAL ;
- la_data_in[99] ( PIN la_data_in[99] ) + USE SIGNAL ;
- la_data_in[9] ( PIN la_data_in[9] ) + USE SIGNAL ;
- o_csb0 ( sram csb0 ) ( mprj o_csb0 ) + USE SIGNAL
+ ROUTED met3 ( 291410 2270180 ) ( 297620 * )
NEW met3 ( 297620 2270180 ) ( * 2270310 )
NEW met3 ( 297620 2270310 ) ( 300160 * 0 )
NEW met2 ( 291410 435370 ) ( * 2270180 )
NEW met3 ( 1192780 436900 ) ( * 439620 0 )
NEW met2 ( 1193930 435370 ) ( * 436900 )
NEW met1 ( 291410 435370 ) ( 1193930 * )
NEW met3 ( 1192780 436900 ) ( 1193930 * )
NEW met1 ( 291410 435370 ) M1M2_PR
NEW met2 ( 291410 2270180 ) M2M3_PR_M
NEW met1 ( 1193930 435370 ) M1M2_PR
NEW met2 ( 1193930 436900 ) M2M3_PR_M ;
- o_csb0_1 ( sram1 csb0 ) ( mprj o_csb0_1 ) + USE SIGNAL
+ ROUTED met2 ( 1083990 2270180 ) ( * 2270690 )
NEW met2 ( 1045810 1356770 ) ( * 2270690 )
NEW met1 ( 1045810 2270690 ) ( 1083990 * )
NEW met3 ( 1083990 2270180 ) ( 1097100 * )
NEW met3 ( 1097100 2270310 ) ( 1100320 * 0 )
NEW met3 ( 1097100 2270180 ) ( * 2270310 )
NEW met2 ( 944610 1333820 0 ) ( * 1356770 )
NEW met1 ( 944610 1356770 ) ( 1045810 * )
NEW met1 ( 1083990 2270690 ) M1M2_PR
NEW met2 ( 1083990 2270180 ) M2M3_PR_M
NEW met1 ( 1045810 1356770 ) M1M2_PR
NEW met1 ( 1045810 2270690 ) M1M2_PR
NEW met1 ( 944610 1356770 ) M1M2_PR ;
- o_din0\[0\] ( sram din0[0] ) ( mprj o_din0[0] ) + USE SIGNAL
+ ROUTED met3 ( 416300 2219180 ) ( 419750 * )
NEW met4 ( 416300 2219180 ) ( * 2228700 )
NEW met4 ( 415790 2228700 ) ( 416300 * )
NEW met4 ( 415790 2228700 ) ( * 2230400 0 )
NEW met2 ( 419750 1375470 ) ( * 2219180 )
NEW met1 ( 419750 1375470 ) ( 956110 * )
NEW met2 ( 956110 1333820 0 ) ( * 1375470 )
NEW met1 ( 419750 1375470 ) M1M2_PR
NEW met2 ( 419750 2219180 ) M2M3_PR_M
NEW met3 ( 416300 2219180 ) M3M4_PR_M
NEW met1 ( 956110 1375470 ) M1M2_PR ;
- o_din0\[10\] ( sram din0[10] ) ( mprj o_din0[10] ) + USE SIGNAL
+ ROUTED met3 ( 473340 2219180 ) ( 475870 * )
NEW met4 ( 473340 2219180 ) ( * 2228020 )
NEW met4 ( 472910 2228020 ) ( 473340 * )
NEW met4 ( 472910 2228020 ) ( * 2230400 0 )
NEW met2 ( 475870 1377510 ) ( * 2219180 )
NEW met1 ( 475870 1377510 ) ( 1209570 * )
NEW met2 ( 1200830 840820 ) ( * 840990 )
NEW met1 ( 1200830 840990 ) ( 1209570 * )
NEW met3 ( 1192780 840820 0 ) ( 1200830 * )
NEW met2 ( 1209570 840990 ) ( * 1377510 )
NEW met1 ( 475870 1377510 ) M1M2_PR
NEW met2 ( 475870 2219180 ) M2M3_PR_M
NEW met3 ( 473340 2219180 ) M3M4_PR_M
NEW met1 ( 1209570 1377510 ) M1M2_PR
NEW met2 ( 1200830 840820 ) M2M3_PR_M
NEW met1 ( 1200830 840990 ) M1M2_PR
NEW met1 ( 1209570 840990 ) M1M2_PR ;
- o_din0\[11\] ( sram din0[11] ) ( mprj o_din0[11] ) + USE SIGNAL
+ ROUTED met3 ( 479780 2221220 ) ( 482770 * )
NEW met4 ( 479780 2221220 ) ( * 2228020 )
NEW met4 ( 479710 2228020 ) ( 479780 * )
NEW met4 ( 479710 2228020 ) ( * 2230400 0 )
NEW met2 ( 482770 1378190 ) ( * 2221220 )
NEW met2 ( 1200830 861220 ) ( * 861390 )
NEW met1 ( 1200830 861390 ) ( 1210030 * )
NEW met3 ( 1192780 861220 0 ) ( 1200830 * )
NEW met1 ( 482770 1378190 ) ( 1210030 * )
NEW met2 ( 1210030 861390 ) ( * 1378190 )
NEW met1 ( 482770 1378190 ) M1M2_PR
NEW met2 ( 482770 2221220 ) M2M3_PR_M
NEW met3 ( 479780 2221220 ) M3M4_PR_M
NEW met2 ( 1200830 861220 ) M2M3_PR_M
NEW met1 ( 1200830 861390 ) M1M2_PR
NEW met1 ( 1210030 861390 ) M1M2_PR
NEW met1 ( 1210030 1378190 ) M1M2_PR ;
- o_din0\[12\] ( sram din0[12] ) ( mprj o_din0[12] ) + USE SIGNAL
+ ROUTED met3 ( 485300 2219180 ) ( 489670 * )
NEW met4 ( 485300 2219180 ) ( * 2228020 )
NEW met4 ( 485150 2228020 ) ( 485300 * )
NEW met4 ( 485150 2228020 ) ( * 2230400 0 )
NEW met2 ( 489670 1338070 ) ( * 2219180 )
NEW met1 ( 1099630 420750 ) ( 1195310 * )
NEW met2 ( 1099630 420750 ) ( * 430100 0 )
NEW met2 ( 1195310 420750 ) ( * 1338070 )
NEW met1 ( 489670 1338070 ) ( 1195310 * )
NEW met2 ( 489670 2219180 ) M2M3_PR_M
NEW met3 ( 485300 2219180 ) M3M4_PR_M
NEW met1 ( 489670 1338070 ) M1M2_PR
NEW met1 ( 1099630 420750 ) M1M2_PR
NEW met1 ( 1195310 420750 ) M1M2_PR
NEW met1 ( 1195310 1338070 ) M1M2_PR ;
- o_din0\[13\] ( sram din0[13] ) ( mprj o_din0[13] ) + USE SIGNAL
+ ROUTED met1 ( 279910 1343170 ) ( 310270 * )
NEW li1 ( 310270 1340450 ) ( * 1343170 )
NEW met3 ( 490590 2219180 ) ( 490820 * )
NEW met4 ( 490820 2219180 ) ( * 2228020 )
NEW met4 ( 490590 2228020 ) ( 490820 * )
NEW met4 ( 490590 2228020 ) ( * 2230400 0 )
NEW met3 ( 279910 1020340 ) ( 300380 * 0 )
NEW met2 ( 279910 1020340 ) ( * 1343170 )
NEW met2 ( 490590 1340450 ) ( * 2219180 )
NEW met1 ( 310270 1340450 ) ( 490590 * )
NEW met1 ( 279910 1343170 ) M1M2_PR
NEW li1 ( 310270 1343170 ) L1M1_PR_MR
NEW li1 ( 310270 1340450 ) L1M1_PR_MR
NEW met1 ( 490590 1340450 ) M1M2_PR
NEW met2 ( 490590 2219180 ) M2M3_PR_M
NEW met3 ( 490820 2219180 ) M3M4_PR_M
NEW met2 ( 279910 1020340 ) M2M3_PR_M
NEW met3 ( 490590 2219180 ) RECT ( -390 -150 0 150 ) ;
- o_din0\[14\] ( sram din0[14] ) ( mprj o_din0[14] ) + USE SIGNAL
+ ROUTED met3 ( 497260 2221220 ) ( 503470 * )
NEW met4 ( 497260 2221220 ) ( * 2228020 )
NEW met4 ( 497260 2228020 ) ( 497390 * )
NEW met4 ( 497390 2228020 ) ( * 2230400 0 )
NEW met2 ( 503010 1341470 ) ( * 1386900 )
NEW met2 ( 503010 1386900 ) ( 503470 * )
NEW met2 ( 503470 1386900 ) ( * 2221220 )
NEW met2 ( 1200830 961180 ) ( * 962710 )
NEW met1 ( 1200830 962710 ) ( 1210490 * )
NEW met3 ( 1192780 961180 0 ) ( 1200830 * )
NEW met2 ( 1210490 962710 ) ( * 1341470 )
NEW met1 ( 503010 1341470 ) ( 1210490 * )
NEW met1 ( 503010 1341470 ) M1M2_PR
NEW met2 ( 503470 2221220 ) M2M3_PR_M
NEW met3 ( 497260 2221220 ) M3M4_PR_M
NEW met2 ( 1200830 961180 ) M2M3_PR_M
NEW met1 ( 1200830 962710 ) M1M2_PR
NEW met1 ( 1210490 962710 ) M1M2_PR
NEW met1 ( 1210490 1341470 ) M1M2_PR ;
- o_din0\[15\] ( sram din0[15] ) ( mprj o_din0[15] ) + USE SIGNAL
+ ROUTED met2 ( 510370 2220540 ) ( * 2221390 )
NEW met3 ( 503700 2220540 ) ( 510370 * )
NEW met4 ( 503700 2220540 ) ( * 2228700 )
NEW met4 ( 503510 2228700 ) ( 503700 * )
NEW met4 ( 503510 2228700 ) ( * 2230400 0 )
NEW li1 ( 1189330 420410 ) ( * 421090 )
NEW met1 ( 1112510 420410 ) ( 1189330 * )
NEW met1 ( 1195770 420750 ) ( 1207270 * )
NEW met1 ( 510370 2221390 ) ( 1207270 * )
NEW met2 ( 1112510 420410 ) ( * 430100 0 )
NEW met1 ( 1189330 421090 ) ( 1195770 * )
NEW met1 ( 1195770 420750 ) ( * 421090 )
NEW met2 ( 1207270 420750 ) ( * 2221390 )
NEW met1 ( 510370 2221390 ) M1M2_PR
NEW met2 ( 510370 2220540 ) M2M3_PR_M
NEW met3 ( 503700 2220540 ) M3M4_PR_M
NEW li1 ( 1189330 420410 ) L1M1_PR_MR
NEW li1 ( 1189330 421090 ) L1M1_PR_MR
NEW met1 ( 1112510 420410 ) M1M2_PR
NEW met1 ( 1207270 420750 ) M1M2_PR
NEW met1 ( 1207270 2221390 ) M1M2_PR ;
- o_din0\[16\] ( sram din0[16] ) ( mprj o_din0[16] ) + USE SIGNAL
+ ROUTED met3 ( 284970 1067260 ) ( 300380 * 0 )
NEW met1 ( 284970 1258850 ) ( 298770 * )
NEW met2 ( 503930 2217990 ) ( * 2218500 )
NEW met3 ( 503930 2218500 ) ( 509220 * )
NEW met4 ( 509220 2218500 ) ( * 2228020 )
NEW met4 ( 508950 2228020 ) ( 509220 * )
NEW met4 ( 508950 2228020 ) ( * 2230400 0 )
NEW met2 ( 284970 1067260 ) ( * 1258850 )
NEW met2 ( 298770 1258850 ) ( * 2217990 )
NEW met1 ( 298770 2217990 ) ( 503930 * )
NEW met2 ( 284970 1067260 ) M2M3_PR_M
NEW met1 ( 284970 1258850 ) M1M2_PR
NEW met1 ( 298770 1258850 ) M1M2_PR
NEW met1 ( 298770 2217990 ) M1M2_PR
NEW met1 ( 503930 2217990 ) M1M2_PR
NEW met2 ( 503930 2218500 ) M2M3_PR_M
NEW met3 ( 509220 2218500 ) M3M4_PR_M ;
- o_din0\[17\] ( sram din0[17] ) ( mprj o_din0[17] ) + USE SIGNAL
+ ROUTED met3 ( 514740 2221220 ) ( 516810 * )
NEW met4 ( 514740 2221220 ) ( * 2228020 )
NEW met4 ( 514390 2228020 ) ( 514740 * )
NEW met4 ( 514390 2228020 ) ( * 2230400 0 )
NEW met2 ( 516810 1340450 ) ( * 2221220 )
NEW met3 ( 1131830 420580 ) ( 1194850 * )
NEW met2 ( 1131830 420580 ) ( * 430100 0 )
NEW met2 ( 1194850 420580 ) ( * 1340450 )
NEW met1 ( 516810 1340450 ) ( 1194850 * )
NEW met1 ( 516810 1340450 ) M1M2_PR
NEW met2 ( 516810 2221220 ) M2M3_PR_M
NEW met3 ( 514740 2221220 ) M3M4_PR_M
NEW met2 ( 1131830 420580 ) M2M3_PR_M
NEW met2 ( 1194850 420580 ) M2M3_PR_M
NEW met1 ( 1194850 1340450 ) M1M2_PR ;
- o_din0\[18\] ( sram din0[18] ) ( mprj o_din0[18] ) + USE SIGNAL
+ ROUTED met2 ( 523710 1339940 ) ( 524630 * )
NEW met2 ( 524630 1339940 ) ( * 1340790 )
NEW met3 ( 520260 2219180 ) ( 524170 * )
NEW met4 ( 520260 2219180 ) ( * 2228700 )
NEW met4 ( 519830 2228700 ) ( 520260 * )
NEW met4 ( 519830 2228700 ) ( * 2230400 0 )
NEW met2 ( 523710 1339940 ) ( * 1386900 )
NEW met2 ( 523710 1386900 ) ( 524170 * )
NEW met2 ( 524170 1386900 ) ( * 2219180 )
NEW met2 ( 1200830 1042100 ) ( * 1042270 )
NEW met1 ( 1200830 1042270 ) ( 1211870 * )
NEW met3 ( 1192780 1042100 0 ) ( 1200830 * )
NEW met2 ( 1211870 1042270 ) ( * 1340790 )
NEW met1 ( 524630 1340790 ) ( 1211870 * )
NEW met1 ( 524630 1340790 ) M1M2_PR
NEW met2 ( 524170 2219180 ) M2M3_PR_M
NEW met3 ( 520260 2219180 ) M3M4_PR_M
NEW met1 ( 1211870 1340790 ) M1M2_PR
NEW met2 ( 1200830 1042100 ) M2M3_PR_M
NEW met1 ( 1200830 1042270 ) M1M2_PR
NEW met1 ( 1211870 1042270 ) M1M2_PR ;
- o_din0\[19\] ( sram din0[19] ) ( mprj o_din0[19] ) + USE SIGNAL
+ ROUTED met2 ( 1095490 1333820 0 ) ( * 1375810 )
NEW met3 ( 526700 2219860 ) ( 531070 * )
NEW met4 ( 526700 2219860 ) ( * 2228700 )
NEW met4 ( 526630 2228700 ) ( 526700 * )
NEW met4 ( 526630 2228700 ) ( * 2230400 0 )
NEW met1 ( 531070 1375810 ) ( 1095490 * )
NEW met2 ( 531070 1375810 ) ( * 2219860 )
NEW met1 ( 1095490 1375810 ) M1M2_PR
NEW met1 ( 531070 1375810 ) M1M2_PR
NEW met2 ( 531070 2219860 ) M2M3_PR_M
NEW met3 ( 526700 2219860 ) M3M4_PR_M ;
- o_din0\[1\] ( sram din0[1] ) ( mprj o_din0[1] ) + USE SIGNAL
+ ROUTED met4 ( 419980 2215100 ) ( * 2228700 )
NEW met4 ( 419980 2215100 ) ( 420900 * )
NEW met3 ( 420900 2215100 ) ( 427570 * )
NEW met4 ( 421230 2228700 ) ( * 2230400 0 )
NEW met4 ( 419980 2228700 ) ( 421230 * )
NEW met2 ( 427570 1344530 ) ( * 2215100 )
NEW met3 ( 1192780 559980 0 ) ( 1203590 * )
NEW met1 ( 1203590 1315290 ) ( 1204970 * )
NEW met2 ( 1203590 559980 ) ( * 1315290 )
NEW met2 ( 1204970 1315290 ) ( * 1344530 )
NEW met1 ( 427570 1344530 ) ( 1204970 * )
NEW met1 ( 427570 1344530 ) M1M2_PR
NEW met3 ( 420900 2215100 ) M3M4_PR_M
NEW met2 ( 427570 2215100 ) M2M3_PR_M
NEW met1 ( 1204970 1344530 ) M1M2_PR
NEW met2 ( 1203590 559980 ) M2M3_PR_M
NEW met1 ( 1203590 1315290 ) M1M2_PR
NEW met1 ( 1204970 1315290 ) M1M2_PR ;
- o_din0\[20\] ( sram din0[20] ) ( mprj o_din0[20] ) + USE SIGNAL
+ ROUTED met3 ( 290490 1159740 ) ( 300380 * 0 )
NEW met1 ( 290490 1340450 ) ( 307050 * )
NEW li1 ( 307050 1339430 ) ( * 1340450 )
NEW met2 ( 290490 1159740 ) ( * 1340450 )
NEW met1 ( 307050 1339430 ) ( 532450 * )
NEW met3 ( 532220 2219180 ) ( 532450 * )
NEW met4 ( 532220 2219180 ) ( * 2228700 )
NEW met4 ( 532070 2228700 ) ( 532220 * )
NEW met4 ( 532070 2228700 ) ( * 2230400 0 )
NEW met2 ( 532450 1339430 ) ( * 2219180 )
NEW met2 ( 290490 1159740 ) M2M3_PR_M
NEW met1 ( 290490 1340450 ) M1M2_PR
NEW li1 ( 307050 1340450 ) L1M1_PR_MR
NEW li1 ( 307050 1339430 ) L1M1_PR_MR
NEW met1 ( 532450 1339430 ) M1M2_PR
NEW met2 ( 532450 2219180 ) M2M3_PR_M
NEW met3 ( 532220 2219180 ) M3M4_PR_M
NEW met3 ( 532450 2219180 ) RECT ( 0 -150 390 150 ) ;
- o_din0\[21\] ( sram din0[21] ) ( mprj o_din0[21] ) + USE SIGNAL
+ ROUTED met3 ( 537740 2219180 ) ( 537970 * )
NEW met4 ( 537740 2219180 ) ( * 2228700 )
NEW met4 ( 537740 2228700 ) ( 538190 * )
NEW met4 ( 538190 2228700 ) ( * 2230400 0 )
NEW met1 ( 537970 1379210 ) ( 1206350 * )
NEW met2 ( 537970 1379210 ) ( * 2219180 )
NEW met3 ( 1192780 1101940 0 ) ( 1206350 * )
NEW met2 ( 1206350 1101940 ) ( * 1379210 )
NEW met1 ( 537970 1379210 ) M1M2_PR
NEW met2 ( 537970 2219180 ) M2M3_PR_M
NEW met3 ( 537740 2219180 ) M3M4_PR_M
NEW met1 ( 1206350 1379210 ) M1M2_PR
NEW met2 ( 1206350 1101940 ) M2M3_PR_M
NEW met3 ( 537970 2219180 ) RECT ( 0 -150 390 150 ) ;
- o_din0\[22\] ( sram din0[22] ) ( mprj o_din0[22] ) + USE SIGNAL
+ ROUTED met3 ( 544180 2219180 ) ( 544870 * )
NEW met4 ( 544180 2219180 ) ( * 2228700 )
NEW met4 ( 543630 2228700 ) ( 544180 * )
NEW met4 ( 543630 2228700 ) ( * 2230400 0 )
NEW met1 ( 544870 1379550 ) ( 1123550 * )
NEW met2 ( 544870 1379550 ) ( * 2219180 )
NEW met2 ( 1123550 1333820 0 ) ( * 1379550 )
NEW met1 ( 544870 1379550 ) M1M2_PR
NEW met2 ( 544870 2219180 ) M2M3_PR_M
NEW met3 ( 544180 2219180 ) M3M4_PR_M
NEW met1 ( 1123550 1379550 ) M1M2_PR ;
- o_din0\[23\] ( sram din0[23] ) ( mprj o_din0[23] ) + USE SIGNAL
+ ROUTED met1 ( 296470 1340110 ) ( 307510 * )
NEW met1 ( 307510 1340110 ) ( * 1340450 )
NEW met1 ( 307510 1340450 ) ( 309810 * )
NEW met1 ( 309810 1340110 ) ( * 1340450 )
NEW met3 ( 296470 1205980 ) ( 300380 * 0 )
NEW met2 ( 296470 1205980 ) ( * 1340110 )
NEW met1 ( 309810 1340110 ) ( 545790 * )
NEW met3 ( 545790 2221220 ) ( 548780 * )
NEW met4 ( 548780 2221220 ) ( * 2228700 )
NEW met4 ( 548780 2228700 ) ( 549070 * )
NEW met4 ( 549070 2228700 ) ( * 2230400 0 )
NEW met2 ( 545790 1340110 ) ( * 2221220 )
NEW met1 ( 296470 1340110 ) M1M2_PR
NEW met2 ( 296470 1205980 ) M2M3_PR_M
NEW met1 ( 545790 1340110 ) M1M2_PR
NEW met2 ( 545790 2221220 ) M2M3_PR_M
NEW met3 ( 548780 2221220 ) M3M4_PR_M ;
- o_din0\[24\] ( sram din0[24] ) ( mprj o_din0[24] ) + USE SIGNAL
+ ROUTED met3 ( 556140 2219180 ) ( 558670 * )
NEW met4 ( 556140 2219180 ) ( * 2228700 )
NEW met4 ( 555870 2228700 ) ( 556140 * )
NEW met4 ( 555870 2228700 ) ( * 2230400 0 )
NEW met1 ( 558670 1379890 ) ( 1134590 * )
NEW met2 ( 558670 1379890 ) ( * 2219180 )
NEW met2 ( 1134590 1333820 0 ) ( * 1379890 )
NEW met1 ( 558670 1379890 ) M1M2_PR
NEW met2 ( 558670 2219180 ) M2M3_PR_M
NEW met3 ( 556140 2219180 ) M3M4_PR_M
NEW met1 ( 1134590 1379890 ) M1M2_PR ;
- o_din0\[25\] ( sram din0[25] ) ( mprj o_din0[25] ) + USE SIGNAL
+ ROUTED met2 ( 1170470 414630 ) ( * 430100 0 )
NEW met3 ( 561660 2216460 ) ( 564650 * )
NEW met4 ( 561660 2216460 ) ( * 2228700 )
NEW met4 ( 561310 2228700 ) ( 561660 * )
NEW met4 ( 561310 2228700 ) ( * 2230400 0 )
NEW met1 ( 1170470 414630 ) ( 1207730 * )
NEW met2 ( 564650 1340110 ) ( * 2216460 )
NEW met2 ( 1207730 414630 ) ( * 1340110 )
NEW met1 ( 564650 1340110 ) ( 1207730 * )
NEW met1 ( 1170470 414630 ) M1M2_PR
NEW met1 ( 564650 1340110 ) M1M2_PR
NEW met2 ( 564650 2216460 ) M2M3_PR_M
NEW met3 ( 561660 2216460 ) M3M4_PR_M
NEW met1 ( 1207730 414630 ) M1M2_PR
NEW met1 ( 1207730 1340110 ) M1M2_PR ;
- o_din0\[26\] ( sram din0[26] ) ( mprj o_din0[26] ) + USE SIGNAL
+ ROUTED met3 ( 567180 2220540 ) ( 576150 * )
NEW met4 ( 567180 2220540 ) ( * 2228700 )
NEW met4 ( 566750 2228700 ) ( 567180 * )
NEW met4 ( 566750 2228700 ) ( * 2230400 0 )
NEW met2 ( 576150 1376150 ) ( * 2220540 )
NEW met2 ( 1145630 1333820 0 ) ( * 1376150 )
NEW met1 ( 576150 1376150 ) ( 1145630 * )
NEW met1 ( 576150 1376150 ) M1M2_PR
NEW met2 ( 576150 2220540 ) M2M3_PR_M
NEW met3 ( 567180 2220540 ) M3M4_PR_M
NEW met1 ( 1145630 1376150 ) M1M2_PR ;
- o_din0\[27\] ( sram din0[27] ) ( mprj o_din0[27] ) + USE SIGNAL
+ ROUTED met2 ( 572470 2220710 ) ( * 2221220 )
NEW met3 ( 572470 2221220 ) ( 572700 * )
NEW met4 ( 572700 2221220 ) ( * 2228700 )
NEW met4 ( 572190 2228700 ) ( 572700 * )
NEW met4 ( 572190 2228700 ) ( * 2230400 0 )
NEW met2 ( 1162650 1333820 0 ) ( * 1348950 )
NEW met1 ( 572470 2220710 ) ( 914250 * )
NEW met2 ( 914250 1348950 ) ( * 2220710 )
NEW met1 ( 914250 1348950 ) ( 1162650 * )
NEW met1 ( 572470 2220710 ) M1M2_PR
NEW met2 ( 572470 2221220 ) M2M3_PR_M
NEW met3 ( 572700 2221220 ) M3M4_PR_M
NEW met1 ( 1162650 1348950 ) M1M2_PR
NEW met1 ( 914250 1348950 ) M1M2_PR
NEW met1 ( 914250 2220710 ) M1M2_PR
NEW met3 ( 572470 2221220 ) RECT ( -390 -150 0 150 ) ;
- o_din0\[28\] ( sram din0[28] ) ( mprj o_din0[28] ) + USE SIGNAL
+ ROUTED met2 ( 579370 2218670 ) ( * 2219180 )
NEW met3 ( 579140 2219180 ) ( 579370 * )
NEW met4 ( 579140 2219180 ) ( * 2228700 )
NEW met4 ( 578990 2228700 ) ( 579140 * )
NEW met4 ( 578990 2228700 ) ( * 2230400 0 )
NEW met2 ( 1190710 1336030 ) ( * 2218670 )
NEW met1 ( 579370 2218670 ) ( 1190710 * )
NEW met3 ( 1192780 1282820 0 ) ( 1200830 * )
NEW met2 ( 1203130 1322430 ) ( * 1336030 )
NEW met1 ( 1200830 1322430 ) ( 1203130 * )
NEW met1 ( 1190710 1336030 ) ( 1203130 * )
NEW met2 ( 1200830 1282820 ) ( * 1322430 )
NEW met1 ( 579370 2218670 ) M1M2_PR
NEW met2 ( 579370 2219180 ) M2M3_PR_M
NEW met3 ( 579140 2219180 ) M3M4_PR_M
NEW met1 ( 1190710 2218670 ) M1M2_PR
NEW met1 ( 1190710 1336030 ) M1M2_PR
NEW met2 ( 1200830 1282820 ) M2M3_PR_M
NEW met1 ( 1203130 1336030 ) M1M2_PR
NEW met1 ( 1203130 1322430 ) M1M2_PR
NEW met1 ( 1200830 1322430 ) M1M2_PR
NEW met3 ( 579370 2219180 ) RECT ( 0 -150 390 150 ) ;
- o_din0\[29\] ( sram din0[29] ) ( mprj o_din0[29] ) + USE SIGNAL
+ ROUTED met3 ( 290950 1275340 ) ( 300380 * 0 )
NEW met1 ( 290950 1341810 ) ( 309810 * )
NEW li1 ( 309810 1339770 ) ( * 1341810 )
NEW met3 ( 580290 2219180 ) ( 584660 * )
NEW met4 ( 584660 2219180 ) ( * 2228700 )
NEW met4 ( 584660 2228700 ) ( 585110 * )
NEW met4 ( 585110 2228700 ) ( * 2230400 0 )
NEW met2 ( 290950 1275340 ) ( * 1341810 )
NEW met2 ( 580290 1339770 ) ( * 2219180 )
NEW met1 ( 309810 1339770 ) ( 580290 * )
NEW met2 ( 290950 1275340 ) M2M3_PR_M
NEW met1 ( 290950 1341810 ) M1M2_PR
NEW li1 ( 309810 1341810 ) L1M1_PR_MR
NEW li1 ( 309810 1339770 ) L1M1_PR_MR
NEW met1 ( 580290 1339770 ) M1M2_PR
NEW met2 ( 580290 2219180 ) M2M3_PR_M
NEW met3 ( 584660 2219180 ) M3M4_PR_M ;
- o_din0\[2\] ( sram din0[2] ) ( mprj o_din0[2] ) + USE SIGNAL
+ ROUTED met1 ( 289570 879750 ) ( 299230 * )
NEW met3 ( 289570 557260 ) ( 300380 * 0 )
NEW met2 ( 289570 557260 ) ( * 879750 )
NEW met2 ( 299230 879750 ) ( * 2219350 )
NEW met2 ( 424350 2219350 ) ( * 2221220 )
NEW met3 ( 424350 2221220 ) ( 427340 * )
NEW met4 ( 427340 2221220 ) ( * 2228700 )
NEW met4 ( 427340 2228700 ) ( 427350 * )
NEW met4 ( 427350 2228700 ) ( * 2230400 0 )
NEW met1 ( 299230 2219350 ) ( 424350 * )
NEW met1 ( 289570 879750 ) M1M2_PR
NEW met1 ( 299230 879750 ) M1M2_PR
NEW met1 ( 299230 2219350 ) M1M2_PR
NEW met2 ( 289570 557260 ) M2M3_PR_M
NEW met1 ( 424350 2219350 ) M1M2_PR
NEW met2 ( 424350 2221220 ) M2M3_PR_M
NEW met3 ( 427340 2221220 ) M3M4_PR_M ;
- o_din0\[30\] ( sram din0[30] ) ( mprj o_din0[30] ) + USE SIGNAL
+ ROUTED met2 ( 586730 2217310 ) ( * 2217820 )
NEW met3 ( 586730 2217820 ) ( 590180 * )
NEW met4 ( 590180 2217820 ) ( * 2228700 )
NEW met4 ( 590180 2228700 ) ( 590550 * )
NEW met4 ( 590550 2228700 ) ( * 2230400 0 )
NEW met3 ( 298310 1321580 ) ( 300380 * 0 )
NEW met2 ( 298310 1321580 ) ( * 1334330 )
NEW met1 ( 298310 1334330 ) ( 313490 * )
NEW met2 ( 313490 1334330 ) ( * 1386900 )
NEW met2 ( 313490 1386900 ) ( 313950 * )
NEW met2 ( 313950 1386900 ) ( * 2217310 )
NEW met1 ( 313950 2217310 ) ( 586730 * )
NEW met1 ( 313950 2217310 ) M1M2_PR
NEW met1 ( 586730 2217310 ) M1M2_PR
NEW met2 ( 586730 2217820 ) M2M3_PR_M
NEW met3 ( 590180 2217820 ) M3M4_PR_M
NEW met2 ( 298310 1321580 ) M2M3_PR_M
NEW met1 ( 298310 1334330 ) M1M2_PR
NEW met1 ( 313490 1334330 ) M1M2_PR ;
- o_din0\[31\] ( sram din0[31] ) ( mprj o_din0[31] ) + USE SIGNAL
+ ROUTED met2 ( 600070 2220370 ) ( * 2220540 )
NEW met3 ( 595700 2220540 ) ( 600070 * )
NEW met4 ( 595700 2220540 ) ( * 2228700 )
NEW met4 ( 595700 2228700 ) ( 595990 * )
NEW met4 ( 595990 2228700 ) ( * 2230400 0 )
NEW met1 ( 1167710 1351330 ) ( * 1351670 )
NEW met1 ( 1167710 1351330 ) ( 1189790 * )
NEW met2 ( 990610 1351670 ) ( * 2220370 )
NEW met2 ( 1189790 1338600 ) ( * 1351330 )
NEW met2 ( 1190250 1333820 0 ) ( * 1338600 )
NEW met2 ( 1189790 1338600 ) ( 1190250 * )
NEW met1 ( 600070 2220370 ) ( 990610 * )
NEW met1 ( 990610 1351670 ) ( 1167710 * )
NEW met1 ( 600070 2220370 ) M1M2_PR
NEW met2 ( 600070 2220540 ) M2M3_PR_M
NEW met3 ( 595700 2220540 ) M3M4_PR_M
NEW met1 ( 990610 1351670 ) M1M2_PR
NEW met1 ( 990610 2220370 ) M1M2_PR
NEW met1 ( 1189790 1351330 ) M1M2_PR ;
- o_din0\[3\] ( sram din0[3] ) ( mprj o_din0[3] ) + USE SIGNAL
+ ROUTED met1 ( 288650 786930 ) ( 299230 * )
NEW met3 ( 288650 626620 ) ( 300380 * 0 )
NEW met2 ( 288650 626620 ) ( * 786930 )
NEW met2 ( 299230 786930 ) ( * 807300 )
NEW met2 ( 299230 807300 ) ( 299690 * )
NEW met2 ( 299690 807300 ) ( * 2217650 )
NEW met2 ( 428030 2217650 ) ( * 2218500 )
NEW met3 ( 428030 2218500 ) ( 432860 * )
NEW met4 ( 432860 2218500 ) ( * 2228700 )
NEW met4 ( 432790 2228700 ) ( 432860 * )
NEW met4 ( 432790 2228700 ) ( * 2230400 0 )
NEW met1 ( 299690 2217650 ) ( 428030 * )
NEW met1 ( 288650 786930 ) M1M2_PR
NEW met1 ( 299230 786930 ) M1M2_PR
NEW met1 ( 299690 2217650 ) M1M2_PR
NEW met2 ( 288650 626620 ) M2M3_PR_M
NEW met1 ( 428030 2217650 ) M1M2_PR
NEW met2 ( 428030 2218500 ) M2M3_PR_M
NEW met3 ( 432860 2218500 ) M3M4_PR_M ;
- o_din0\[4\] ( sram din0[4] ) ( mprj o_din0[4] ) + USE SIGNAL
+ ROUTED met2 ( 990150 1345550 ) ( * 2219350 )
NEW met2 ( 441370 2219350 ) ( * 2220540 )
NEW met3 ( 438380 2220540 ) ( 441370 * )
NEW met4 ( 438380 2220540 ) ( * 2228700 )
NEW met4 ( 438230 2228700 ) ( 438380 * )
NEW met4 ( 438230 2228700 ) ( * 2230400 0 )
NEW met1 ( 441370 2219350 ) ( 990150 * )
NEW met1 ( 990150 1345550 ) ( 1006250 * )
NEW met2 ( 1006250 1333820 0 ) ( * 1345550 )
NEW met1 ( 990150 1345550 ) M1M2_PR
NEW met1 ( 990150 2219350 ) M1M2_PR
NEW met1 ( 441370 2219350 ) M1M2_PR
NEW met2 ( 441370 2220540 ) M2M3_PR_M
NEW met3 ( 438380 2220540 ) M3M4_PR_M
NEW met1 ( 1006250 1345550 ) M1M2_PR ;
- o_din0\[5\] ( sram din0[5] ) ( mprj o_din0[5] ) + USE SIGNAL
+ ROUTED met4 ( 385940 419900 ) ( * 2219860 )
NEW met4 ( 443900 2219860 ) ( * 2228700 )
NEW met4 ( 443670 2228700 ) ( 443900 * )
NEW met4 ( 443670 2228700 ) ( * 2230400 0 )
NEW met3 ( 385940 2219860 ) ( 443900 * )
NEW met3 ( 385940 419900 ) ( 1048110 * )
NEW met2 ( 1048110 419900 ) ( * 430100 0 )
NEW met3 ( 385940 419900 ) M3M4_PR_M
NEW met3 ( 385940 2219860 ) M3M4_PR_M
NEW met3 ( 443900 2219860 ) M3M4_PR_M
NEW met2 ( 1048110 419900 ) M2M3_PR_M ;
- o_din0\[6\] ( sram din0[6] ) ( mprj o_din0[6] ) + USE SIGNAL
+ ROUTED met3 ( 450340 2219180 ) ( 455170 * )
NEW met4 ( 450340 2219180 ) ( * 2228700 )
NEW met4 ( 450340 2228700 ) ( 450470 * )
NEW met4 ( 450470 2228700 ) ( * 2230400 0 )
NEW met2 ( 455170 1344870 ) ( * 2219180 )
NEW met3 ( 1192780 659940 0 ) ( 1208650 * )
NEW met2 ( 1208650 659940 ) ( * 1344870 )
NEW met1 ( 455170 1344870 ) ( 1208650 * )
NEW met1 ( 455170 1344870 ) M1M2_PR
NEW met2 ( 455170 2219180 ) M2M3_PR_M
NEW met3 ( 450340 2219180 ) M3M4_PR_M
NEW met1 ( 1208650 1344870 ) M1M2_PR
NEW met2 ( 1208650 659940 ) M2M3_PR_M ;
- o_din0\[7\] ( sram din0[7] ) ( mprj o_din0[7] ) + USE SIGNAL
+ ROUTED met2 ( 991070 1349290 ) ( * 2219690 )
NEW met2 ( 462070 2219690 ) ( * 2219860 )
NEW met3 ( 456780 2219860 ) ( 462070 * )
NEW met4 ( 456780 2219860 ) ( * 2228700 )
NEW met4 ( 456590 2228700 ) ( 456780 * )
NEW met4 ( 456590 2228700 ) ( * 2230400 0 )
NEW met1 ( 462070 2219690 ) ( 991070 * )
NEW met1 ( 991070 1349290 ) ( 1022810 * )
NEW met2 ( 1022810 1333820 0 ) ( * 1349290 )
NEW met1 ( 991070 1349290 ) M1M2_PR
NEW met1 ( 991070 2219690 ) M1M2_PR
NEW met1 ( 462070 2219690 ) M1M2_PR
NEW met2 ( 462070 2219860 ) M2M3_PR_M
NEW met3 ( 456780 2219860 ) M3M4_PR_M
NEW met1 ( 1022810 1349290 ) M1M2_PR ;
- o_din0\[8\] ( sram din0[8] ) ( mprj o_din0[8] ) + USE SIGNAL
+ ROUTED met4 ( 392380 437580 ) ( * 2217140 )
NEW met2 ( 1072490 432820 ) ( 1073870 * 0 )
NEW met3 ( 1072260 432820 ) ( 1072490 * )
NEW met4 ( 1072260 432820 ) ( * 437580 )
NEW met3 ( 392380 2217140 ) ( 420900 * )
NEW met3 ( 420900 2216460 ) ( * 2217140 )
NEW met3 ( 420900 2216460 ) ( 455630 * )
NEW met2 ( 455630 2216460 ) ( * 2221220 )
NEW met3 ( 455630 2221220 ) ( 462300 * )
NEW met4 ( 462300 2221220 ) ( * 2228700 )
NEW met4 ( 462030 2228700 ) ( 462300 * )
NEW met4 ( 462030 2228700 ) ( * 2230400 0 )
NEW met3 ( 392380 437580 ) ( 1072260 * )
NEW met3 ( 392380 2217140 ) M3M4_PR_M
NEW met3 ( 392380 437580 ) M3M4_PR_M
NEW met2 ( 1072490 432820 ) M2M3_PR_M
NEW met3 ( 1072260 432820 ) M3M4_PR_M
NEW met3 ( 1072260 437580 ) M3M4_PR_M
NEW met2 ( 455630 2216460 ) M2M3_PR_M
NEW met2 ( 455630 2221220 ) M2M3_PR_M
NEW met3 ( 462300 2221220 ) M3M4_PR_M
NEW met3 ( 1072490 432820 ) RECT ( 0 -150 390 150 ) ;
- o_din0\[9\] ( sram din0[9] ) ( mprj o_din0[9] ) + USE SIGNAL
+ ROUTED met2 ( 472650 1377850 ) ( * 2217650 )
NEW met2 ( 468510 2217650 ) ( * 2217820 )
NEW met3 ( 466900 2217820 ) ( 468510 * )
NEW met4 ( 466900 2217820 ) ( * 2228700 )
NEW met4 ( 466900 2228700 ) ( 467470 * )
NEW met4 ( 467470 2228700 ) ( * 2230400 0 )
NEW met1 ( 468510 2217650 ) ( 472650 * )
NEW met1 ( 472650 1377850 ) ( 1205890 * )
NEW met3 ( 1192780 821100 0 ) ( 1205890 * )
NEW met2 ( 1205890 821100 ) ( * 1377850 )
NEW met1 ( 472650 1377850 ) M1M2_PR
NEW met1 ( 472650 2217650 ) M1M2_PR
NEW met1 ( 468510 2217650 ) M1M2_PR
NEW met2 ( 468510 2217820 ) M2M3_PR_M
NEW met3 ( 466900 2217820 ) M3M4_PR_M
NEW met1 ( 1205890 1377850 ) M1M2_PR
NEW met2 ( 1205890 821100 ) M2M3_PR_M ;
- o_din0_1\[0\] ( sram1 din0[0] ) ( mprj o_din0_1[0] ) + USE SIGNAL
+ ROUTED met3 ( 1214630 2220540 ) ( 1215780 * )
NEW met4 ( 1215780 2220540 ) ( * 2228020 )
NEW met4 ( 1215780 2228020 ) ( 1215790 * )
NEW met4 ( 1215790 2228020 ) ( * 2230400 0 )
NEW met2 ( 1204050 519860 ) ( * 545190 )
NEW met1 ( 1204050 545190 ) ( 1214630 * )
NEW met3 ( 1192780 519860 0 ) ( 1204050 * )
NEW met2 ( 1214630 545190 ) ( * 2220540 )
NEW met2 ( 1214630 2220540 ) M2M3_PR_M
NEW met3 ( 1215780 2220540 ) M3M4_PR_M
NEW met2 ( 1204050 519860 ) M2M3_PR_M
NEW met1 ( 1204050 545190 ) M1M2_PR
NEW met1 ( 1214630 545190 ) M1M2_PR ;
- o_din0_1\[10\] ( sram1 din0[10] ) ( mprj o_din0_1[10] ) + USE SIGNAL
+ ROUTED met1 ( 303370 1339430 ) ( 306590 * )
NEW met2 ( 306590 1339430 ) ( * 1364250 )
NEW met1 ( 306590 1364250 ) ( 307510 * )
NEW met2 ( 1269830 2215950 ) ( * 2218500 )
NEW met3 ( 1269830 2218500 ) ( 1272820 * )
NEW met4 ( 1272820 2218500 ) ( * 2228020 )
NEW met4 ( 1272820 2228020 ) ( 1272910 * )
NEW met4 ( 1272910 2228020 ) ( * 2230400 0 )
NEW met3 ( 289570 950980 ) ( 300380 * 0 )
NEW met1 ( 288650 1297950 ) ( 289570 * )
NEW met2 ( 288650 1297950 ) ( * 1336370 )
NEW met1 ( 288650 1336370 ) ( 303370 * )
NEW met2 ( 289570 950980 ) ( * 1297950 )
NEW li1 ( 303370 1336370 ) ( * 1339430 )
NEW met2 ( 307510 1364250 ) ( * 2215950 )
NEW met1 ( 307510 2215950 ) ( 1269830 * )
NEW li1 ( 303370 1339430 ) L1M1_PR_MR
NEW met1 ( 306590 1339430 ) M1M2_PR
NEW met1 ( 306590 1364250 ) M1M2_PR
NEW met1 ( 307510 1364250 ) M1M2_PR
NEW met1 ( 307510 2215950 ) M1M2_PR
NEW met1 ( 1269830 2215950 ) M1M2_PR
NEW met2 ( 1269830 2218500 ) M2M3_PR_M
NEW met3 ( 1272820 2218500 ) M3M4_PR_M
NEW met2 ( 289570 950980 ) M2M3_PR_M
NEW met1 ( 289570 1297950 ) M1M2_PR
NEW met1 ( 288650 1297950 ) M1M2_PR
NEW met1 ( 288650 1336370 ) M1M2_PR
NEW li1 ( 303370 1336370 ) L1M1_PR_MR ;
- o_din0_1\[11\] ( sram1 din0[11] ) ( mprj o_din0_1[11] ) + USE SIGNAL
+ ROUTED met3 ( 1277190 2219180 ) ( 1279260 * )
NEW met4 ( 1279260 2219180 ) ( * 2228020 )
NEW met4 ( 1279260 2228020 ) ( 1279710 * )
NEW met4 ( 1279710 2228020 ) ( * 2230400 0 )
NEW met2 ( 1093190 417350 ) ( * 430100 0 )
NEW met2 ( 1277190 417350 ) ( * 2219180 )
NEW met1 ( 1093190 417350 ) ( 1277190 * )
NEW met1 ( 1093190 417350 ) M1M2_PR
NEW met1 ( 1277190 417350 ) M1M2_PR
NEW met2 ( 1277190 2219180 ) M2M3_PR_M
NEW met3 ( 1279260 2219180 ) M3M4_PR_M ;
- o_din0_1\[12\] ( sram1 din0[12] ) ( mprj o_din0_1[12] ) + USE SIGNAL
+ ROUTED met1 ( 1252350 2218670 ) ( 1283630 * )
NEW met2 ( 1283630 2218670 ) ( * 2219180 )
NEW met3 ( 1283630 2219180 ) ( 1284780 * )
NEW met4 ( 1284780 2219180 ) ( * 2228020 )
NEW met4 ( 1284780 2228020 ) ( 1285150 * )
NEW met4 ( 1285150 2228020 ) ( * 2230400 0 )
NEW met2 ( 1252350 903890 ) ( * 2218670 )
NEW met2 ( 1206810 901340 ) ( * 903890 )
NEW met3 ( 1192780 901340 0 ) ( 1206810 * )
NEW met1 ( 1206810 903890 ) ( 1252350 * )
NEW met1 ( 1252350 903890 ) M1M2_PR
NEW met1 ( 1252350 2218670 ) M1M2_PR
NEW met1 ( 1283630 2218670 ) M1M2_PR
NEW met2 ( 1283630 2219180 ) M2M3_PR_M
NEW met3 ( 1284780 2219180 ) M3M4_PR_M
NEW met2 ( 1206810 901340 ) M2M3_PR_M
NEW met1 ( 1206810 903890 ) M1M2_PR ;
- o_din0_1\[13\] ( sram1 din0[13] ) ( mprj o_din0_1[13] ) + USE SIGNAL
+ ROUTED met3 ( 1290990 2220540 ) ( 1291220 * )
NEW met4 ( 1291220 2220540 ) ( * 2228700 )
NEW met4 ( 1290590 2228700 ) ( 1291220 * )
NEW met4 ( 1290590 2228700 ) ( * 2230400 0 )
NEW met2 ( 1206810 921060 ) ( * 924290 )
NEW met3 ( 1192780 921060 0 ) ( 1206810 * )
NEW met1 ( 1206810 924290 ) ( 1290990 * )
NEW met2 ( 1290990 924290 ) ( * 2220540 )
NEW met2 ( 1290990 2220540 ) M2M3_PR_M
NEW met3 ( 1291220 2220540 ) M3M4_PR_M
NEW met2 ( 1206810 921060 ) M2M3_PR_M
NEW met1 ( 1206810 924290 ) M1M2_PR
NEW met1 ( 1290990 924290 ) M1M2_PR
NEW met3 ( 1290990 2220540 ) RECT ( -390 -150 0 150 ) ;
- o_din0_1\[14\] ( sram1 din0[14] ) ( mprj o_din0_1[14] ) + USE SIGNAL
+ ROUTED met3 ( 1297430 2219180 ) ( 1297660 * )
NEW met4 ( 1297660 2219180 ) ( * 2228700 )
NEW met4 ( 1297390 2228700 ) ( 1297660 * )
NEW met4 ( 1297390 2228700 ) ( * 2230400 0 )
NEW met2 ( 1206810 941460 ) ( * 945030 )
NEW met3 ( 1192780 941460 0 ) ( 1206810 * )
NEW met1 ( 1206810 945030 ) ( 1297430 * )
NEW met2 ( 1297430 945030 ) ( * 2219180 )
NEW met2 ( 1297430 2219180 ) M2M3_PR_M
NEW met3 ( 1297660 2219180 ) M3M4_PR_M
NEW met2 ( 1206810 941460 ) M2M3_PR_M
NEW met1 ( 1206810 945030 ) M1M2_PR
NEW met1 ( 1297430 945030 ) M1M2_PR
NEW met3 ( 1297430 2219180 ) RECT ( -390 -150 0 150 ) ;
- o_din0_1\[15\] ( sram1 din0[15] ) ( mprj o_din0_1[15] ) + USE SIGNAL
+ ROUTED met2 ( 1067430 1333820 0 ) ( * 1349290 )
NEW met1 ( 1067430 1349290 ) ( 1297890 * )
NEW met3 ( 1297890 2217820 ) ( 1303180 * )
NEW met4 ( 1303180 2217820 ) ( * 2228700 )
NEW met4 ( 1303180 2228700 ) ( 1303510 * )
NEW met4 ( 1303510 2228700 ) ( * 2230400 0 )
NEW met2 ( 1297890 1349290 ) ( * 2217820 )
NEW met1 ( 1067430 1349290 ) M1M2_PR
NEW met1 ( 1297890 1349290 ) M1M2_PR
NEW met2 ( 1297890 2217820 ) M2M3_PR_M
NEW met3 ( 1303180 2217820 ) M3M4_PR_M ;
- o_din0_1\[16\] ( sram1 din0[16] ) ( mprj o_din0_1[16] ) + USE SIGNAL
+ ROUTED met1 ( 1118950 418030 ) ( 1300650 * )
NEW met3 ( 1300650 2221220 ) ( 1308700 * )
NEW met4 ( 1308700 2221220 ) ( * 2228700 )
NEW met4 ( 1308700 2228700 ) ( 1308950 * )
NEW met4 ( 1308950 2228700 ) ( * 2230400 0 )
NEW met2 ( 1118950 418030 ) ( * 430100 0 )
NEW met2 ( 1300650 418030 ) ( * 2221220 )
NEW met1 ( 1118950 418030 ) M1M2_PR
NEW met1 ( 1300650 418030 ) M1M2_PR
NEW met2 ( 1300650 2221220 ) M2M3_PR_M
NEW met3 ( 1308700 2221220 ) M3M4_PR_M ;
- o_din0_1\[17\] ( sram1 din0[17] ) ( mprj o_din0_1[17] ) + USE SIGNAL
+ ROUTED met2 ( 1078930 1333820 0 ) ( * 1347930 )
NEW met1 ( 1078930 1347930 ) ( 1225670 * )
NEW met2 ( 1311230 2216970 ) ( * 2217820 )
NEW met3 ( 1311230 2217820 ) ( 1314220 * )
NEW met4 ( 1314220 2217820 ) ( * 2228700 )
NEW met4 ( 1314220 2228700 ) ( 1314390 * )
NEW met4 ( 1314390 2228700 ) ( * 2230400 0 )
NEW met1 ( 1225670 2216970 ) ( 1311230 * )
NEW met2 ( 1225670 1347930 ) ( * 2216970 )
NEW met1 ( 1078930 1347930 ) M1M2_PR
NEW met1 ( 1225670 1347930 ) M1M2_PR
NEW met1 ( 1225670 2216970 ) M1M2_PR
NEW met1 ( 1311230 2216970 ) M1M2_PR
NEW met2 ( 1311230 2217820 ) M2M3_PR_M
NEW met3 ( 1314220 2217820 ) M3M4_PR_M ;
- o_din0_1\[18\] ( sram1 din0[18] ) ( mprj o_din0_1[18] ) + USE SIGNAL
+ ROUTED met3 ( 291870 1090380 ) ( 300380 * 0 )
NEW met1 ( 291870 1342150 ) ( 310270 * )
NEW met1 ( 310270 1341810 ) ( * 1342150 )
NEW met2 ( 291870 1090380 ) ( * 1342150 )
NEW li1 ( 347990 1341810 ) ( 348910 * )
NEW li1 ( 348910 1341810 ) ( * 1342150 )
NEW met1 ( 310270 1341810 ) ( 347990 * )
NEW met3 ( 1318130 2219860 ) ( 1319740 * )
NEW met4 ( 1319740 2219860 ) ( * 2228700 )
NEW met4 ( 1319740 2228700 ) ( 1319830 * )
NEW met4 ( 1319830 2228700 ) ( * 2230400 0 )
NEW met2 ( 1318130 1342150 ) ( * 2219860 )
NEW met1 ( 348910 1342150 ) ( 1318130 * )
NEW met2 ( 291870 1090380 ) M2M3_PR_M
NEW met1 ( 291870 1342150 ) M1M2_PR
NEW li1 ( 347990 1341810 ) L1M1_PR_MR
NEW li1 ( 348910 1342150 ) L1M1_PR_MR
NEW met1 ( 1318130 1342150 ) M1M2_PR
NEW met2 ( 1318130 2219860 ) M2M3_PR_M
NEW met3 ( 1319740 2219860 ) M3M4_PR_M ;
- o_din0_1\[19\] ( sram1 din0[19] ) ( mprj o_din0_1[19] ) + USE SIGNAL
+ ROUTED met2 ( 1089970 1333820 0 ) ( * 1345890 )
NEW met1 ( 1089970 1345890 ) ( 1100550 * )
NEW met3 ( 1325490 2221220 ) ( 1326180 * )
NEW met4 ( 1326180 2221220 ) ( * 2228700 )
NEW met4 ( 1326180 2228700 ) ( 1326630 * )
NEW met4 ( 1326630 2228700 ) ( * 2230400 0 )
NEW met2 ( 1100550 1345890 ) ( * 1714450 )
NEW met1 ( 1100550 1714450 ) ( 1325490 * )
NEW met2 ( 1325490 1714450 ) ( * 2221220 )
NEW met1 ( 1089970 1345890 ) M1M2_PR
NEW met1 ( 1100550 1345890 ) M1M2_PR
NEW met2 ( 1325490 2221220 ) M2M3_PR_M
NEW met3 ( 1326180 2221220 ) M3M4_PR_M
NEW met1 ( 1100550 1714450 ) M1M2_PR
NEW met1 ( 1325490 1714450 ) M1M2_PR ;
- o_din0_1\[1\] ( sram1 din0[1] ) ( mprj o_din0_1[1] ) + USE SIGNAL
+ ROUTED met1 ( 965770 2219010 ) ( 1193700 * )
NEW met1 ( 1193700 2218670 ) ( * 2219010 )
NEW met1 ( 1193700 2218670 ) ( 1221990 * )
NEW met2 ( 1221990 2218670 ) ( * 2219860 )
NEW met3 ( 1221300 2219860 ) ( 1221990 * )
NEW met4 ( 1221300 2219860 ) ( * 2228700 )
NEW met4 ( 1221230 2228700 ) ( 1221300 * )
NEW met4 ( 1221230 2228700 ) ( * 2230400 0 )
NEW met2 ( 961630 1333820 0 ) ( * 1348270 )
NEW met1 ( 961630 1348270 ) ( 965770 * )
NEW met2 ( 965770 1348270 ) ( * 2219010 )
NEW met1 ( 965770 2219010 ) M1M2_PR
NEW met1 ( 1221990 2218670 ) M1M2_PR
NEW met2 ( 1221990 2219860 ) M2M3_PR_M
NEW met3 ( 1221300 2219860 ) M3M4_PR_M
NEW met1 ( 961630 1348270 ) M1M2_PR
NEW met1 ( 965770 1348270 ) M1M2_PR ;
- o_din0_1\[20\] ( sram1 din0[20] ) ( mprj o_din0_1[20] ) + USE SIGNAL
+ ROUTED met1 ( 1101010 1348610 ) ( 1103770 * )
NEW met1 ( 1103770 2219350 ) ( 1290300 * )
NEW met1 ( 1290300 2219010 ) ( * 2219350 )
NEW met1 ( 1290300 2219010 ) ( 1331930 * )
NEW met2 ( 1331930 2219010 ) ( * 2219180 )
NEW met3 ( 1331700 2219180 ) ( 1331930 * )
NEW met4 ( 1331700 2219180 ) ( * 2228700 )
NEW met4 ( 1331700 2228700 ) ( 1332070 * )
NEW met4 ( 1332070 2228700 ) ( * 2230400 0 )
NEW met2 ( 1101010 1333820 0 ) ( * 1348610 )
NEW met2 ( 1103770 1348610 ) ( * 2219350 )
NEW met1 ( 1101010 1348610 ) M1M2_PR
NEW met1 ( 1103770 1348610 ) M1M2_PR
NEW met1 ( 1103770 2219350 ) M1M2_PR
NEW met1 ( 1331930 2219010 ) M1M2_PR
NEW met2 ( 1331930 2219180 ) M2M3_PR_M
NEW met3 ( 1331700 2219180 ) M3M4_PR_M
NEW met3 ( 1331930 2219180 ) RECT ( 0 -150 390 150 ) ;
- o_din0_1\[21\] ( sram1 din0[21] ) ( mprj o_din0_1[21] ) + USE SIGNAL
+ ROUTED met1 ( 1112050 1348610 ) ( 1117570 * )
NEW met2 ( 1331930 2220030 ) ( * 2220540 )
NEW met3 ( 1331930 2220540 ) ( 1338140 * )
NEW met4 ( 1338140 2220540 ) ( * 2228700 )
NEW met4 ( 1338140 2228700 ) ( 1338190 * )
NEW met4 ( 1338190 2228700 ) ( * 2230400 0 )
NEW met1 ( 1117570 2220030 ) ( 1331930 * )
NEW met2 ( 1112050 1333820 0 ) ( * 1348610 )
NEW met2 ( 1117570 1348610 ) ( * 2220030 )
NEW met1 ( 1112050 1348610 ) M1M2_PR
NEW met1 ( 1117570 1348610 ) M1M2_PR
NEW met1 ( 1117570 2220030 ) M1M2_PR
NEW met1 ( 1331930 2220030 ) M1M2_PR
NEW met2 ( 1331930 2220540 ) M2M3_PR_M
NEW met3 ( 1338140 2220540 ) M3M4_PR_M ;
- o_din0_1\[22\] ( sram1 din0[22] ) ( mprj o_din0_1[22] ) + USE SIGNAL
+ ROUTED met2 ( 1339290 2219690 ) ( * 2219860 )
NEW met3 ( 1339290 2219860 ) ( 1343660 * )
NEW met4 ( 1343660 2219860 ) ( * 2228020 )
NEW met4 ( 1343630 2228020 ) ( 1343660 * )
NEW met4 ( 1343630 2228020 ) ( * 2230400 0 )
NEW met1 ( 1118030 1348610 ) ( 1124470 * )
NEW met1 ( 1124470 2219690 ) ( 1339290 * )
NEW met2 ( 1118030 1333820 0 ) ( * 1348610 )
NEW met2 ( 1124470 1348610 ) ( * 2219690 )
NEW met1 ( 1339290 2219690 ) M1M2_PR
NEW met2 ( 1339290 2219860 ) M2M3_PR_M
NEW met3 ( 1343660 2219860 ) M3M4_PR_M
NEW met1 ( 1118030 1348610 ) M1M2_PR
NEW met1 ( 1124470 1348610 ) M1M2_PR
NEW met1 ( 1124470 2219690 ) M1M2_PR ;
- o_din0_1\[23\] ( sram1 din0[23] ) ( mprj o_din0_1[23] ) + USE SIGNAL
+ ROUTED met2 ( 1345730 2217990 ) ( * 2218500 )
NEW met3 ( 1345730 2218500 ) ( 1349180 * )
NEW met4 ( 1349180 2218500 ) ( * 2228020 )
NEW met4 ( 1349070 2228020 ) ( 1349180 * )
NEW met4 ( 1349070 2228020 ) ( * 2230400 0 )
NEW met2 ( 1155750 1345890 ) ( * 2217990 )
NEW met1 ( 1129070 1345890 ) ( 1155750 * )
NEW met1 ( 1155750 2217990 ) ( 1345730 * )
NEW met2 ( 1129070 1333820 0 ) ( * 1345890 )
NEW met1 ( 1155750 1345890 ) M1M2_PR
NEW met1 ( 1155750 2217990 ) M1M2_PR
NEW met1 ( 1345730 2217990 ) M1M2_PR
NEW met2 ( 1345730 2218500 ) M2M3_PR_M
NEW met3 ( 1349180 2218500 ) M3M4_PR_M
NEW met1 ( 1129070 1345890 ) M1M2_PR ;
- o_din0_1\[24\] ( sram1 din0[24] ) ( mprj o_din0_1[24] ) + USE SIGNAL
+ ROUTED met2 ( 1352630 2216290 ) ( * 2219860 )
NEW met3 ( 1352630 2219860 ) ( 1355620 * )
NEW met4 ( 1355620 2219860 ) ( * 2228020 )
NEW met4 ( 1355620 2228020 ) ( 1355870 * )
NEW met4 ( 1355870 2228020 ) ( * 2230400 0 )
NEW met2 ( 1206810 1182180 ) ( * 1186770 )
NEW met3 ( 1192780 1182180 0 ) ( 1206810 * )
NEW met1 ( 1206810 1186770 ) ( 1321350 * )
NEW met1 ( 1321350 2216290 ) ( 1352630 * )
NEW met2 ( 1321350 1186770 ) ( * 2216290 )
NEW met1 ( 1352630 2216290 ) M1M2_PR
NEW met2 ( 1352630 2219860 ) M2M3_PR_M
NEW met3 ( 1355620 2219860 ) M3M4_PR_M
NEW met2 ( 1206810 1182180 ) M2M3_PR_M
NEW met1 ( 1206810 1186770 ) M1M2_PR
NEW met1 ( 1321350 1186770 ) M1M2_PR
NEW met1 ( 1321350 2216290 ) M1M2_PR ;
- o_din0_1\[25\] ( sram1 din0[25] ) ( mprj o_din0_1[25] ) + USE SIGNAL
+ ROUTED met2 ( 1359530 2214930 ) ( * 2221220 )
NEW met3 ( 1359530 2221220 ) ( 1361140 * )
NEW met4 ( 1361140 2221220 ) ( * 2228020 )
NEW met4 ( 1361140 2228020 ) ( 1361310 * )
NEW met4 ( 1361310 2228020 ) ( * 2230400 0 )
NEW met2 ( 1164030 417690 ) ( * 430100 0 )
NEW met1 ( 1164030 417690 ) ( 1335150 * )
NEW met1 ( 1335150 2214930 ) ( 1359530 * )
NEW met2 ( 1335150 417690 ) ( * 2214930 )
NEW met1 ( 1164030 417690 ) M1M2_PR
NEW met1 ( 1359530 2214930 ) M1M2_PR
NEW met2 ( 1359530 2221220 ) M2M3_PR_M
NEW met3 ( 1361140 2221220 ) M3M4_PR_M
NEW met1 ( 1335150 417690 ) M1M2_PR
NEW met1 ( 1335150 2214930 ) M1M2_PR ;
- o_din0_1\[26\] ( sram1 din0[26] ) ( mprj o_din0_1[26] ) + USE SIGNAL
+ ROUTED met2 ( 1366430 2217310 ) ( * 2217820 )
NEW met3 ( 1366430 2217820 ) ( 1366660 * )
NEW met4 ( 1366660 2217820 ) ( * 2228020 )
NEW met4 ( 1366660 2228020 ) ( 1366750 * )
NEW met4 ( 1366750 2228020 ) ( * 2230400 0 )
NEW met1 ( 1140110 1348610 ) ( 1145170 * )
NEW met1 ( 1145170 2217310 ) ( 1366430 * )
NEW met2 ( 1140110 1333820 0 ) ( * 1348610 )
NEW met2 ( 1145170 1348610 ) ( * 2217310 )
NEW met1 ( 1366430 2217310 ) M1M2_PR
NEW met2 ( 1366430 2217820 ) M2M3_PR_M
NEW met3 ( 1366660 2217820 ) M3M4_PR_M
NEW met1 ( 1140110 1348610 ) M1M2_PR
NEW met1 ( 1145170 1348610 ) M1M2_PR
NEW met1 ( 1145170 2217310 ) M1M2_PR
NEW met3 ( 1366430 2217820 ) RECT ( -390 -150 0 150 ) ;
- o_din0_1\[27\] ( sram1 din0[27] ) ( mprj o_din0_1[27] ) + USE SIGNAL
+ ROUTED met2 ( 1366430 2220370 ) ( * 2220540 )
NEW met3 ( 1366430 2220540 ) ( 1372180 * )
NEW met4 ( 1372180 2220540 ) ( * 2228020 )
NEW met4 ( 1372180 2228020 ) ( 1372190 * )
NEW met4 ( 1372190 2228020 ) ( * 2230400 0 )
NEW met2 ( 1157130 1333820 0 ) ( * 1338600 )
NEW met2 ( 1157130 1338600 ) ( 1158970 * )
NEW met2 ( 1158970 1338600 ) ( * 2220370 )
NEW met1 ( 1158970 2220370 ) ( 1366430 * )
NEW met1 ( 1158970 2220370 ) M1M2_PR
NEW met1 ( 1366430 2220370 ) M1M2_PR
NEW met2 ( 1366430 2220540 ) M2M3_PR_M
NEW met3 ( 1372180 2220540 ) M3M4_PR_M ;
- o_din0_1\[28\] ( sram1 din0[28] ) ( mprj o_din0_1[28] ) + USE SIGNAL
+ ROUTED met1 ( 1173690 1351670 ) ( 1179670 * )
NEW met2 ( 1373330 2217650 ) ( * 2221220 )
NEW met3 ( 1373330 2221220 ) ( 1378620 * )
NEW met4 ( 1378620 2221220 ) ( * 2228020 )
NEW met4 ( 1378620 2228020 ) ( 1378990 * )
NEW met4 ( 1378990 2228020 ) ( * 2230400 0 )
NEW met2 ( 1173690 1333820 0 ) ( * 1351670 )
NEW met2 ( 1179670 1351670 ) ( * 2217650 )
NEW met1 ( 1179670 2217650 ) ( 1373330 * )
NEW met1 ( 1173690 1351670 ) M1M2_PR
NEW met1 ( 1179670 1351670 ) M1M2_PR
NEW met1 ( 1179670 2217650 ) M1M2_PR
NEW met1 ( 1373330 2217650 ) M1M2_PR
NEW met2 ( 1373330 2221220 ) M2M3_PR_M
NEW met3 ( 1378620 2221220 ) M3M4_PR_M ;
- o_din0_1\[29\] ( sram1 din0[29] ) ( mprj o_din0_1[29] ) + USE SIGNAL
+ ROUTED met1 ( 1348950 2219010 ) ( 1380230 * )
NEW met2 ( 1380230 2219010 ) ( * 2219180 )
NEW met3 ( 1380230 2219180 ) ( 1385060 * )
NEW met4 ( 1385060 2219180 ) ( * 2228020 )
NEW met4 ( 1385060 2228020 ) ( 1385110 * )
NEW met4 ( 1385110 2228020 ) ( * 2230400 0 )
NEW met2 ( 1184730 1333820 0 ) ( * 1348950 )
NEW met2 ( 1348950 1348950 ) ( * 2219010 )
NEW met1 ( 1184730 1348950 ) ( 1348950 * )
NEW met1 ( 1184730 1348950 ) M1M2_PR
NEW met1 ( 1348950 1348950 ) M1M2_PR
NEW met1 ( 1348950 2219010 ) M1M2_PR
NEW met1 ( 1380230 2219010 ) M1M2_PR
NEW met2 ( 1380230 2219180 ) M2M3_PR_M
NEW met3 ( 1385060 2219180 ) M3M4_PR_M ;
- o_din0_1\[2\] ( sram1 din0[2] ) ( mprj o_din0_1[2] ) + USE SIGNAL
+ ROUTED met3 ( 295090 534140 ) ( 300380 * 0 )
NEW met2 ( 295090 534140 ) ( * 1377170 )
NEW met1 ( 295090 1377170 ) ( 1221530 * )
NEW met3 ( 1221530 2219180 ) ( 1226820 * )
NEW met4 ( 1226820 2219180 ) ( * 2228020 )
NEW met4 ( 1226820 2228020 ) ( 1227350 * )
NEW met4 ( 1227350 2228020 ) ( * 2230400 0 )
NEW met2 ( 1221530 1377170 ) ( * 2219180 )
NEW met1 ( 295090 1377170 ) M1M2_PR
NEW met2 ( 295090 534140 ) M2M3_PR_M
NEW met1 ( 1221530 1377170 ) M1M2_PR
NEW met2 ( 1221530 2219180 ) M2M3_PR_M
NEW met3 ( 1226820 2219180 ) M3M4_PR_M ;
- o_din0_1\[30\] ( sram1 din0[30] ) ( mprj o_din0_1[30] ) + USE SIGNAL
+ ROUTED met3 ( 289570 1298460 ) ( 300380 * 0 )
NEW met2 ( 289570 1298460 ) ( * 1334670 )
NEW met1 ( 289570 1334670 ) ( 314410 * )
NEW met2 ( 314410 1334670 ) ( * 2215270 )
NEW met2 ( 1388970 2215270 ) ( * 2215780 )
NEW met3 ( 1388970 2215780 ) ( 1390580 * )
NEW met4 ( 1390580 2215780 ) ( * 2228020 )
NEW met4 ( 1390550 2228020 ) ( 1390580 * )
NEW met4 ( 1390550 2228020 ) ( * 2230400 0 )
NEW met1 ( 314410 2215270 ) ( 1388970 * )
NEW met1 ( 314410 2215270 ) M1M2_PR
NEW met2 ( 289570 1298460 ) M2M3_PR_M
NEW met1 ( 289570 1334670 ) M1M2_PR
NEW met1 ( 314410 1334670 ) M1M2_PR
NEW met1 ( 1388970 2215270 ) M1M2_PR
NEW met2 ( 1388970 2215780 ) M2M3_PR_M
NEW met3 ( 1390580 2215780 ) M3M4_PR_M ;
- o_din0_1\[31\] ( sram1 din0[31] ) ( mprj o_din0_1[31] ) + USE SIGNAL
+ ROUTED met2 ( 1189790 419730 ) ( * 430100 0 )
NEW met1 ( 1189790 419730 ) ( 1224750 * )
NEW met2 ( 1394030 2218330 ) ( * 2221220 )
NEW met3 ( 1394030 2221220 ) ( 1396100 * )
NEW met4 ( 1396100 2221220 ) ( * 2228020 )
NEW met4 ( 1395990 2228020 ) ( 1396100 * )
NEW met4 ( 1395990 2228020 ) ( * 2230400 0 )
NEW met1 ( 1224750 2218330 ) ( 1394030 * )
NEW met2 ( 1224750 419730 ) ( * 2218330 )
NEW met1 ( 1189790 419730 ) M1M2_PR
NEW met1 ( 1224750 419730 ) M1M2_PR
NEW met1 ( 1224750 2218330 ) M1M2_PR
NEW met1 ( 1394030 2218330 ) M1M2_PR
NEW met2 ( 1394030 2221220 ) M2M3_PR_M
NEW met3 ( 1396100 2221220 ) M3M4_PR_M ;
- o_din0_1\[3\] ( sram1 din0[3] ) ( mprj o_din0_1[3] ) + USE SIGNAL
+ ROUTED li1 ( 1167250 1348270 ) ( * 1351330 )
NEW met1 ( 1167250 1348270 ) ( 1191170 * )
NEW met2 ( 995210 1333820 0 ) ( * 1351330 )
NEW met2 ( 1191170 1348270 ) ( * 2214930 )
NEW met1 ( 995210 1351330 ) ( 1167250 * )
NEW met2 ( 1228430 2214930 ) ( * 2215100 )
NEW met3 ( 1228430 2215100 ) ( 1232340 * )
NEW met4 ( 1232340 2215100 ) ( * 2228020 )
NEW met4 ( 1232340 2228020 ) ( 1232790 * )
NEW met4 ( 1232790 2228020 ) ( * 2230400 0 )
NEW met1 ( 1191170 2214930 ) ( 1228430 * )
NEW met1 ( 995210 1351330 ) M1M2_PR
NEW li1 ( 1167250 1351330 ) L1M1_PR_MR
NEW li1 ( 1167250 1348270 ) L1M1_PR_MR
NEW met1 ( 1191170 1348270 ) M1M2_PR
NEW met1 ( 1191170 2214930 ) M1M2_PR
NEW met1 ( 1228430 2214930 ) M1M2_PR
NEW met2 ( 1228430 2215100 ) M2M3_PR_M
NEW met3 ( 1232340 2215100 ) M3M4_PR_M ;
- o_din0_1\[4\] ( sram1 din0[4] ) ( mprj o_din0_1[4] ) + USE SIGNAL
+ ROUTED met3 ( 286810 695980 ) ( 300380 * 0 )
NEW met2 ( 307510 1363740 ) ( 307970 * )
NEW met1 ( 286810 1333310 ) ( 298310 * )
NEW li1 ( 298310 1333310 ) ( * 1338070 )
NEW met1 ( 298310 1338070 ) ( 307510 * )
NEW met2 ( 286810 695980 ) ( * 1333310 )
NEW met2 ( 307510 1338070 ) ( * 1363740 )
NEW met2 ( 307970 1363740 ) ( * 2216630 )
NEW met2 ( 1235330 2216630 ) ( * 2221220 )
NEW met3 ( 1235330 2221220 ) ( 1237860 * )
NEW met4 ( 1237860 2221220 ) ( * 2228020 )
NEW met4 ( 1237860 2228020 ) ( 1238230 * )
NEW met4 ( 1238230 2228020 ) ( * 2230400 0 )
NEW met1 ( 307970 2216630 ) ( 1235330 * )
NEW met2 ( 286810 695980 ) M2M3_PR_M
NEW met1 ( 307970 2216630 ) M1M2_PR
NEW met1 ( 286810 1333310 ) M1M2_PR
NEW li1 ( 298310 1333310 ) L1M1_PR_MR
NEW li1 ( 298310 1338070 ) L1M1_PR_MR
NEW met1 ( 307510 1338070 ) M1M2_PR
NEW met1 ( 1235330 2216630 ) M1M2_PR
NEW met2 ( 1235330 2221220 ) M2M3_PR_M
NEW met3 ( 1237860 2221220 ) M3M4_PR_M ;
- o_din0_1\[5\] ( sram1 din0[5] ) ( mprj o_din0_1[5] ) + USE SIGNAL
+ ROUTED met3 ( 286350 789140 ) ( 300380 * 0 )
NEW met1 ( 297850 1339770 ) ( 308890 * )
NEW met2 ( 1242690 2216290 ) ( * 2221220 )
NEW met3 ( 1242690 2221220 ) ( 1243380 * )
NEW met4 ( 1243380 2221220 ) ( * 2228020 )
NEW met4 ( 1243380 2228020 ) ( 1243670 * )
NEW met4 ( 1243670 2228020 ) ( * 2230400 0 )
NEW met1 ( 286350 1338070 ) ( 297850 * )
NEW met2 ( 286350 789140 ) ( * 1338070 )
NEW li1 ( 297850 1338070 ) ( * 1339770 )
NEW met2 ( 308890 1339770 ) ( * 2216290 )
NEW met1 ( 308890 2216290 ) ( 1242690 * )
NEW met2 ( 286350 789140 ) M2M3_PR_M
NEW li1 ( 297850 1339770 ) L1M1_PR_MR
NEW met1 ( 308890 1339770 ) M1M2_PR
NEW met1 ( 308890 2216290 ) M1M2_PR
NEW met1 ( 1242690 2216290 ) M1M2_PR
NEW met2 ( 1242690 2221220 ) M2M3_PR_M
NEW met3 ( 1243380 2221220 ) M3M4_PR_M
NEW met1 ( 286350 1338070 ) M1M2_PR
NEW li1 ( 297850 1338070 ) L1M1_PR_MR ;
- o_din0_1\[6\] ( sram1 din0[6] ) ( mprj o_din0_1[6] ) + USE SIGNAL
+ ROUTED met2 ( 1249130 2214930 ) ( * 2218500 )
NEW met3 ( 1249130 2218500 ) ( 1250740 * )
NEW met4 ( 1250740 2218500 ) ( * 2228020 )
NEW met4 ( 1250470 2228020 ) ( 1250740 * )
NEW met4 ( 1250470 2228020 ) ( * 2230400 0 )
NEW met1 ( 1017290 1350650 ) ( 1232110 * )
NEW met1 ( 1232110 2214930 ) ( 1249130 * )
NEW met2 ( 1017290 1333820 0 ) ( * 1350650 )
NEW met2 ( 1232110 1350650 ) ( * 2214930 )
NEW met1 ( 1249130 2214930 ) M1M2_PR
NEW met2 ( 1249130 2218500 ) M2M3_PR_M
NEW met3 ( 1250740 2218500 ) M3M4_PR_M
NEW met1 ( 1017290 1350650 ) M1M2_PR
NEW met1 ( 1232110 1350650 ) M1M2_PR
NEW met1 ( 1232110 2214930 ) M1M2_PR ;
- o_din0_1\[7\] ( sram1 din0[7] ) ( mprj o_din0_1[7] ) + USE SIGNAL
+ ROUTED met3 ( 1256030 2219180 ) ( 1256260 * )
NEW met4 ( 1256260 2219180 ) ( * 2228020 )
NEW met4 ( 1256260 2228020 ) ( 1256590 * )
NEW met4 ( 1256590 2228020 ) ( * 2230400 0 )
NEW met2 ( 1256030 724370 ) ( * 2219180 )
NEW met2 ( 1206810 720460 ) ( * 724370 )
NEW met3 ( 1192780 720460 0 ) ( 1206810 * )
NEW met1 ( 1206810 724370 ) ( 1256030 * )
NEW met2 ( 1256030 2219180 ) M2M3_PR_M
NEW met3 ( 1256260 2219180 ) M3M4_PR_M
NEW met1 ( 1256030 724370 ) M1M2_PR
NEW met2 ( 1206810 720460 ) M2M3_PR_M
NEW met1 ( 1206810 724370 ) M1M2_PR
NEW met3 ( 1256030 2219180 ) RECT ( -390 -150 0 150 ) ;
- o_din0_1\[8\] ( sram1 din0[8] ) ( mprj o_din0_1[8] ) + USE SIGNAL
+ ROUTED met3 ( 292790 858500 ) ( 300380 * 0 )
NEW met3 ( 1256490 2219860 ) ( 1261780 * )
NEW met4 ( 1261780 2219860 ) ( * 2228020 )
NEW met4 ( 1261780 2228020 ) ( 1262030 * )
NEW met4 ( 1262030 2228020 ) ( * 2230400 0 )
NEW met2 ( 292790 858500 ) ( * 1344870 )
NEW met2 ( 1256490 1343510 ) ( * 2219860 )
NEW li1 ( 348450 1343510 ) ( * 1344870 )
NEW met1 ( 292790 1344870 ) ( 348450 * )
NEW met1 ( 348450 1343510 ) ( 1256490 * )
NEW met2 ( 292790 858500 ) M2M3_PR_M
NEW met1 ( 292790 1344870 ) M1M2_PR
NEW met1 ( 1256490 1343510 ) M1M2_PR
NEW met2 ( 1256490 2219860 ) M2M3_PR_M
NEW met3 ( 1261780 2219860 ) M3M4_PR_M
NEW li1 ( 348450 1344870 ) L1M1_PR_MR
NEW li1 ( 348450 1343510 ) L1M1_PR_MR ;
- o_din0_1\[9\] ( sram1 din0[9] ) ( mprj o_din0_1[9] ) + USE SIGNAL
+ ROUTED met1 ( 281290 1344530 ) ( 311650 * )
NEW li1 ( 311650 1343170 ) ( * 1344530 )
NEW met3 ( 1262930 2219180 ) ( 1267300 * )
NEW met4 ( 1267300 2219180 ) ( * 2228020 )
NEW met4 ( 1267300 2228020 ) ( 1267470 * )
NEW met4 ( 1267470 2228020 ) ( * 2230400 0 )
NEW met3 ( 281290 904740 ) ( 300380 * 0 )
NEW met2 ( 281290 904740 ) ( * 1344530 )
NEW met2 ( 1262930 1343170 ) ( * 2219180 )
NEW met1 ( 311650 1343170 ) ( 1262930 * )
NEW met1 ( 281290 1344530 ) M1M2_PR
NEW li1 ( 311650 1344530 ) L1M1_PR_MR
NEW li1 ( 311650 1343170 ) L1M1_PR_MR
NEW met1 ( 1262930 1343170 ) M1M2_PR
NEW met2 ( 1262930 2219180 ) M2M3_PR_M
NEW met3 ( 1267300 2219180 ) M3M4_PR_M
NEW met2 ( 281290 904740 ) M2M3_PR_M ;
- o_waddr0\[0\] ( sram addr0[0] ) ( mprj o_waddr0[0] ) + USE SIGNAL
+ ROUTED met3 ( 379730 2219180 ) ( 380420 * )
NEW met4 ( 380420 2219180 ) ( * 2228700 )
NEW met4 ( 380420 2228700 ) ( 380430 * )
NEW met4 ( 380430 2228700 ) ( * 2230400 0 )
NEW met3 ( 296010 464780 ) ( 300380 * 0 )
NEW met2 ( 296010 464780 ) ( * 1337050 )
NEW met2 ( 379730 1337050 ) ( * 2219180 )
NEW met1 ( 296010 1337050 ) ( 379730 * )
NEW met2 ( 379730 2219180 ) M2M3_PR_M
NEW met3 ( 380420 2219180 ) M3M4_PR_M
NEW met2 ( 296010 464780 ) M2M3_PR_M
NEW met1 ( 296010 1337050 ) M1M2_PR
NEW met1 ( 379730 1337050 ) M1M2_PR ;
- o_waddr0\[1\] ( sram addr0[1] ) ( mprj o_waddr0[1] ) + USE SIGNAL
+ ROUTED met2 ( 386170 2220030 ) ( * 2220540 )
NEW met3 ( 385940 2220540 ) ( 386170 * )
NEW met4 ( 385940 2220540 ) ( * 2228700 )
NEW met4 ( 385870 2228700 ) ( 385940 * )
NEW met4 ( 385870 2228700 ) ( * 2230400 0 )
NEW met1 ( 386170 2220030 ) ( 914710 * )
NEW met2 ( 914710 1351330 ) ( * 2220030 )
NEW met2 ( 967150 1333820 0 ) ( * 1351330 )
NEW met1 ( 914710 1351330 ) ( 967150 * )
NEW met1 ( 386170 2220030 ) M1M2_PR
NEW met2 ( 386170 2220540 ) M2M3_PR_M
NEW met3 ( 385940 2220540 ) M3M4_PR_M
NEW met1 ( 914710 1351330 ) M1M2_PR
NEW met1 ( 914710 2220030 ) M1M2_PR
NEW met1 ( 967150 1351330 ) M1M2_PR
NEW met3 ( 386170 2220540 ) RECT ( 0 -150 390 150 ) ;
- o_waddr0\[2\] ( sram addr0[2] ) ( mprj o_waddr0[2] ) + USE SIGNAL
+ ROUTED met3 ( 294630 2370820 ) ( 297620 * )
NEW met3 ( 297620 2370820 ) ( * 2370950 )
NEW met3 ( 297620 2370950 ) ( 300160 * 0 )
NEW met2 ( 294630 1707650 ) ( * 2370820 )
NEW met2 ( 980030 1435200 ) ( 983710 * )
NEW met2 ( 983710 1333820 0 ) ( * 1435200 )
NEW met2 ( 980030 1435200 ) ( * 1707650 )
NEW met1 ( 294630 1707650 ) ( 980030 * )
NEW met1 ( 294630 1707650 ) M1M2_PR
NEW met2 ( 294630 2370820 ) M2M3_PR_M
NEW met1 ( 980030 1707650 ) M1M2_PR ;
- o_waddr0\[3\] ( sram addr0[3] ) ( mprj o_waddr0[3] ) + USE SIGNAL
+ ROUTED met3 ( 283590 672860 ) ( 300380 * 0 )
NEW met3 ( 283590 2379660 ) ( 297620 * )
NEW met3 ( 297620 2379660 ) ( * 2379790 )
NEW met3 ( 297620 2379790 ) ( 300160 * 0 )
NEW met2 ( 283590 672860 ) ( * 2379660 )
NEW met2 ( 283590 672860 ) M2M3_PR_M
NEW met2 ( 283590 2379660 ) M2M3_PR_M ;
- o_waddr0\[4\] ( sram addr0[4] ) ( mprj o_waddr0[4] ) + USE SIGNAL
+ ROUTED met3 ( 283130 719100 ) ( 300380 * 0 )
NEW met3 ( 283130 2385100 ) ( 297620 * )
NEW met3 ( 297620 2385100 ) ( * 2385230 )
NEW met3 ( 297620 2385230 ) ( 300160 * 0 )
NEW met2 ( 283130 719100 ) ( * 2385100 )
NEW met2 ( 283130 719100 ) M2M3_PR_M
NEW met2 ( 283130 2385100 ) M2M3_PR_M ;
- o_waddr0\[5\] ( sram addr0[5] ) ( mprj o_waddr0[5] ) + USE SIGNAL
+ ROUTED met3 ( 295090 2393940 ) ( 297620 * )
NEW met3 ( 297620 2393940 ) ( * 2394070 )
NEW met3 ( 297620 2394070 ) ( 300160 * 0 )
NEW met2 ( 295090 1378530 ) ( * 2393940 )
NEW met1 ( 295090 1378530 ) ( 1011770 * )
NEW met2 ( 1011770 1333820 0 ) ( * 1378530 )
NEW met1 ( 295090 1378530 ) M1M2_PR
NEW met2 ( 295090 2393940 ) M2M3_PR_M
NEW met1 ( 1011770 1378530 ) M1M2_PR ;
- o_waddr0\[6\] ( sram addr0[6] ) ( mprj o_waddr0[6] ) + USE SIGNAL
+ ROUTED met1 ( 284050 1166030 ) ( 293250 * )
NEW met2 ( 293250 420750 ) ( * 1166030 )
NEW met3 ( 284050 2398700 ) ( 297620 * )
NEW met3 ( 297620 2398700 ) ( * 2398830 )
NEW met3 ( 297620 2398830 ) ( 300160 * 0 )
NEW met2 ( 284050 1166030 ) ( * 2398700 )
NEW met2 ( 1060990 420750 ) ( * 430100 0 )
NEW met1 ( 293250 420750 ) ( 1060990 * )
NEW met1 ( 293250 420750 ) M1M2_PR
NEW met1 ( 284050 1166030 ) M1M2_PR
NEW met1 ( 293250 1166030 ) M1M2_PR
NEW met1 ( 1060990 420750 ) M1M2_PR
NEW met2 ( 284050 2398700 ) M2M3_PR_M ;
- o_waddr0\[7\] ( sram addr0[7] ) ( mprj o_waddr0[7] ) + USE SIGNAL
+ ROUTED met3 ( 294170 2409580 ) ( 297620 * )
NEW met3 ( 297620 2409580 ) ( * 2409710 )
NEW met3 ( 297620 2409710 ) ( 300160 * 0 )
NEW met2 ( 294170 2204390 ) ( * 2409580 )
NEW met3 ( 1192780 760580 0 ) ( 1201750 * )
NEW met1 ( 294170 2204390 ) ( 1201750 * )
NEW met2 ( 1201750 760580 ) ( * 2204390 )
NEW met2 ( 294170 2409580 ) M2M3_PR_M
NEW met1 ( 294170 2204390 ) M1M2_PR
NEW met2 ( 1201750 760580 ) M2M3_PR_M
NEW met1 ( 1201750 2204390 ) M1M2_PR ;
- o_waddr0\[8\] ( sram addr0[8] ) ( mprj o_waddr0[8] ) + USE SIGNAL
+ ROUTED met3 ( 288190 2414340 ) ( 297620 * )
NEW met3 ( 297620 2414340 ) ( * 2414470 )
NEW met3 ( 297620 2414470 ) ( 300160 * 0 )
NEW met2 ( 288190 2218330 ) ( * 2414340 )
NEW met3 ( 1192780 800700 0 ) ( 1202210 * )
NEW met1 ( 288190 2218330 ) ( 1202210 * )
NEW met2 ( 1202210 800700 ) ( * 2218330 )
NEW met1 ( 288190 2218330 ) M1M2_PR
NEW met2 ( 288190 2414340 ) M2M3_PR_M
NEW met2 ( 1202210 800700 ) M2M3_PR_M
NEW met1 ( 1202210 2218330 ) M1M2_PR ;
- o_waddr0_1\[0\] ( sram1 addr0[0] ) ( mprj o_waddr0_1[0] ) + USE SIGNAL
+ ROUTED met3 ( 1180820 2221220 ) ( 1186570 * )
NEW met4 ( 1180820 2221220 ) ( * 2228700 )
NEW met4 ( 1180430 2228700 ) ( 1180820 * )
NEW met4 ( 1180430 2228700 ) ( * 2230400 0 )
NEW met2 ( 1186570 1335690 ) ( * 2221220 )
NEW met1 ( 1003030 420070 ) ( 1196230 * )
NEW met2 ( 1003030 420070 ) ( * 430100 0 )
NEW met1 ( 1186570 1335690 ) ( 1196230 * )
NEW met2 ( 1196230 420070 ) ( * 1335690 )
NEW met2 ( 1186570 2221220 ) M2M3_PR_M
NEW met3 ( 1180820 2221220 ) M3M4_PR_M
NEW met1 ( 1186570 1335690 ) M1M2_PR
NEW met1 ( 1003030 420070 ) M1M2_PR
NEW met1 ( 1196230 420070 ) M1M2_PR
NEW met1 ( 1196230 1335690 ) M1M2_PR ;
- o_waddr0_1\[1\] ( sram1 addr0[1] ) ( mprj o_waddr0_1[1] ) + USE SIGNAL
+ ROUTED met3 ( 288190 511020 ) ( 300380 * 0 )
NEW met2 ( 1180130 2216970 ) ( * 2219180 )
NEW met3 ( 1180130 2219180 ) ( 1185420 * )
NEW met4 ( 1185420 2219180 ) ( * 2228700 )
NEW met4 ( 1185420 2228700 ) ( 1185870 * )
NEW met4 ( 1185870 2228700 ) ( * 2230400 0 )
NEW met1 ( 288190 1333650 ) ( 303370 * )
NEW li1 ( 303370 1333650 ) ( 303830 * )
NEW li1 ( 303830 1333650 ) ( * 1336370 )
NEW met1 ( 303830 1336370 ) ( 307050 * )
NEW met2 ( 288190 511020 ) ( * 1333650 )
NEW met2 ( 307050 1336370 ) ( * 2216970 )
NEW met1 ( 307050 2216970 ) ( 1180130 * )
NEW met2 ( 288190 511020 ) M2M3_PR_M
NEW met1 ( 307050 2216970 ) M1M2_PR
NEW met1 ( 1180130 2216970 ) M1M2_PR
NEW met2 ( 1180130 2219180 ) M2M3_PR_M
NEW met3 ( 1185420 2219180 ) M3M4_PR_M
NEW met1 ( 288190 1333650 ) M1M2_PR
NEW li1 ( 303370 1333650 ) L1M1_PR_MR
NEW li1 ( 303830 1336370 ) L1M1_PR_MR
NEW met1 ( 307050 1336370 ) M1M2_PR ;
- o_waddr0_1\[2\] ( sram1 addr0[2] ) ( mprj o_waddr0_1[2] ) + USE SIGNAL
+ ROUTED met1 ( 1166790 1339090 ) ( * 1339430 )
NEW met1 ( 1166790 1339090 ) ( 1192550 * )
NEW met2 ( 1096870 1339430 ) ( * 2370820 )
NEW met1 ( 1096870 1339430 ) ( 1166790 * )
NEW met3 ( 1192780 600100 0 ) ( 1204050 * )
NEW met1 ( 1193930 1339090 ) ( 1204050 * )
NEW li1 ( 1192550 1339090 ) ( 1193930 * )
NEW met3 ( 1096870 2370820 ) ( 1097100 * )
NEW met3 ( 1097100 2370950 ) ( 1100320 * 0 )
NEW met3 ( 1097100 2370820 ) ( * 2370950 )
NEW met2 ( 1204050 600100 ) ( * 1339090 )
NEW met1 ( 1096870 1339430 ) M1M2_PR
NEW li1 ( 1192550 1339090 ) L1M1_PR_MR
NEW met2 ( 1096870 2370820 ) M2M3_PR_M
NEW met2 ( 1204050 600100 ) M2M3_PR_M
NEW li1 ( 1193930 1339090 ) L1M1_PR_MR
NEW met1 ( 1204050 1339090 ) M1M2_PR ;
- o_waddr0_1\[3\] ( sram1 addr0[3] ) ( mprj o_waddr0_1[3] ) + USE SIGNAL
+ ROUTED met1 ( 285890 1344190 ) ( 314870 * )
NEW met1 ( 314870 1344190 ) ( * 1344530 )
NEW met3 ( 287270 649740 ) ( 300380 * 0 )
NEW met2 ( 285890 1338600 ) ( * 1344190 )
NEW met2 ( 285890 1338600 ) ( 286810 * )
NEW met2 ( 286810 1337900 ) ( * 1338600 )
NEW met2 ( 286810 1337900 ) ( 287270 * )
NEW met2 ( 287270 649740 ) ( * 1337900 )
NEW met2 ( 1087210 1344190 ) ( * 2379660 )
NEW met1 ( 372600 1344190 ) ( * 1344530 )
NEW met1 ( 314870 1344530 ) ( 372600 * )
NEW met3 ( 1087210 2379660 ) ( 1097100 * )
NEW met3 ( 1097100 2379790 ) ( 1100320 * 0 )
NEW met3 ( 1097100 2379660 ) ( * 2379790 )
NEW met1 ( 372600 1344190 ) ( 1087210 * )
NEW met1 ( 285890 1344190 ) M1M2_PR
NEW met1 ( 1087210 1344190 ) M1M2_PR
NEW met2 ( 287270 649740 ) M2M3_PR_M
NEW met2 ( 1087210 2379660 ) M2M3_PR_M ;
- o_waddr0_1\[4\] ( sram1 addr0[4] ) ( mprj o_waddr0_1[4] ) + USE SIGNAL
+ ROUTED li1 ( 1189330 419730 ) ( 1189790 * )
NEW li1 ( 1189790 419730 ) ( * 420410 )
NEW met1 ( 1189790 420410 ) ( 1193010 * )
NEW met2 ( 1088590 1339090 ) ( * 1386900 )
NEW met2 ( 1088590 1386900 ) ( 1089050 * )
NEW met2 ( 1089050 1386900 ) ( * 2385100 )
NEW met2 ( 1193010 420410 ) ( * 420900 )
NEW met2 ( 1193010 420900 ) ( 1193470 * )
NEW met2 ( 1193010 1338600 ) ( * 1338750 )
NEW met2 ( 1193010 1338600 ) ( 1193470 * )
NEW met2 ( 1193470 420900 ) ( * 1338600 )
NEW met1 ( 1041670 419730 ) ( 1189330 * )
NEW met1 ( 1088590 1339090 ) ( 1097100 * )
NEW met1 ( 1097100 1338750 ) ( * 1339090 )
NEW met1 ( 1097100 1338750 ) ( 1193010 * )
NEW met2 ( 1041670 419730 ) ( * 430100 0 )
NEW met3 ( 1089050 2385100 ) ( 1097100 * )
NEW met3 ( 1097100 2385230 ) ( 1100320 * 0 )
NEW met3 ( 1097100 2385100 ) ( * 2385230 )
NEW met1 ( 1088590 1339090 ) M1M2_PR
NEW li1 ( 1189330 419730 ) L1M1_PR_MR
NEW li1 ( 1189790 420410 ) L1M1_PR_MR
NEW met1 ( 1193010 420410 ) M1M2_PR
NEW met1 ( 1193010 1338750 ) M1M2_PR
NEW met2 ( 1089050 2385100 ) M2M3_PR_M
NEW met1 ( 1041670 419730 ) M1M2_PR ;
- o_waddr0_1\[5\] ( sram1 addr0[5] ) ( mprj o_waddr0_1[5] ) + USE SIGNAL
+ ROUTED met3 ( 285890 812260 ) ( 300380 * 0 )
NEW met2 ( 284970 1314780 ) ( 285890 * )
NEW met2 ( 284970 1314780 ) ( * 1345210 )
NEW met2 ( 285890 812260 ) ( * 1314780 )
NEW met2 ( 1083530 2387650 ) ( * 2393940 )
NEW met2 ( 1004870 1345210 ) ( * 2387650 )
NEW met1 ( 1004870 2387650 ) ( 1083530 * )
NEW met3 ( 1083530 2393940 ) ( 1097100 * )
NEW met3 ( 1097100 2394070 ) ( 1100320 * 0 )
NEW met3 ( 1097100 2393940 ) ( * 2394070 )
NEW met1 ( 938630 1345210 ) ( * 1345550 )
NEW met1 ( 938630 1345550 ) ( 942310 * )
NEW met1 ( 942310 1345210 ) ( * 1345550 )
NEW met1 ( 942310 1345210 ) ( 1004870 * )
NEW met1 ( 284970 1345210 ) ( 938630 * )
NEW met1 ( 284970 1345210 ) M1M2_PR
NEW met2 ( 285890 812260 ) M2M3_PR_M
NEW met1 ( 1083530 2387650 ) M1M2_PR
NEW met2 ( 1083530 2393940 ) M2M3_PR_M
NEW met1 ( 1004870 1345210 ) M1M2_PR
NEW met1 ( 1004870 2387650 ) M1M2_PR ;
- o_waddr0_1\[6\] ( sram1 addr0[6] ) ( mprj o_waddr0_1[6] ) + USE SIGNAL
+ ROUTED met2 ( 1089050 1369860 ) ( 1089510 * )
NEW met2 ( 1089050 1344190 ) ( * 1369860 )
NEW met2 ( 1089510 1369860 ) ( * 2398700 )
NEW met3 ( 1192780 680340 0 ) ( 1204970 * )
NEW met1 ( 1089050 1344190 ) ( 1205430 * )
NEW met3 ( 1089510 2398700 ) ( 1097100 * )
NEW met3 ( 1097100 2398830 ) ( 1100320 * 0 )
NEW met3 ( 1097100 2398700 ) ( * 2398830 )
NEW met2 ( 1204970 1314780 ) ( 1205430 * )
NEW met2 ( 1204970 680340 ) ( * 1314780 )
NEW met2 ( 1205430 1314780 ) ( * 1344190 )
NEW met1 ( 1089050 1344190 ) M1M2_PR
NEW met2 ( 1089510 2398700 ) M2M3_PR_M
NEW met2 ( 1204970 680340 ) M2M3_PR_M
NEW met1 ( 1205430 1344190 ) M1M2_PR ;
- o_waddr0_1\[7\] ( sram1 addr0[7] ) ( mprj o_waddr0_1[7] ) + USE SIGNAL
+ ROUTED met2 ( 1089510 1365780 ) ( 1089970 * )
NEW met2 ( 1089510 1345210 ) ( * 1365780 )
NEW met2 ( 1089970 1365780 ) ( * 2409580 )
NEW met3 ( 1089970 2409580 ) ( 1097100 * )
NEW met3 ( 1097100 2409710 ) ( 1100320 * 0 )
NEW met3 ( 1097100 2409580 ) ( * 2409710 )
NEW met1 ( 1089510 1345210 ) ( 1206810 * )
NEW met3 ( 1192780 740860 0 ) ( 1205430 * )
NEW met1 ( 1205430 1314270 ) ( 1206810 * )
NEW met2 ( 1205430 740860 ) ( * 1314270 )
NEW met2 ( 1206810 1314270 ) ( * 1345210 )
NEW met1 ( 1089510 1345210 ) M1M2_PR
NEW met2 ( 1089970 2409580 ) M2M3_PR_M
NEW met1 ( 1206810 1345210 ) M1M2_PR
NEW met2 ( 1205430 740860 ) M2M3_PR_M
NEW met1 ( 1205430 1314270 ) M1M2_PR
NEW met1 ( 1206810 1314270 ) M1M2_PR ;
- o_waddr0_1\[8\] ( sram1 addr0[8] ) ( mprj o_waddr0_1[8] ) + USE SIGNAL
+ ROUTED met2 ( 1083530 2408390 ) ( * 2414340 )
NEW met1 ( 1034770 2408390 ) ( 1083530 * )
NEW met3 ( 1083530 2414340 ) ( 1097100 * )
NEW met3 ( 1097100 2414470 ) ( 1100320 * 0 )
NEW met3 ( 1097100 2414340 ) ( * 2414470 )
NEW met2 ( 1034310 1333820 0 ) ( * 1338600 )
NEW met2 ( 1034310 1338600 ) ( 1034770 * )
NEW met2 ( 1034770 1338600 ) ( * 2408390 )
NEW met1 ( 1083530 2408390 ) M1M2_PR
NEW met2 ( 1083530 2414340 ) M2M3_PR_M
NEW met1 ( 1034770 2408390 ) M1M2_PR ;
- o_web0 ( sram web0 ) ( mprj o_web0 ) + USE SIGNAL
+ ROUTED met3 ( 287730 2279700 ) ( 297620 * )
NEW met3 ( 297620 2279700 ) ( * 2279830 )
NEW met3 ( 297620 2279830 ) ( 300160 * 0 )
NEW met2 ( 287730 2107830 ) ( * 2279700 )
NEW met3 ( 1192780 459340 0 ) ( 1201290 * )
NEW met1 ( 287730 2107830 ) ( 1201290 * )
NEW met2 ( 1201290 459340 ) ( * 2107830 )
NEW met1 ( 287730 2107830 ) M1M2_PR
NEW met2 ( 287730 2279700 ) M2M3_PR_M
NEW met2 ( 1201290 459340 ) M2M3_PR_M
NEW met1 ( 1201290 2107830 ) M1M2_PR ;
- o_web0_1 ( sram1 web0 ) ( mprj o_web0_1 ) + USE SIGNAL
+ ROUTED met2 ( 1096410 1336030 ) ( * 2279700 )
NEW met2 ( 1169550 1334330 ) ( * 1336030 )
NEW met1 ( 1169550 1333990 ) ( * 1334330 )
NEW met3 ( 1192780 479740 0 ) ( 1202670 * )
NEW met1 ( 1096410 1336030 ) ( 1169550 * )
NEW met3 ( 1096410 2279700 ) ( 1097100 * )
NEW met3 ( 1097100 2279830 ) ( 1100320 * 0 )
NEW met3 ( 1097100 2279700 ) ( * 2279830 )
NEW met1 ( 1169550 1333990 ) ( 1202670 * )
NEW met2 ( 1202670 479740 ) ( * 1333990 )
NEW met1 ( 1096410 1336030 ) M1M2_PR
NEW met2 ( 1096410 2279700 ) M2M3_PR_M
NEW met1 ( 1169550 1336030 ) M1M2_PR
NEW met1 ( 1169550 1334330 ) M1M2_PR
NEW met2 ( 1202670 479740 ) M2M3_PR_M
NEW met1 ( 1202670 1333990 ) M1M2_PR ;
- o_wmask0\[0\] ( sram wmask0[0] ) ( mprj o_wmask0[0] ) + USE SIGNAL
+ ROUTED met3 ( 295550 487900 ) ( 300380 * 0 )
NEW met3 ( 386630 2219180 ) ( 391460 * )
NEW met4 ( 391460 2219180 ) ( * 2228700 )
NEW met4 ( 391310 2228700 ) ( 391460 * )
NEW met4 ( 391310 2228700 ) ( * 2230400 0 )
NEW met2 ( 295550 487900 ) ( * 1336710 )
NEW met2 ( 386630 1336710 ) ( * 2219180 )
NEW met1 ( 295550 1336710 ) ( 386630 * )
NEW met2 ( 295550 487900 ) M2M3_PR_M
NEW met2 ( 386630 2219180 ) M2M3_PR_M
NEW met3 ( 391460 2219180 ) M3M4_PR_M
NEW met1 ( 295550 1336710 ) M1M2_PR
NEW met1 ( 386630 1336710 ) M1M2_PR ;
- o_wmask0\[1\] ( sram wmask0[1] ) ( mprj o_wmask0[1] ) + USE SIGNAL
+ ROUTED met3 ( 397900 2219180 ) ( 399970 * )
NEW met4 ( 397900 2219180 ) ( * 2228700 )
NEW met4 ( 397900 2228700 ) ( 398110 * )
NEW met4 ( 398110 2228700 ) ( * 2230400 0 )
NEW met2 ( 399970 1343850 ) ( * 2219180 )
NEW met3 ( 1192780 579700 0 ) ( 1208190 * )
NEW met2 ( 1208190 579700 ) ( * 1343850 )
NEW met1 ( 399970 1343850 ) ( 1208190 * )
NEW met1 ( 399970 1343850 ) M1M2_PR
NEW met2 ( 399970 2219180 ) M2M3_PR_M
NEW met3 ( 397900 2219180 ) M3M4_PR_M
NEW met2 ( 1208190 579700 ) M2M3_PR_M
NEW met1 ( 1208190 1343850 ) M1M2_PR ;
- o_wmask0\[2\] ( sram wmask0[2] ) ( mprj o_wmask0[2] ) + USE SIGNAL
+ ROUTED met2 ( 406870 2219010 ) ( * 2220540 )
NEW met3 ( 403420 2220540 ) ( 406870 * )
NEW met4 ( 403420 2220540 ) ( * 2228700 )
NEW met4 ( 402870 2228700 ) ( 403420 * )
NEW met4 ( 402870 2228700 ) ( * 2230400 0 )
NEW met2 ( 989230 1333820 0 ) ( * 1351670 )
NEW met1 ( 406870 2219010 ) ( 955650 * )
NEW met2 ( 955650 1351670 ) ( * 2219010 )
NEW met1 ( 955650 1351670 ) ( 989230 * )
NEW met1 ( 406870 2219010 ) M1M2_PR
NEW met2 ( 406870 2220540 ) M2M3_PR_M
NEW met3 ( 403420 2220540 ) M3M4_PR_M
NEW met1 ( 989230 1351670 ) M1M2_PR
NEW met1 ( 955650 2219010 ) M1M2_PR
NEW met1 ( 955650 1351670 ) M1M2_PR ;
- o_wmask0\[3\] ( sram wmask0[3] ) ( mprj o_wmask0[3] ) + USE SIGNAL
+ ROUTED met2 ( 413770 2214930 ) ( * 2221220 )
NEW met3 ( 408940 2221220 ) ( 413770 * )
NEW met4 ( 408940 2221220 ) ( * 2228700 )
NEW met4 ( 408940 2228700 ) ( 408990 * )
NEW met4 ( 408990 2228700 ) ( * 2230400 0 )
NEW met2 ( 1190250 1339430 ) ( * 2214930 )
NEW met1 ( 413770 2214930 ) ( 1190250 * )
NEW met1 ( 1190250 1339430 ) ( 1196230 * )
NEW met3 ( 1192780 619820 0 ) ( 1204510 * )
NEW met1 ( 1196230 1338070 ) ( 1204510 * )
NEW li1 ( 1196230 1338070 ) ( * 1339430 )
NEW met2 ( 1204510 619820 ) ( * 1338070 )
NEW met1 ( 413770 2214930 ) M1M2_PR
NEW met2 ( 413770 2221220 ) M2M3_PR_M
NEW met3 ( 408940 2221220 ) M3M4_PR_M
NEW met1 ( 1190250 1339430 ) M1M2_PR
NEW met1 ( 1190250 2214930 ) M1M2_PR
NEW li1 ( 1196230 1339430 ) L1M1_PR_MR
NEW met2 ( 1204510 619820 ) M2M3_PR_M
NEW li1 ( 1196230 1338070 ) L1M1_PR_MR
NEW met1 ( 1204510 1338070 ) M1M2_PR ;
- o_wmask0_1\[0\] ( sram1 wmask0[0] ) ( mprj o_wmask0_1[0] ) + USE SIGNAL
+ ROUTED met1 ( 1193470 1338750 ) ( * 1339090 )
NEW met3 ( 1191860 2219180 ) ( 1193010 * )
NEW met4 ( 1191860 2219180 ) ( * 2228700 )
NEW met4 ( 1191310 2228700 ) ( 1191860 * )
NEW met4 ( 1191310 2228700 ) ( * 2230400 0 )
NEW met2 ( 1193010 1435200 ) ( 1193470 * )
NEW met2 ( 1193470 1339090 ) ( * 1435200 )
NEW met2 ( 1193010 1435200 ) ( * 2219180 )
NEW met1 ( 1193470 1338750 ) ( 1196230 * )
NEW met3 ( 1192780 539580 0 ) ( 1203130 * )
NEW met1 ( 1196230 1338410 ) ( 1203590 * )
NEW met2 ( 1203590 1321580 ) ( * 1338410 )
NEW met2 ( 1203130 1321580 ) ( 1203590 * )
NEW met1 ( 1196230 1338410 ) ( * 1338750 )
NEW met2 ( 1203130 539580 ) ( * 1321580 )
NEW met1 ( 1193470 1339090 ) M1M2_PR
NEW met2 ( 1193010 2219180 ) M2M3_PR_M
NEW met3 ( 1191860 2219180 ) M3M4_PR_M
NEW met2 ( 1203130 539580 ) M2M3_PR_M
NEW met1 ( 1203590 1338410 ) M1M2_PR ;
- o_wmask0_1\[1\] ( sram1 wmask0[1] ) ( mprj o_wmask0_1[1] ) + USE SIGNAL
+ ROUTED met4 ( 1192780 2215100 ) ( * 2228700 )
NEW met4 ( 1192780 2215100 ) ( 1193700 * )
NEW met3 ( 1193700 2215100 ) ( 1193930 * )
NEW met4 ( 1198110 2228700 ) ( * 2230400 0 )
NEW met4 ( 1192780 2228700 ) ( 1198110 * )
NEW met2 ( 1193930 1350990 ) ( * 2215100 )
NEW met2 ( 972670 1333820 0 ) ( * 1350990 )
NEW met1 ( 972670 1350990 ) ( 1193930 * )
NEW met1 ( 1193930 1350990 ) M1M2_PR
NEW met3 ( 1193700 2215100 ) M3M4_PR_M
NEW met2 ( 1193930 2215100 ) M2M3_PR_M
NEW met1 ( 972670 1350990 ) M1M2_PR
NEW met3 ( 1193930 2215100 ) RECT ( 0 -150 390 150 ) ;
- o_wmask0_1\[2\] ( sram1 wmask0[2] ) ( mprj o_wmask0_1[2] ) + USE SIGNAL
+ ROUTED met3 ( 287730 580380 ) ( 300380 * 0 )
NEW met1 ( 287270 1343850 ) ( 314870 * )
NEW met2 ( 287270 1338600 ) ( * 1343850 )
NEW met2 ( 287270 1338600 ) ( 287730 * )
NEW met2 ( 287730 580380 ) ( * 1338600 )
NEW met2 ( 314870 1343850 ) ( * 2221730 )
NEW met2 ( 1200830 2221220 ) ( * 2221730 )
NEW met3 ( 1200830 2221220 ) ( 1202900 * )
NEW met4 ( 1202900 2221220 ) ( * 2228020 )
NEW met4 ( 1202870 2228020 ) ( 1202900 * )
NEW met4 ( 1202870 2228020 ) ( * 2230400 0 )
NEW met1 ( 314870 2221730 ) ( 1200830 * )
NEW met2 ( 287730 580380 ) M2M3_PR_M
NEW met1 ( 287270 1343850 ) M1M2_PR
NEW met1 ( 314870 1343850 ) M1M2_PR
NEW met1 ( 314870 2221730 ) M1M2_PR
NEW met1 ( 1200830 2221730 ) M1M2_PR
NEW met2 ( 1200830 2221220 ) M2M3_PR_M
NEW met3 ( 1202900 2221220 ) M3M4_PR_M ;
- o_wmask0_1\[3\] ( sram1 wmask0[3] ) ( mprj o_wmask0_1[3] ) + USE SIGNAL
+ ROUTED met1 ( 1000730 1352010 ) ( 1197610 * )
NEW met1 ( 1197610 2219010 ) ( 1207730 * )
NEW met2 ( 1207730 2219010 ) ( * 2219180 )
NEW met3 ( 1207730 2219180 ) ( 1208420 * )
NEW met4 ( 1208420 2219180 ) ( * 2228020 )
NEW met4 ( 1208420 2228020 ) ( 1208990 * )
NEW met4 ( 1208990 2228020 ) ( * 2230400 0 )
NEW met2 ( 1000730 1333820 0 ) ( * 1352010 )
NEW met2 ( 1197610 1352010 ) ( * 2219010 )
NEW met1 ( 1000730 1352010 ) M1M2_PR
NEW met1 ( 1197610 1352010 ) M1M2_PR
NEW met1 ( 1197610 2219010 ) M1M2_PR
NEW met1 ( 1207730 2219010 ) M1M2_PR
NEW met2 ( 1207730 2219180 ) M2M3_PR_M
NEW met3 ( 1208420 2219180 ) M3M4_PR_M ;
- user_clock2 ( PIN user_clock2 ) + USE SIGNAL ;
- user_irq[0] ( PIN user_irq[0] ) ( mprj irq[0] ) + USE SIGNAL
+ ROUTED met2 ( 2905130 2380 0 ) ( * 17170 )
NEW met2 ( 983710 430100 0 ) ( 986470 * )
NEW met2 ( 986470 17170 ) ( * 430100 )
NEW met1 ( 986470 17170 ) ( 2905130 * )
NEW met1 ( 986470 17170 ) M1M2_PR
NEW met1 ( 2905130 17170 ) M1M2_PR ;
- user_irq[1] ( PIN user_irq[1] ) ( mprj irq[1] ) + USE SIGNAL
+ ROUTED met2 ( 2911110 2380 0 ) ( * 17850 )
NEW met2 ( 990150 430100 0 ) ( 993370 * )
NEW met2 ( 993370 17850 ) ( * 430100 )
NEW met1 ( 993370 17850 ) ( 2911110 * )
NEW met1 ( 993370 17850 ) M1M2_PR
NEW met1 ( 2911110 17850 ) M1M2_PR ;
- user_irq[2] ( PIN user_irq[2] ) ( mprj irq[2] ) + USE SIGNAL
+ ROUTED met1 ( 996590 414290 ) ( 1000270 * )
NEW met2 ( 2917090 2380 0 ) ( * 17510 )
NEW met2 ( 1000270 17510 ) ( * 414290 )
NEW met2 ( 996590 414290 ) ( * 430100 0 )
NEW met1 ( 1000270 17510 ) ( 2917090 * )
NEW met1 ( 1000270 17510 ) M1M2_PR
NEW met1 ( 996590 414290 ) M1M2_PR
NEW met1 ( 1000270 414290 ) M1M2_PR
NEW met1 ( 2917090 17510 ) M1M2_PR ;
- wb_clk_i ( PIN wb_clk_i ) ( sram1 clk0 ) ( sram clk0 ) ( mprj wb_clk_i ) ( mprj clk_i ) + USE CLOCK
+ ROUTED met2 ( 2990 2380 0 ) ( * 17170 )
NEW met2 ( 296930 17170 ) ( * 420900 )
NEW met2 ( 299690 432820 ) ( 302910 * 0 )
NEW met2 ( 299690 432820 ) ( * 469200 )
NEW met2 ( 296930 469200 ) ( 299690 * )
NEW met2 ( 296930 420900 ) ( 299690 * )
NEW met2 ( 299690 420900 ) ( * 432820 )
NEW met2 ( 296930 469200 ) ( * 1338750 )
NEW met3 ( 289570 2271540 ) ( 297620 * )
NEW met3 ( 297620 2271540 ) ( * 2271670 )
NEW met3 ( 297620 2271670 ) ( 300160 * 0 )
NEW met2 ( 289570 2228530 ) ( * 2271540 )
NEW met2 ( 1062830 1397230 ) ( * 2228530 )
NEW met1 ( 1066050 2270350 ) ( 1083530 * )
NEW met2 ( 1083530 2270350 ) ( * 2271540 )
NEW met2 ( 1066050 2228530 ) ( * 2270350 )
NEW met1 ( 2990 17170 ) ( 296930 * )
NEW met1 ( 289570 2228530 ) ( 1066050 * )
NEW met1 ( 941850 1397230 ) ( 1062830 * )
NEW met3 ( 1083530 2271540 ) ( 1097100 * )
NEW met3 ( 1097100 2271670 ) ( 1100320 * 0 )
NEW met3 ( 1097100 2271540 ) ( * 2271670 )
NEW met2 ( 939090 1333820 0 ) ( * 1345210 )
NEW met1 ( 939090 1345210 ) ( 941850 * )
NEW met2 ( 941850 1345210 ) ( * 1397230 )
NEW met1 ( 296930 1338750 ) ( 939090 * )
NEW met1 ( 2990 17170 ) M1M2_PR
NEW met1 ( 296930 17170 ) M1M2_PR
NEW met1 ( 296930 1338750 ) M1M2_PR
NEW met1 ( 289570 2228530 ) M1M2_PR
NEW met1 ( 1066050 2228530 ) M1M2_PR
NEW met1 ( 1062830 2228530 ) M1M2_PR
NEW met2 ( 289570 2271540 ) M2M3_PR_M
NEW met1 ( 1062830 1397230 ) M1M2_PR
NEW met1 ( 1066050 2270350 ) M1M2_PR
NEW met1 ( 1083530 2270350 ) M1M2_PR
NEW met2 ( 1083530 2271540 ) M2M3_PR_M
NEW met1 ( 941850 1397230 ) M1M2_PR
NEW met1 ( 939090 1345210 ) M1M2_PR
NEW met1 ( 941850 1345210 ) M1M2_PR
NEW met1 ( 939090 1338750 ) M1M2_PR
NEW met1 ( 1062830 2228530 ) RECT ( -595 -70 0 70 )
NEW met2 ( 939090 1338750 ) RECT ( -70 -485 70 0 ) ;
- wb_rst_i ( PIN wb_rst_i ) ( mprj wb_rst_i ) ( mprj rst_i ) + USE SIGNAL
+ ROUTED met2 ( 8510 2380 0 ) ( * 19890 )
NEW met1 ( 303830 415310 ) ( 308890 * )
NEW met1 ( 289570 415310 ) ( 303830 * )
NEW met2 ( 303830 19890 ) ( * 415310 )
NEW met3 ( 289570 441660 ) ( 300380 * 0 )
NEW met2 ( 289570 415310 ) ( * 441660 )
NEW met2 ( 308890 415310 ) ( * 430100 0 )
NEW met1 ( 8510 19890 ) ( 303830 * )
NEW met1 ( 8510 19890 ) M1M2_PR
NEW met1 ( 303830 19890 ) M1M2_PR
NEW met1 ( 308890 415310 ) M1M2_PR
NEW met1 ( 303830 415310 ) M1M2_PR
NEW met1 ( 289570 415310 ) M1M2_PR
NEW met2 ( 289570 441660 ) M2M3_PR_M ;
- wbs_ack_o ( PIN wbs_ack_o ) ( mprj wbs_ack_o ) + USE SIGNAL
+ ROUTED met2 ( 14490 2380 0 ) ( * 17510 )
NEW met1 ( 14490 17510 ) ( 19550 * )
NEW met2 ( 19550 17510 ) ( * 72250 )
NEW met2 ( 310730 430100 ) ( 315330 * 0 )
NEW met2 ( 310730 72250 ) ( * 430100 )
NEW met1 ( 19550 72250 ) ( 310730 * )
NEW met1 ( 14490 17510 ) M1M2_PR
NEW met1 ( 19550 17510 ) M1M2_PR
NEW met1 ( 19550 72250 ) M1M2_PR
NEW met1 ( 310730 72250 ) M1M2_PR ;
- wbs_adr_i[0] ( PIN wbs_adr_i[0] ) ( mprj wbs_adr_i[0] ) + USE SIGNAL
+ ROUTED met2 ( 38410 2380 0 ) ( * 26350 )
NEW met1 ( 38410 26350 ) ( 338330 * )
NEW met2 ( 338330 430100 ) ( 341090 * 0 )
NEW met2 ( 338330 26350 ) ( * 430100 )
NEW met1 ( 38410 26350 ) M1M2_PR
NEW met1 ( 338330 26350 ) M1M2_PR ;
- wbs_adr_i[10] ( PIN wbs_adr_i[10] ) ( mprj wbs_adr_i[10] ) + USE SIGNAL
+ ROUTED met2 ( 239430 2380 0 ) ( * 22270 )
NEW met1 ( 239430 22270 ) ( 559130 * )
NEW met2 ( 559130 430100 ) ( 559590 * 0 )
NEW met2 ( 559130 22270 ) ( * 430100 )
NEW met1 ( 239430 22270 ) M1M2_PR
NEW met1 ( 559130 22270 ) M1M2_PR ;
- wbs_adr_i[11] ( PIN wbs_adr_i[11] ) ( mprj wbs_adr_i[11] ) + USE SIGNAL
+ ROUTED met2 ( 572930 430100 ) ( 578910 * 0 )
NEW met2 ( 572930 21590 ) ( * 430100 )
NEW met2 ( 256910 2380 0 ) ( * 21590 )
NEW met1 ( 256910 21590 ) ( 572930 * )
NEW met1 ( 572930 21590 ) M1M2_PR
NEW met1 ( 256910 21590 ) M1M2_PR ;
- wbs_adr_i[12] ( PIN wbs_adr_i[12] ) ( mprj wbs_adr_i[12] ) + USE SIGNAL
+ ROUTED met2 ( 593630 430100 ) ( 598230 * 0 )
NEW met2 ( 593630 24310 ) ( * 430100 )
NEW met2 ( 274850 2380 0 ) ( * 24310 )
NEW met1 ( 274850 24310 ) ( 593630 * )
NEW met1 ( 593630 24310 ) M1M2_PR
NEW met1 ( 274850 24310 ) M1M2_PR ;
- wbs_adr_i[13] ( PIN wbs_adr_i[13] ) ( mprj wbs_adr_i[13] ) + USE SIGNAL
+ ROUTED met2 ( 292330 2380 0 ) ( * 22950 )
NEW met1 ( 292330 22950 ) ( 614330 * )
NEW met2 ( 614330 430100 ) ( 617550 * 0 )
NEW met2 ( 614330 22950 ) ( * 430100 )
NEW met1 ( 292330 22950 ) M1M2_PR
NEW met1 ( 614330 22950 ) M1M2_PR ;
- wbs_adr_i[14] ( PIN wbs_adr_i[14] ) ( mprj wbs_adr_i[14] ) + USE SIGNAL
+ ROUTED met2 ( 310270 2380 0 ) ( * 23290 )
NEW met1 ( 310270 23290 ) ( 635030 * )
NEW met2 ( 635030 430100 ) ( 636870 * 0 )
NEW met2 ( 635030 23290 ) ( * 430100 )
NEW met1 ( 310270 23290 ) M1M2_PR
NEW met1 ( 635030 23290 ) M1M2_PR ;
- wbs_adr_i[15] ( PIN wbs_adr_i[15] ) ( mprj wbs_adr_i[15] ) + USE SIGNAL
+ ROUTED met2 ( 327750 2380 0 ) ( * 27370 )
NEW met1 ( 327750 27370 ) ( 655730 * )
NEW met2 ( 655730 430100 ) ( 656190 * 0 )
NEW met2 ( 655730 27370 ) ( * 430100 )
NEW met1 ( 327750 27370 ) M1M2_PR
NEW met1 ( 655730 27370 ) M1M2_PR ;
- wbs_adr_i[16] ( PIN wbs_adr_i[16] ) ( mprj wbs_adr_i[16] ) + USE SIGNAL
+ ROUTED met2 ( 669530 430100 ) ( 675510 * 0 )
NEW met2 ( 669530 21930 ) ( * 430100 )
NEW met2 ( 345690 2380 0 ) ( * 21930 )
NEW met1 ( 345690 21930 ) ( 669530 * )
NEW met1 ( 669530 21930 ) M1M2_PR
NEW met1 ( 345690 21930 ) M1M2_PR ;
- wbs_adr_i[17] ( PIN wbs_adr_i[17] ) ( mprj wbs_adr_i[17] ) + USE SIGNAL
+ ROUTED met2 ( 690230 430100 ) ( 694830 * 0 )
NEW met2 ( 690230 27030 ) ( * 430100 )
NEW met2 ( 363170 2380 0 ) ( * 27030 )
NEW met1 ( 363170 27030 ) ( 690230 * )
NEW met1 ( 690230 27030 ) M1M2_PR
NEW met1 ( 363170 27030 ) M1M2_PR ;
- wbs_adr_i[18] ( PIN wbs_adr_i[18] ) ( mprj wbs_adr_i[18] ) + USE SIGNAL
+ ROUTED met2 ( 381110 2380 0 ) ( * 26690 )
NEW met1 ( 381110 26690 ) ( 710930 * )
NEW met2 ( 710930 430100 ) ( 714150 * 0 )
NEW met2 ( 710930 26690 ) ( * 430100 )
NEW met1 ( 381110 26690 ) M1M2_PR
NEW met1 ( 710930 26690 ) M1M2_PR ;
- wbs_adr_i[19] ( PIN wbs_adr_i[19] ) ( mprj wbs_adr_i[19] ) + USE SIGNAL
+ ROUTED met2 ( 398590 2380 0 ) ( * 26350 )
NEW met1 ( 398590 26350 ) ( 731630 * )
NEW met2 ( 731630 430100 ) ( 733470 * 0 )
NEW met2 ( 731630 26350 ) ( * 430100 )
NEW met1 ( 398590 26350 ) M1M2_PR
NEW met1 ( 731630 26350 ) M1M2_PR ;
- wbs_adr_i[1] ( PIN wbs_adr_i[1] ) ( mprj wbs_adr_i[1] ) + USE SIGNAL
+ ROUTED met2 ( 61870 2380 0 ) ( * 15130 )
NEW met1 ( 61870 15130 ) ( 72450 * )
NEW met1 ( 72450 412250 ) ( 366850 * )
NEW met2 ( 72450 15130 ) ( * 412250 )
NEW met2 ( 366850 412250 ) ( * 430100 0 )
NEW met1 ( 61870 15130 ) M1M2_PR
NEW met1 ( 72450 15130 ) M1M2_PR
NEW met1 ( 72450 412250 ) M1M2_PR
NEW met1 ( 366850 412250 ) M1M2_PR ;
- wbs_adr_i[20] ( PIN wbs_adr_i[20] ) ( mprj wbs_adr_i[20] ) + USE SIGNAL
+ ROUTED met2 ( 416530 2380 0 ) ( * 25670 )
NEW met1 ( 416530 25670 ) ( 752790 * )
NEW met2 ( 752330 430100 0 ) ( 752790 * )
NEW met2 ( 752790 25670 ) ( * 430100 )
NEW met1 ( 416530 25670 ) M1M2_PR
NEW met1 ( 752790 25670 ) M1M2_PR ;
- wbs_adr_i[21] ( PIN wbs_adr_i[21] ) ( mprj wbs_adr_i[21] ) + USE SIGNAL
+ ROUTED met2 ( 771650 411230 ) ( * 430100 0 )
NEW met1 ( 434470 411230 ) ( 771650 * )
NEW met2 ( 434470 2380 0 ) ( * 411230 )
NEW met1 ( 771650 411230 ) M1M2_PR
NEW met1 ( 434470 411230 ) M1M2_PR ;
- wbs_adr_i[22] ( PIN wbs_adr_i[22] ) ( mprj wbs_adr_i[22] ) + USE SIGNAL
+ ROUTED met2 ( 786830 430100 ) ( 790970 * 0 )
NEW met2 ( 786830 86190 ) ( * 430100 )
NEW met2 ( 451950 2380 0 ) ( * 17170 )
NEW met1 ( 451950 17170 ) ( 455170 * )
NEW met1 ( 455170 86190 ) ( 786830 * )
NEW met2 ( 455170 17170 ) ( * 86190 )
NEW met1 ( 786830 86190 ) M1M2_PR
NEW met1 ( 451950 17170 ) M1M2_PR
NEW met1 ( 455170 17170 ) M1M2_PR
NEW met1 ( 455170 86190 ) M1M2_PR ;
- wbs_adr_i[23] ( PIN wbs_adr_i[23] ) ( mprj wbs_adr_i[23] ) + USE SIGNAL
+ ROUTED met2 ( 469890 2380 0 ) ( * 26010 )
NEW met1 ( 469890 26010 ) ( 807530 * )
NEW met2 ( 807530 430100 ) ( 810290 * 0 )
NEW met2 ( 807530 26010 ) ( * 430100 )
NEW met1 ( 469890 26010 ) M1M2_PR
NEW met1 ( 807530 26010 ) M1M2_PR ;
- wbs_adr_i[24] ( PIN wbs_adr_i[24] ) ( mprj wbs_adr_i[24] ) + USE SIGNAL
+ ROUTED met2 ( 487370 2380 0 ) ( * 25330 )
NEW met1 ( 487370 25330 ) ( 828230 * )
NEW met2 ( 828230 430100 ) ( 829610 * 0 )
NEW met2 ( 828230 25330 ) ( * 430100 )
NEW met1 ( 487370 25330 ) M1M2_PR
NEW met1 ( 828230 25330 ) M1M2_PR ;
- wbs_adr_i[25] ( PIN wbs_adr_i[25] ) ( mprj wbs_adr_i[25] ) + USE SIGNAL
+ ROUTED met2 ( 505310 2380 0 ) ( * 17510 )
NEW met1 ( 505310 17510 ) ( 510370 * )
NEW met2 ( 510370 17510 ) ( * 92990 )
NEW met1 ( 510370 92990 ) ( 848930 * )
NEW met2 ( 848930 92990 ) ( * 430100 0 )
NEW met1 ( 505310 17510 ) M1M2_PR
NEW met1 ( 510370 17510 ) M1M2_PR
NEW met1 ( 510370 92990 ) M1M2_PR
NEW met1 ( 848930 92990 ) M1M2_PR ;
- wbs_adr_i[26] ( PIN wbs_adr_i[26] ) ( mprj wbs_adr_i[26] ) + USE SIGNAL
+ ROUTED met2 ( 862730 430100 ) ( 868250 * 0 )
NEW met2 ( 862730 24650 ) ( * 430100 )
NEW met2 ( 522790 2380 0 ) ( * 24650 )
NEW met1 ( 522790 24650 ) ( 862730 * )
NEW met1 ( 862730 24650 ) M1M2_PR
NEW met1 ( 522790 24650 ) M1M2_PR ;
- wbs_adr_i[27] ( PIN wbs_adr_i[27] ) ( mprj wbs_adr_i[27] ) + USE SIGNAL
+ ROUTED met2 ( 883430 430100 ) ( 887570 * 0 )
NEW met2 ( 883430 24990 ) ( * 430100 )
NEW met2 ( 540730 2380 0 ) ( * 24990 )
NEW met1 ( 540730 24990 ) ( 883430 * )
NEW met1 ( 883430 24990 ) M1M2_PR
NEW met1 ( 540730 24990 ) M1M2_PR ;
- wbs_adr_i[28] ( PIN wbs_adr_i[28] ) ( mprj wbs_adr_i[28] ) + USE SIGNAL
+ ROUTED met2 ( 558210 2380 0 ) ( * 30770 )
NEW met1 ( 558210 30770 ) ( 904130 * )
NEW met2 ( 904130 430100 ) ( 906890 * 0 )
NEW met2 ( 904130 30770 ) ( * 430100 )
NEW met1 ( 558210 30770 ) M1M2_PR
NEW met1 ( 904130 30770 ) M1M2_PR ;
- wbs_adr_i[29] ( PIN wbs_adr_i[29] ) ( mprj wbs_adr_i[29] ) + USE SIGNAL
+ ROUTED met2 ( 576150 2380 0 ) ( * 17510 )
NEW met1 ( 576150 17510 ) ( 579370 * )
NEW met2 ( 579370 17510 ) ( * 403410 )
NEW met1 ( 579370 403410 ) ( 926210 * )
NEW met2 ( 926210 403410 ) ( * 430100 0 )
NEW met1 ( 576150 17510 ) M1M2_PR
NEW met1 ( 579370 17510 ) M1M2_PR
NEW met1 ( 579370 403410 ) M1M2_PR
NEW met1 ( 926210 403410 ) M1M2_PR ;
- wbs_adr_i[2] ( PIN wbs_adr_i[2] ) ( mprj wbs_adr_i[2] ) + USE SIGNAL
+ ROUTED met2 ( 85330 2380 0 ) ( * 15130 )
NEW met1 ( 85330 15130 ) ( 89470 * )
NEW met2 ( 89470 15130 ) ( * 410890 )
NEW met2 ( 392610 410890 ) ( * 430100 0 )
NEW met1 ( 89470 410890 ) ( 392610 * )
NEW met1 ( 85330 15130 ) M1M2_PR
NEW met1 ( 89470 15130 ) M1M2_PR
NEW met1 ( 89470 410890 ) M1M2_PR
NEW met1 ( 392610 410890 ) M1M2_PR ;
- wbs_adr_i[30] ( PIN wbs_adr_i[30] ) ( mprj wbs_adr_i[30] ) + USE SIGNAL
+ ROUTED met2 ( 594090 2380 0 ) ( * 24310 )
NEW met1 ( 594090 24310 ) ( 941850 * )
NEW met1 ( 941850 414290 ) ( 945530 * )
NEW met2 ( 941850 24310 ) ( * 414290 )
NEW met2 ( 945530 414290 ) ( * 430100 0 )
NEW met1 ( 594090 24310 ) M1M2_PR
NEW met1 ( 941850 24310 ) M1M2_PR
NEW met1 ( 941850 414290 ) M1M2_PR
NEW met1 ( 945530 414290 ) M1M2_PR ;
- wbs_adr_i[31] ( PIN wbs_adr_i[31] ) ( mprj wbs_adr_i[31] ) + USE SIGNAL
+ ROUTED met2 ( 611570 2380 0 ) ( * 23970 )
NEW met2 ( 959330 430100 ) ( 964850 * 0 )
NEW met2 ( 959330 23970 ) ( * 430100 )
NEW met1 ( 611570 23970 ) ( 959330 * )
NEW met1 ( 611570 23970 ) M1M2_PR
NEW met1 ( 959330 23970 ) M1M2_PR ;
- wbs_adr_i[3] ( PIN wbs_adr_i[3] ) ( mprj wbs_adr_i[3] ) + USE SIGNAL
+ ROUTED met2 ( 109250 2380 0 ) ( * 25670 )
NEW met2 ( 414230 430100 ) ( 418370 * 0 )
NEW met2 ( 414230 25670 ) ( * 430100 )
NEW met1 ( 109250 25670 ) ( 414230 * )
NEW met1 ( 109250 25670 ) M1M2_PR
NEW met1 ( 414230 25670 ) M1M2_PR ;
- wbs_adr_i[4] ( PIN wbs_adr_i[4] ) ( mprj wbs_adr_i[4] ) + USE SIGNAL
+ ROUTED met2 ( 132710 2380 0 ) ( * 26010 )
NEW met1 ( 132710 26010 ) ( 441830 * )
NEW met2 ( 441830 430100 ) ( 444130 * 0 )
NEW met2 ( 441830 26010 ) ( * 430100 )
NEW met1 ( 132710 26010 ) M1M2_PR
NEW met1 ( 441830 26010 ) M1M2_PR ;
- wbs_adr_i[5] ( PIN wbs_adr_i[5] ) ( mprj wbs_adr_i[5] ) + USE SIGNAL
+ ROUTED met2 ( 150650 2380 0 ) ( * 25330 )
NEW met1 ( 150650 25330 ) ( 462530 * )
NEW met2 ( 462530 430100 ) ( 463450 * 0 )
NEW met2 ( 462530 25330 ) ( * 430100 )
NEW met1 ( 150650 25330 ) M1M2_PR
NEW met1 ( 462530 25330 ) M1M2_PR ;
- wbs_adr_i[6] ( PIN wbs_adr_i[6] ) ( mprj wbs_adr_i[6] ) + USE SIGNAL
+ ROUTED met2 ( 197110 15810 ) ( * 412590 )
NEW met2 ( 482770 412590 ) ( * 430100 0 )
NEW met2 ( 168130 2380 0 ) ( * 15810 )
NEW met1 ( 168130 15810 ) ( 197110 * )
NEW met1 ( 197110 412590 ) ( 482770 * )
NEW met1 ( 197110 15810 ) M1M2_PR
NEW met1 ( 197110 412590 ) M1M2_PR
NEW met1 ( 482770 412590 ) M1M2_PR
NEW met1 ( 168130 15810 ) M1M2_PR ;
- wbs_adr_i[7] ( PIN wbs_adr_i[7] ) ( mprj wbs_adr_i[7] ) + USE SIGNAL
+ ROUTED met2 ( 186070 2380 0 ) ( * 15300 )
NEW met2 ( 185150 15300 ) ( 186070 * )
NEW met2 ( 185610 82800 ) ( * 86530 )
NEW met2 ( 185150 82800 ) ( 185610 * )
NEW met2 ( 185150 15300 ) ( * 82800 )
NEW met2 ( 497030 430100 ) ( 502090 * 0 )
NEW met2 ( 497030 86530 ) ( * 430100 )
NEW met1 ( 185610 86530 ) ( 497030 * )
NEW met1 ( 185610 86530 ) M1M2_PR
NEW met1 ( 497030 86530 ) M1M2_PR ;
- wbs_adr_i[8] ( PIN wbs_adr_i[8] ) ( mprj wbs_adr_i[8] ) + USE SIGNAL
+ ROUTED met2 ( 203550 2380 0 ) ( * 24650 )
NEW met1 ( 203550 24650 ) ( 517730 * )
NEW met2 ( 517730 430100 ) ( 521410 * 0 )
NEW met2 ( 517730 24650 ) ( * 430100 )
NEW met1 ( 203550 24650 ) M1M2_PR
NEW met1 ( 517730 24650 ) M1M2_PR ;
- wbs_adr_i[9] ( PIN wbs_adr_i[9] ) ( mprj wbs_adr_i[9] ) + USE SIGNAL
+ ROUTED met2 ( 221490 2380 0 ) ( * 24990 )
NEW met1 ( 221490 24990 ) ( 538430 * )
NEW met2 ( 538430 430100 ) ( 540270 * 0 )
NEW met2 ( 538430 24990 ) ( * 430100 )
NEW met1 ( 221490 24990 ) M1M2_PR
NEW met1 ( 538430 24990 ) M1M2_PR ;
- wbs_cyc_i ( PIN wbs_cyc_i ) ( mprj wbs_cyc_i ) + USE SIGNAL
+ ROUTED met2 ( 20470 2380 0 ) ( * 411230 )
NEW met2 ( 321770 411230 ) ( * 430100 0 )
NEW met1 ( 20470 411230 ) ( 321770 * )
NEW met1 ( 20470 411230 ) M1M2_PR
NEW met1 ( 321770 411230 ) M1M2_PR ;
- wbs_dat_i[0] ( PIN wbs_dat_i[0] ) ( mprj wbs_dat_i[0] ) + USE SIGNAL
+ ROUTED met2 ( 43930 2380 0 ) ( * 19210 )
NEW met1 ( 43930 19210 ) ( 345230 * )
NEW met2 ( 345230 430100 ) ( 347530 * 0 )
NEW met2 ( 345230 19210 ) ( * 430100 )
NEW met1 ( 43930 19210 ) M1M2_PR
NEW met1 ( 345230 19210 ) M1M2_PR ;
- wbs_dat_i[10] ( PIN wbs_dat_i[10] ) ( mprj wbs_dat_i[10] ) + USE SIGNAL
+ ROUTED met2 ( 293250 15810 ) ( * 412930 )
NEW met2 ( 566030 412930 ) ( * 430100 0 )
NEW met2 ( 244950 2380 0 ) ( * 15810 )
NEW met1 ( 244950 15810 ) ( 293250 * )
NEW met1 ( 293250 412930 ) ( 566030 * )
NEW met1 ( 293250 15810 ) M1M2_PR
NEW met1 ( 293250 412930 ) M1M2_PR
NEW met1 ( 566030 412930 ) M1M2_PR
NEW met1 ( 244950 15810 ) M1M2_PR ;
- wbs_dat_i[11] ( PIN wbs_dat_i[11] ) ( mprj wbs_dat_i[11] ) + USE SIGNAL
+ ROUTED met2 ( 579830 430100 ) ( 585350 * 0 )
NEW met2 ( 579830 32470 ) ( * 430100 )
NEW met2 ( 262890 2380 0 ) ( * 32470 )
NEW met1 ( 262890 32470 ) ( 579830 * )
NEW met1 ( 579830 32470 ) M1M2_PR
NEW met1 ( 262890 32470 ) M1M2_PR ;
- wbs_dat_i[12] ( PIN wbs_dat_i[12] ) ( mprj wbs_dat_i[12] ) + USE SIGNAL
+ ROUTED met2 ( 280370 2380 0 ) ( * 23970 )
NEW met2 ( 600530 430100 ) ( 604670 * 0 )
NEW met2 ( 600530 23970 ) ( * 430100 )
NEW met1 ( 280370 23970 ) ( 600530 * )
NEW met1 ( 280370 23970 ) M1M2_PR
NEW met1 ( 600530 23970 ) M1M2_PR ;
- wbs_dat_i[13] ( PIN wbs_dat_i[13] ) ( mprj wbs_dat_i[13] ) + USE SIGNAL
+ ROUTED met2 ( 298310 2380 0 ) ( * 22610 )
NEW met1 ( 298310 22610 ) ( 621230 * )
NEW met2 ( 621230 430100 ) ( 623990 * 0 )
NEW met2 ( 621230 22610 ) ( * 430100 )
NEW met1 ( 298310 22610 ) M1M2_PR
NEW met1 ( 621230 22610 ) M1M2_PR ;
- wbs_dat_i[14] ( PIN wbs_dat_i[14] ) ( mprj wbs_dat_i[14] ) + USE SIGNAL
+ ROUTED met2 ( 316250 2380 0 ) ( * 15810 )
NEW met2 ( 559130 15810 ) ( * 21250 )
NEW met1 ( 316250 15810 ) ( 559130 * )
NEW met1 ( 559130 21250 ) ( 641930 * )
NEW met2 ( 641930 430100 ) ( 643310 * 0 )
NEW met2 ( 641930 21250 ) ( * 430100 )
NEW met1 ( 316250 15810 ) M1M2_PR
NEW met1 ( 559130 15810 ) M1M2_PR
NEW met1 ( 559130 21250 ) M1M2_PR
NEW met1 ( 641930 21250 ) M1M2_PR ;
- wbs_dat_i[15] ( PIN wbs_dat_i[15] ) ( mprj wbs_dat_i[15] ) + USE SIGNAL
+ ROUTED met2 ( 578910 15470 ) ( * 21590 )
NEW met2 ( 662630 430100 0 ) ( 663090 * )
NEW met2 ( 663090 21590 ) ( * 430100 )
NEW met2 ( 333730 2380 0 ) ( * 15470 )
NEW met1 ( 333730 15470 ) ( 578910 * )
NEW met1 ( 578910 21590 ) ( 663090 * )
NEW met1 ( 578910 15470 ) M1M2_PR
NEW met1 ( 578910 21590 ) M1M2_PR
NEW met1 ( 663090 21590 ) M1M2_PR
NEW met1 ( 333730 15470 ) M1M2_PR ;
- wbs_dat_i[16] ( PIN wbs_dat_i[16] ) ( mprj wbs_dat_i[16] ) + USE SIGNAL
+ ROUTED met2 ( 676430 430100 ) ( 681950 * 0 )
NEW met2 ( 676430 23630 ) ( * 430100 )
NEW met2 ( 351670 2380 0 ) ( * 23630 )
NEW met1 ( 351670 23630 ) ( 676430 * )
NEW met1 ( 676430 23630 ) M1M2_PR
NEW met1 ( 351670 23630 ) M1M2_PR ;
- wbs_dat_i[17] ( PIN wbs_dat_i[17] ) ( mprj wbs_dat_i[17] ) + USE SIGNAL
+ ROUTED met2 ( 697130 430100 ) ( 701270 * 0 )
NEW met2 ( 697130 19550 ) ( * 430100 )
NEW met2 ( 369150 2380 0 ) ( * 19550 )
NEW met1 ( 369150 19550 ) ( 697130 * )
NEW met1 ( 697130 19550 ) M1M2_PR
NEW met1 ( 369150 19550 ) M1M2_PR ;
- wbs_dat_i[18] ( PIN wbs_dat_i[18] ) ( mprj wbs_dat_i[18] ) + USE SIGNAL
+ ROUTED met2 ( 387090 2380 0 ) ( * 14450 )
NEW met1 ( 387090 14450 ) ( 393990 * )
NEW met2 ( 393990 14450 ) ( * 31790 )
NEW met1 ( 393990 31790 ) ( 717830 * )
NEW met2 ( 717830 430100 ) ( 720590 * 0 )
NEW met2 ( 717830 31790 ) ( * 430100 )
NEW met1 ( 387090 14450 ) M1M2_PR
NEW met1 ( 393990 14450 ) M1M2_PR
NEW met1 ( 393990 31790 ) M1M2_PR
NEW met1 ( 717830 31790 ) M1M2_PR ;
- wbs_dat_i[19] ( PIN wbs_dat_i[19] ) ( mprj wbs_dat_i[19] ) + USE SIGNAL
+ ROUTED met2 ( 404570 2380 0 ) ( * 18530 )
NEW met1 ( 404570 18530 ) ( 738530 * )
NEW met2 ( 738530 430100 ) ( 739910 * 0 )
NEW met2 ( 738530 18530 ) ( * 430100 )
NEW met1 ( 404570 18530 ) M1M2_PR
NEW met1 ( 738530 18530 ) M1M2_PR ;
- wbs_dat_i[1] ( PIN wbs_dat_i[1] ) ( mprj wbs_dat_i[1] ) + USE SIGNAL
+ ROUTED met2 ( 372830 430100 ) ( 373290 * 0 )
NEW met2 ( 372830 18190 ) ( * 430100 )
NEW met2 ( 67850 2380 0 ) ( * 18190 )
NEW met1 ( 67850 18190 ) ( 372830 * )
NEW met1 ( 372830 18190 ) M1M2_PR
NEW met1 ( 67850 18190 ) M1M2_PR ;
- wbs_dat_i[20] ( PIN wbs_dat_i[20] ) ( mprj wbs_dat_i[20] ) + USE SIGNAL
+ ROUTED met2 ( 422510 2380 0 ) ( * 15130 )
NEW met2 ( 620770 15130 ) ( * 22270 )
NEW met1 ( 422510 15130 ) ( 620770 * )
NEW met1 ( 620770 22270 ) ( 753250 * )
NEW met2 ( 753250 430100 ) ( 758770 * 0 )
NEW met2 ( 753250 22270 ) ( * 430100 )
NEW met1 ( 422510 15130 ) M1M2_PR
NEW met1 ( 620770 15130 ) M1M2_PR
NEW met1 ( 620770 22270 ) M1M2_PR
NEW met1 ( 753250 22270 ) M1M2_PR ;
- wbs_dat_i[21] ( PIN wbs_dat_i[21] ) ( mprj wbs_dat_i[21] ) + USE SIGNAL
+ ROUTED met2 ( 773030 430100 ) ( 778090 * 0 )
NEW met2 ( 773030 22950 ) ( * 430100 )
NEW met2 ( 439990 2380 0 ) ( * 14450 )
NEW met2 ( 627670 14450 ) ( * 22950 )
NEW met1 ( 439990 14450 ) ( 627670 * )
NEW met1 ( 627670 22950 ) ( 773030 * )
NEW met1 ( 773030 22950 ) M1M2_PR
NEW met1 ( 439990 14450 ) M1M2_PR
NEW met1 ( 627670 14450 ) M1M2_PR
NEW met1 ( 627670 22950 ) M1M2_PR ;
- wbs_dat_i[22] ( PIN wbs_dat_i[22] ) ( mprj wbs_dat_i[22] ) + USE SIGNAL
+ ROUTED met2 ( 793730 430100 ) ( 797410 * 0 )
NEW met2 ( 793730 31110 ) ( * 430100 )
NEW met2 ( 457930 2380 0 ) ( * 14790 )
NEW met1 ( 457930 14790 ) ( 463450 * )
NEW met2 ( 463450 14790 ) ( * 31110 )
NEW met1 ( 463450 31110 ) ( 793730 * )
NEW met1 ( 793730 31110 ) M1M2_PR
NEW met1 ( 457930 14790 ) M1M2_PR
NEW met1 ( 463450 14790 ) M1M2_PR
NEW met1 ( 463450 31110 ) M1M2_PR ;
- wbs_dat_i[23] ( PIN wbs_dat_i[23] ) ( mprj wbs_dat_i[23] ) + USE SIGNAL
+ ROUTED met2 ( 475410 82800 ) ( 475870 * )
NEW met2 ( 475870 2380 0 ) ( * 82800 )
NEW met2 ( 475410 82800 ) ( * 410890 )
NEW met1 ( 475410 410890 ) ( 816730 * )
NEW met2 ( 816730 410890 ) ( * 430100 0 )
NEW met1 ( 475410 410890 ) M1M2_PR
NEW met1 ( 816730 410890 ) M1M2_PR ;
- wbs_dat_i[24] ( PIN wbs_dat_i[24] ) ( mprj wbs_dat_i[24] ) + USE SIGNAL
+ ROUTED met2 ( 493350 2380 0 ) ( * 16830 )
NEW met1 ( 493350 16830 ) ( 496570 * )
NEW met2 ( 496570 16830 ) ( * 410550 )
NEW met1 ( 496570 410550 ) ( 836050 * )
NEW met2 ( 836050 410550 ) ( * 430100 0 )
NEW met1 ( 493350 16830 ) M1M2_PR
NEW met1 ( 496570 16830 ) M1M2_PR
NEW met1 ( 496570 410550 ) M1M2_PR
NEW met1 ( 836050 410550 ) M1M2_PR ;
- wbs_dat_i[25] ( PIN wbs_dat_i[25] ) ( mprj wbs_dat_i[25] ) + USE SIGNAL
+ ROUTED met2 ( 511290 2380 0 ) ( * 14790 )
NEW met2 ( 610650 14790 ) ( * 411570 )
NEW met1 ( 511290 14790 ) ( 610650 * )
NEW met1 ( 610650 411570 ) ( 855370 * )
NEW met2 ( 855370 411570 ) ( * 430100 0 )
NEW met1 ( 511290 14790 ) M1M2_PR
NEW met1 ( 610650 14790 ) M1M2_PR
NEW met1 ( 610650 411570 ) M1M2_PR
NEW met1 ( 855370 411570 ) M1M2_PR ;
- wbs_dat_i[26] ( PIN wbs_dat_i[26] ) ( mprj wbs_dat_i[26] ) + USE SIGNAL
+ ROUTED met2 ( 869630 430100 ) ( 874690 * 0 )
NEW met2 ( 869630 93330 ) ( * 430100 )
NEW met2 ( 528770 2380 0 ) ( * 17510 )
NEW met1 ( 528770 17510 ) ( 535210 * )
NEW met1 ( 535210 93330 ) ( 869630 * )
NEW met2 ( 535210 17510 ) ( * 93330 )
NEW met1 ( 869630 93330 ) M1M2_PR
NEW met1 ( 528770 17510 ) M1M2_PR
NEW met1 ( 535210 17510 ) M1M2_PR
NEW met1 ( 535210 93330 ) M1M2_PR ;
- wbs_dat_i[27] ( PIN wbs_dat_i[27] ) ( mprj wbs_dat_i[27] ) + USE SIGNAL
+ ROUTED met2 ( 890330 430100 ) ( 894010 * 0 )
NEW met2 ( 890330 17850 ) ( * 430100 )
NEW met2 ( 546710 2380 0 ) ( * 17850 )
NEW met1 ( 546710 17850 ) ( 890330 * )
NEW met1 ( 890330 17850 ) M1M2_PR
NEW met1 ( 546710 17850 ) M1M2_PR ;
- wbs_dat_i[28] ( PIN wbs_dat_i[28] ) ( mprj wbs_dat_i[28] ) + USE SIGNAL
+ ROUTED li1 ( 597310 17170 ) ( 598230 * )
NEW met2 ( 564190 2380 0 ) ( * 17170 )
NEW met1 ( 564190 17170 ) ( 597310 * )
NEW met1 ( 598230 17170 ) ( 911030 * )
NEW met2 ( 911030 430100 ) ( 913330 * 0 )
NEW met2 ( 911030 17170 ) ( * 430100 )
NEW li1 ( 597310 17170 ) L1M1_PR_MR
NEW li1 ( 598230 17170 ) L1M1_PR_MR
NEW met1 ( 564190 17170 ) M1M2_PR
NEW met1 ( 911030 17170 ) M1M2_PR ;
- wbs_dat_i[29] ( PIN wbs_dat_i[29] ) ( mprj wbs_dat_i[29] ) + USE SIGNAL
+ ROUTED met2 ( 582130 2380 0 ) ( * 16830 )
NEW met1 ( 582130 16830 ) ( 597770 * )
NEW met1 ( 597770 16830 ) ( * 17510 )
NEW met1 ( 597770 17510 ) ( 931730 * )
NEW met2 ( 931730 430100 ) ( 932650 * 0 )
NEW met2 ( 931730 17510 ) ( * 430100 )
NEW met1 ( 582130 16830 ) M1M2_PR
NEW met1 ( 931730 17510 ) M1M2_PR ;
- wbs_dat_i[2] ( PIN wbs_dat_i[2] ) ( mprj wbs_dat_i[2] ) + USE SIGNAL
+ ROUTED met2 ( 91310 2380 0 ) ( * 18870 )
NEW met2 ( 393530 430100 ) ( 399050 * 0 )
NEW met2 ( 393530 18870 ) ( * 430100 )
NEW met1 ( 91310 18870 ) ( 393530 * )
NEW met1 ( 91310 18870 ) M1M2_PR
NEW met1 ( 393530 18870 ) M1M2_PR ;
- wbs_dat_i[30] ( PIN wbs_dat_i[30] ) ( mprj wbs_dat_i[30] ) + USE SIGNAL
+ ROUTED met2 ( 599610 2380 0 ) ( * 16830 )
NEW met1 ( 599610 16830 ) ( 921150 * )
NEW met1 ( 921150 414630 ) ( 951970 * )
NEW met2 ( 921150 16830 ) ( * 414630 )
NEW met2 ( 951970 414630 ) ( * 430100 0 )
NEW met1 ( 599610 16830 ) M1M2_PR
NEW met1 ( 921150 16830 ) M1M2_PR
NEW met1 ( 921150 414630 ) M1M2_PR
NEW met1 ( 951970 414630 ) M1M2_PR ;
- wbs_dat_i[31] ( PIN wbs_dat_i[31] ) ( mprj wbs_dat_i[31] ) + USE SIGNAL
+ ROUTED met2 ( 971290 417690 ) ( * 430100 0 )
NEW met2 ( 617550 2380 0 ) ( * 14790 )
NEW met1 ( 617550 14790 ) ( 852150 * )
NEW met1 ( 852150 417690 ) ( 971290 * )
NEW met2 ( 852150 14790 ) ( * 417690 )
NEW met1 ( 971290 417690 ) M1M2_PR
NEW met1 ( 617550 14790 ) M1M2_PR
NEW met1 ( 852150 14790 ) M1M2_PR
NEW met1 ( 852150 417690 ) M1M2_PR ;
- wbs_dat_i[3] ( PIN wbs_dat_i[3] ) ( mprj wbs_dat_i[3] ) + USE SIGNAL
+ ROUTED met2 ( 115230 2380 0 ) ( * 34500 )
NEW met2 ( 115230 34500 ) ( 117070 * )
NEW met2 ( 117070 34500 ) ( * 410550 )
NEW met1 ( 117070 410550 ) ( 424810 * )
NEW met2 ( 424810 410550 ) ( * 430100 0 )
NEW met1 ( 117070 410550 ) M1M2_PR
NEW met1 ( 424810 410550 ) M1M2_PR ;
- wbs_dat_i[4] ( PIN wbs_dat_i[4] ) ( mprj wbs_dat_i[4] ) + USE SIGNAL
+ ROUTED met2 ( 138690 2380 0 ) ( * 20570 )
NEW met1 ( 138690 20570 ) ( 448730 * )
NEW met2 ( 448730 430100 ) ( 450570 * 0 )
NEW met2 ( 448730 20570 ) ( * 430100 )
NEW met1 ( 138690 20570 ) M1M2_PR
NEW met1 ( 448730 20570 ) M1M2_PR ;
- wbs_dat_i[5] ( PIN wbs_dat_i[5] ) ( mprj wbs_dat_i[5] ) + USE SIGNAL
+ ROUTED met2 ( 469430 430100 ) ( 469890 * 0 )
NEW met2 ( 469430 79390 ) ( * 430100 )
NEW met2 ( 156630 2380 0 ) ( * 14790 )
NEW met1 ( 156630 14790 ) ( 162150 * )
NEW met2 ( 162150 14790 ) ( * 79390 )
NEW met1 ( 162150 79390 ) ( 469430 * )
NEW met1 ( 469430 79390 ) M1M2_PR
NEW met1 ( 156630 14790 ) M1M2_PR
NEW met1 ( 162150 14790 ) M1M2_PR
NEW met1 ( 162150 79390 ) M1M2_PR ;
- wbs_dat_i[6] ( PIN wbs_dat_i[6] ) ( mprj wbs_dat_i[6] ) + USE SIGNAL
+ ROUTED met2 ( 483230 430100 ) ( 489210 * 0 )
NEW met2 ( 483230 18190 ) ( * 430100 )
NEW met2 ( 174110 2380 0 ) ( * 17850 )
NEW met1 ( 174110 17850 ) ( 420900 * )
NEW met1 ( 420900 17850 ) ( * 18190 )
NEW met1 ( 420900 18190 ) ( 483230 * )
NEW met1 ( 483230 18190 ) M1M2_PR
NEW met1 ( 174110 17850 ) M1M2_PR ;
- wbs_dat_i[7] ( PIN wbs_dat_i[7] ) ( mprj wbs_dat_i[7] ) + USE SIGNAL
+ ROUTED met2 ( 192050 2380 0 ) ( * 16150 )
NEW met1 ( 192050 16150 ) ( 217810 * )
NEW li1 ( 217810 16150 ) ( * 17510 )
NEW met1 ( 493350 17170 ) ( * 17510 )
NEW met1 ( 493350 17170 ) ( 504390 * )
NEW met2 ( 504390 430100 ) ( 508530 * 0 )
NEW met2 ( 504390 17170 ) ( * 430100 )
NEW met1 ( 217810 17510 ) ( 493350 * )
NEW met1 ( 192050 16150 ) M1M2_PR
NEW li1 ( 217810 16150 ) L1M1_PR_MR
NEW li1 ( 217810 17510 ) L1M1_PR_MR
NEW met1 ( 504390 17170 ) M1M2_PR ;
- wbs_dat_i[8] ( PIN wbs_dat_i[8] ) ( mprj wbs_dat_i[8] ) + USE SIGNAL
+ ROUTED met2 ( 209530 2380 0 ) ( * 17510 )
NEW met1 ( 209530 17510 ) ( 217350 * )
NEW met2 ( 217350 17510 ) ( * 93330 )
NEW met1 ( 217350 93330 ) ( 524630 * )
NEW met2 ( 524630 430100 ) ( 527390 * 0 )
NEW met2 ( 524630 93330 ) ( * 430100 )
NEW met1 ( 209530 17510 ) M1M2_PR
NEW met1 ( 217350 17510 ) M1M2_PR
NEW met1 ( 217350 93330 ) M1M2_PR
NEW met1 ( 524630 93330 ) M1M2_PR ;
- wbs_dat_i[9] ( PIN wbs_dat_i[9] ) ( mprj wbs_dat_i[9] ) + USE SIGNAL
+ ROUTED met2 ( 227010 82800 ) ( 227470 * )
NEW met2 ( 227470 2380 0 ) ( * 82800 )
NEW met2 ( 227010 82800 ) ( * 411910 )
NEW met1 ( 227010 411910 ) ( 546710 * )
NEW met2 ( 546710 411910 ) ( * 430100 0 )
NEW met1 ( 227010 411910 ) M1M2_PR
NEW met1 ( 546710 411910 ) M1M2_PR ;
- wbs_dat_o[0] ( PIN wbs_dat_o[0] ) ( mprj wbs_dat_o[0] ) + USE SIGNAL
+ ROUTED met2 ( 49910 2380 0 ) ( * 17510 )
NEW met1 ( 49910 17510 ) ( 54970 * )
NEW met1 ( 54970 419050 ) ( 353970 * )
NEW met2 ( 54970 17510 ) ( * 419050 )
NEW met2 ( 353970 419050 ) ( * 430100 0 )
NEW met1 ( 49910 17510 ) M1M2_PR
NEW met1 ( 54970 17510 ) M1M2_PR
NEW met1 ( 54970 419050 ) M1M2_PR
NEW met1 ( 353970 419050 ) M1M2_PR ;
- wbs_dat_o[10] ( PIN wbs_dat_o[10] ) ( mprj wbs_dat_o[10] ) + USE SIGNAL
+ ROUTED met2 ( 572470 414290 ) ( * 430100 0 )
NEW met2 ( 250930 2380 0 ) ( * 16150 )
NEW met1 ( 250930 16150 ) ( 255070 * )
NEW met1 ( 255070 113730 ) ( 562350 * )
NEW met1 ( 562350 414290 ) ( 572470 * )
NEW met2 ( 255070 16150 ) ( * 113730 )
NEW met2 ( 562350 113730 ) ( * 414290 )
NEW met1 ( 572470 414290 ) M1M2_PR
NEW met1 ( 250930 16150 ) M1M2_PR
NEW met1 ( 255070 16150 ) M1M2_PR
NEW met1 ( 255070 113730 ) M1M2_PR
NEW met1 ( 562350 113730 ) M1M2_PR
NEW met1 ( 562350 414290 ) M1M2_PR ;
- wbs_dat_o[11] ( PIN wbs_dat_o[11] ) ( mprj wbs_dat_o[11] ) + USE SIGNAL
+ ROUTED met2 ( 591790 411570 ) ( * 430100 0 )
NEW met1 ( 268870 411570 ) ( 591790 * )
NEW met2 ( 268870 2380 0 ) ( * 411570 )
NEW met1 ( 591790 411570 ) M1M2_PR
NEW met1 ( 268870 411570 ) M1M2_PR ;
- wbs_dat_o[12] ( PIN wbs_dat_o[12] ) ( mprj wbs_dat_o[12] ) + USE SIGNAL
+ ROUTED met2 ( 286350 2380 0 ) ( * 32130 )
NEW met2 ( 607430 430100 ) ( 611110 * 0 )
NEW met2 ( 607430 32130 ) ( * 430100 )
NEW met1 ( 286350 32130 ) ( 607430 * )
NEW met1 ( 286350 32130 ) M1M2_PR
NEW met1 ( 607430 32130 ) M1M2_PR ;
- wbs_dat_o[13] ( PIN wbs_dat_o[13] ) ( mprj wbs_dat_o[13] ) + USE SIGNAL
+ ROUTED met2 ( 304290 2380 0 ) ( * 16150 )
NEW met1 ( 304290 16150 ) ( 628130 * )
NEW met2 ( 628130 430100 ) ( 630430 * 0 )
NEW met2 ( 628130 16150 ) ( * 430100 )
NEW met1 ( 304290 16150 ) M1M2_PR
NEW met1 ( 628130 16150 ) M1M2_PR ;
- wbs_dat_o[14] ( PIN wbs_dat_o[14] ) ( mprj wbs_dat_o[14] ) + USE SIGNAL
+ ROUTED met2 ( 321770 2380 0 ) ( * 19890 )
NEW met1 ( 321770 19890 ) ( 648830 * )
NEW met2 ( 648830 430100 ) ( 649750 * 0 )
NEW met2 ( 648830 19890 ) ( * 430100 )
NEW met1 ( 321770 19890 ) M1M2_PR
NEW met1 ( 648830 19890 ) M1M2_PR ;
- wbs_dat_o[15] ( PIN wbs_dat_o[15] ) ( mprj wbs_dat_o[15] ) + USE SIGNAL
+ ROUTED met2 ( 663550 430100 ) ( 669070 * 0 )
NEW met2 ( 663550 16490 ) ( * 430100 )
NEW met2 ( 339710 2380 0 ) ( * 16490 )
NEW met1 ( 339710 16490 ) ( 663550 * )
NEW met1 ( 663550 16490 ) M1M2_PR
NEW met1 ( 339710 16490 ) M1M2_PR ;
- wbs_dat_o[16] ( PIN wbs_dat_o[16] ) ( mprj wbs_dat_o[16] ) + USE SIGNAL
+ ROUTED met2 ( 683330 430100 ) ( 688390 * 0 )
NEW met2 ( 683330 31450 ) ( * 430100 )
NEW met2 ( 357650 2380 0 ) ( * 31450 )
NEW met1 ( 357650 31450 ) ( 683330 * )
NEW met1 ( 683330 31450 ) M1M2_PR
NEW met1 ( 357650 31450 ) M1M2_PR ;
- wbs_dat_o[17] ( PIN wbs_dat_o[17] ) ( mprj wbs_dat_o[17] ) + USE SIGNAL
+ ROUTED met2 ( 375130 2380 0 ) ( * 20230 )
NEW met2 ( 704030 430100 ) ( 707710 * 0 )
NEW met2 ( 704030 20230 ) ( * 430100 )
NEW met1 ( 375130 20230 ) ( 704030 * )
NEW met1 ( 375130 20230 ) M1M2_PR
NEW met1 ( 704030 20230 ) M1M2_PR ;
- wbs_dat_o[18] ( PIN wbs_dat_o[18] ) ( mprj wbs_dat_o[18] ) + USE SIGNAL
+ ROUTED met2 ( 393070 2380 0 ) ( * 17170 )
NEW met1 ( 393070 17170 ) ( 396750 * )
NEW met2 ( 396750 17170 ) ( * 93670 )
NEW met1 ( 396750 93670 ) ( 724730 * )
NEW met2 ( 724730 430100 ) ( 727030 * 0 )
NEW met2 ( 724730 93670 ) ( * 430100 )
NEW met1 ( 393070 17170 ) M1M2_PR
NEW met1 ( 396750 17170 ) M1M2_PR
NEW met1 ( 396750 93670 ) M1M2_PR
NEW met1 ( 724730 93670 ) M1M2_PR ;
- wbs_dat_o[19] ( PIN wbs_dat_o[19] ) ( mprj wbs_dat_o[19] ) + USE SIGNAL
+ ROUTED met2 ( 410550 2380 0 ) ( * 18870 )
NEW met1 ( 410550 18870 ) ( 745430 * )
NEW met2 ( 745430 430100 ) ( 746350 * 0 )
NEW met2 ( 745430 18870 ) ( * 430100 )
NEW met1 ( 410550 18870 ) M1M2_PR
NEW met1 ( 745430 18870 ) M1M2_PR ;
- wbs_dat_o[1] ( PIN wbs_dat_o[1] ) ( mprj wbs_dat_o[1] ) + USE SIGNAL
+ ROUTED met2 ( 379730 418030 ) ( * 430100 0 )
NEW met1 ( 75670 418030 ) ( 379730 * )
NEW met2 ( 73830 2380 0 ) ( * 34500 )
NEW met2 ( 73830 34500 ) ( 75670 * )
NEW met2 ( 75670 34500 ) ( * 418030 )
NEW met1 ( 379730 418030 ) M1M2_PR
NEW met1 ( 75670 418030 ) M1M2_PR ;
- wbs_dat_o[20] ( PIN wbs_dat_o[20] ) ( mprj wbs_dat_o[20] ) + USE SIGNAL
+ ROUTED met2 ( 492890 17170 ) ( * 34500 )
NEW met2 ( 492890 34500 ) ( 493350 * )
NEW met2 ( 493350 34500 ) ( * 419050 )
NEW met2 ( 765210 419050 ) ( * 430100 0 )
NEW met1 ( 469200 17170 ) ( 492890 * )
NEW met2 ( 428490 2380 0 ) ( * 16830 )
NEW met1 ( 428490 16830 ) ( 469200 * )
NEW met1 ( 469200 16830 ) ( * 17170 )
NEW met1 ( 493350 419050 ) ( 765210 * )
NEW met1 ( 492890 17170 ) M1M2_PR
NEW met1 ( 493350 419050 ) M1M2_PR
NEW met1 ( 765210 419050 ) M1M2_PR
NEW met1 ( 428490 16830 ) M1M2_PR ;
- wbs_dat_o[21] ( PIN wbs_dat_o[21] ) ( mprj wbs_dat_o[21] ) + USE SIGNAL
+ ROUTED met2 ( 779930 430100 ) ( 784530 * 0 )
NEW met2 ( 779930 19210 ) ( * 430100 )
NEW met2 ( 445970 2380 0 ) ( * 19210 )
NEW met1 ( 445970 19210 ) ( 779930 * )
NEW met1 ( 779930 19210 ) M1M2_PR
NEW met1 ( 445970 19210 ) M1M2_PR ;
- wbs_dat_o[22] ( PIN wbs_dat_o[22] ) ( mprj wbs_dat_o[22] ) + USE SIGNAL
+ ROUTED met2 ( 472650 20570 ) ( * 417690 )
NEW met2 ( 803850 417690 ) ( * 430100 0 )
NEW met2 ( 463910 2380 0 ) ( * 20570 )
NEW met1 ( 463910 20570 ) ( 472650 * )
NEW met1 ( 472650 417690 ) ( 803850 * )
NEW met1 ( 472650 20570 ) M1M2_PR
NEW met1 ( 472650 417690 ) M1M2_PR
NEW met1 ( 803850 417690 ) M1M2_PR
NEW met1 ( 463910 20570 ) M1M2_PR ;
- wbs_dat_o[23] ( PIN wbs_dat_o[23] ) ( mprj wbs_dat_o[23] ) + USE SIGNAL
+ ROUTED met2 ( 481390 2380 0 ) ( * 17850 )
NEW met1 ( 481390 17850 ) ( 527850 * )
NEW met1 ( 527850 418710 ) ( 823170 * )
NEW met2 ( 527850 17850 ) ( * 418710 )
NEW met2 ( 823170 418710 ) ( * 430100 0 )
NEW met1 ( 481390 17850 ) M1M2_PR
NEW met1 ( 527850 17850 ) M1M2_PR
NEW met1 ( 527850 418710 ) M1M2_PR
NEW met1 ( 823170 418710 ) M1M2_PR ;
- wbs_dat_o[24] ( PIN wbs_dat_o[24] ) ( mprj wbs_dat_o[24] ) + USE SIGNAL
+ ROUTED met2 ( 499330 2380 0 ) ( * 18190 )
NEW met1 ( 499330 18190 ) ( 842030 * )
NEW met2 ( 842030 430100 ) ( 842490 * 0 )
NEW met2 ( 842030 18190 ) ( * 430100 )
NEW met1 ( 499330 18190 ) M1M2_PR
NEW met1 ( 842030 18190 ) M1M2_PR ;
- wbs_dat_o[25] ( PIN wbs_dat_o[25] ) ( mprj wbs_dat_o[25] ) + USE SIGNAL
+ ROUTED met2 ( 516810 2380 0 ) ( * 17170 )
NEW met2 ( 858590 430100 ) ( 861810 * 0 )
NEW met2 ( 858590 418030 ) ( * 430100 )
NEW met1 ( 516810 17170 ) ( 534290 * )
NEW met1 ( 534750 418030 ) ( 858590 * )
NEW met2 ( 534290 17170 ) ( * 34500 )
NEW met2 ( 534290 34500 ) ( 534750 * )
NEW met2 ( 534750 34500 ) ( * 418030 )
NEW met1 ( 516810 17170 ) M1M2_PR
NEW met1 ( 858590 418030 ) M1M2_PR
NEW met1 ( 534290 17170 ) M1M2_PR
NEW met1 ( 534750 418030 ) M1M2_PR ;
- wbs_dat_o[26] ( PIN wbs_dat_o[26] ) ( mprj wbs_dat_o[26] ) + USE SIGNAL
+ ROUTED met1 ( 859050 414290 ) ( 881130 * )
NEW met2 ( 859050 20570 ) ( * 414290 )
NEW met2 ( 881130 414290 ) ( * 430100 0 )
NEW met2 ( 534750 2380 0 ) ( * 20570 )
NEW met1 ( 534750 20570 ) ( 859050 * )
NEW met1 ( 859050 20570 ) M1M2_PR
NEW met1 ( 859050 414290 ) M1M2_PR
NEW met1 ( 881130 414290 ) M1M2_PR
NEW met1 ( 534750 20570 ) M1M2_PR ;
- wbs_dat_o[27] ( PIN wbs_dat_o[27] ) ( mprj wbs_dat_o[27] ) + USE SIGNAL
+ ROUTED met2 ( 581670 16830 ) ( * 34500 )
NEW met2 ( 581670 34500 ) ( 583050 * )
NEW met2 ( 583050 34500 ) ( * 418370 )
NEW met2 ( 900450 418370 ) ( * 430100 0 )
NEW met2 ( 552690 2380 0 ) ( * 16830 )
NEW met1 ( 552690 16830 ) ( 581670 * )
NEW met1 ( 583050 418370 ) ( 900450 * )
NEW met1 ( 581670 16830 ) M1M2_PR
NEW met1 ( 583050 418370 ) M1M2_PR
NEW met1 ( 900450 418370 ) M1M2_PR
NEW met1 ( 552690 16830 ) M1M2_PR ;
- wbs_dat_o[28] ( PIN wbs_dat_o[28] ) ( mprj wbs_dat_o[28] ) + USE SIGNAL
+ ROUTED met2 ( 570170 2380 0 ) ( * 15810 )
NEW met2 ( 872850 15810 ) ( * 419050 )
NEW met1 ( 570170 15810 ) ( 872850 * )
NEW met1 ( 872850 419050 ) ( 919770 * )
NEW met2 ( 919770 419050 ) ( * 430100 0 )
NEW met1 ( 570170 15810 ) M1M2_PR
NEW met1 ( 872850 15810 ) M1M2_PR
NEW met1 ( 872850 419050 ) M1M2_PR
NEW met1 ( 919770 419050 ) M1M2_PR ;
- wbs_dat_o[29] ( PIN wbs_dat_o[29] ) ( mprj wbs_dat_o[29] ) + USE SIGNAL
+ ROUTED met2 ( 588110 2380 0 ) ( * 17510 )
NEW met1 ( 588110 17510 ) ( 596850 * )
NEW met2 ( 596850 17510 ) ( * 417350 )
NEW met1 ( 596850 417350 ) ( 939090 * )
NEW met2 ( 939090 417350 ) ( * 430100 0 )
NEW met1 ( 588110 17510 ) M1M2_PR
NEW met1 ( 596850 17510 ) M1M2_PR
NEW met1 ( 596850 417350 ) M1M2_PR
NEW met1 ( 939090 417350 ) M1M2_PR ;
- wbs_dat_o[2] ( PIN wbs_dat_o[2] ) ( mprj wbs_dat_o[2] ) + USE SIGNAL
+ ROUTED met2 ( 97290 2380 0 ) ( * 17510 )
NEW met1 ( 97290 17510 ) ( 103270 * )
NEW met2 ( 103270 17510 ) ( * 418710 )
NEW met2 ( 405490 418710 ) ( * 430100 0 )
NEW met1 ( 103270 418710 ) ( 405490 * )
NEW met1 ( 97290 17510 ) M1M2_PR
NEW met1 ( 103270 17510 ) M1M2_PR
NEW met1 ( 103270 418710 ) M1M2_PR
NEW met1 ( 405490 418710 ) M1M2_PR ;
- wbs_dat_o[30] ( PIN wbs_dat_o[30] ) ( mprj wbs_dat_o[30] ) + USE SIGNAL
+ ROUTED met2 ( 605590 2380 0 ) ( * 15470 )
NEW met2 ( 879750 15470 ) ( * 418710 )
NEW met2 ( 958410 418710 ) ( * 430100 0 )
NEW met1 ( 605590 15470 ) ( 879750 * )
NEW met1 ( 879750 418710 ) ( 958410 * )
NEW met1 ( 605590 15470 ) M1M2_PR
NEW met1 ( 879750 15470 ) M1M2_PR
NEW met1 ( 879750 418710 ) M1M2_PR
NEW met1 ( 958410 418710 ) M1M2_PR ;
- wbs_dat_o[31] ( PIN wbs_dat_o[31] ) ( mprj wbs_dat_o[31] ) + USE SIGNAL
+ ROUTED met2 ( 886650 15130 ) ( * 418030 )
NEW met2 ( 977270 418030 ) ( * 430100 0 )
NEW met2 ( 623530 2380 0 ) ( * 15130 )
NEW met1 ( 623530 15130 ) ( 886650 * )
NEW met1 ( 886650 418030 ) ( 977270 * )
NEW met1 ( 886650 15130 ) M1M2_PR
NEW met1 ( 886650 418030 ) M1M2_PR
NEW met1 ( 977270 418030 ) M1M2_PR
NEW met1 ( 623530 15130 ) M1M2_PR ;
- wbs_dat_o[3] ( PIN wbs_dat_o[3] ) ( mprj wbs_dat_o[3] ) + USE SIGNAL
+ ROUTED met2 ( 121210 2380 0 ) ( * 16490 )
NEW met2 ( 313950 16490 ) ( * 417010 )
NEW met1 ( 121210 16490 ) ( 313950 * )
NEW met1 ( 313950 417010 ) ( 431250 * )
NEW met2 ( 431250 417010 ) ( * 430100 0 )
NEW met1 ( 121210 16490 ) M1M2_PR
NEW met1 ( 313950 16490 ) M1M2_PR
NEW met1 ( 313950 417010 ) M1M2_PR
NEW met1 ( 431250 417010 ) M1M2_PR ;
- wbs_dat_o[4] ( PIN wbs_dat_o[4] ) ( mprj wbs_dat_o[4] ) + USE SIGNAL
+ ROUTED met2 ( 320850 15470 ) ( * 420410 )
NEW met2 ( 144670 2380 0 ) ( * 15470 )
NEW met1 ( 144670 15470 ) ( 320850 * )
NEW met1 ( 320850 420410 ) ( 457010 * )
NEW met2 ( 457010 420410 ) ( * 430100 0 )
NEW met1 ( 320850 15470 ) M1M2_PR
NEW met1 ( 320850 420410 ) M1M2_PR
NEW met1 ( 144670 15470 ) M1M2_PR
NEW met1 ( 457010 420410 ) M1M2_PR ;
- wbs_dat_o[5] ( PIN wbs_dat_o[5] ) ( mprj wbs_dat_o[5] ) + USE SIGNAL
+ ROUTED met2 ( 476330 420070 ) ( * 430100 0 )
NEW met2 ( 162150 2380 0 ) ( * 7820 )
NEW met2 ( 162150 7820 ) ( 162610 * )
NEW met2 ( 162610 7820 ) ( * 15130 )
NEW met1 ( 162610 15130 ) ( 334650 * )
NEW met1 ( 335110 420070 ) ( 476330 * )
NEW met2 ( 334650 15130 ) ( * 324300 )
NEW met2 ( 334650 324300 ) ( 335110 * )
NEW met2 ( 335110 324300 ) ( * 420070 )
NEW met1 ( 476330 420070 ) M1M2_PR
NEW met1 ( 162610 15130 ) M1M2_PR
NEW met1 ( 334650 15130 ) M1M2_PR
NEW met1 ( 335110 420070 ) M1M2_PR ;
- wbs_dat_o[6] ( PIN wbs_dat_o[6] ) ( mprj wbs_dat_o[6] ) + USE SIGNAL
+ ROUTED met2 ( 180090 2380 0 ) ( * 16150 )
NEW met1 ( 180090 16150 ) ( 185610 * )
NEW met2 ( 185610 16150 ) ( * 34500 )
NEW met2 ( 185610 34500 ) ( 186070 * )
NEW met2 ( 186070 34500 ) ( * 418370 )
NEW met2 ( 495650 418370 ) ( * 430100 0 )
NEW met1 ( 186070 418370 ) ( 495650 * )
NEW met1 ( 180090 16150 ) M1M2_PR
NEW met1 ( 185610 16150 ) M1M2_PR
NEW met1 ( 186070 418370 ) M1M2_PR
NEW met1 ( 495650 418370 ) M1M2_PR ;
- wbs_dat_o[7] ( PIN wbs_dat_o[7] ) ( mprj wbs_dat_o[7] ) + USE SIGNAL
+ ROUTED met2 ( 198030 2380 0 ) ( * 14790 )
NEW met2 ( 514970 419730 ) ( * 430100 0 )
NEW met1 ( 198030 14790 ) ( 348450 * )
NEW met1 ( 348450 419730 ) ( 514970 * )
NEW met2 ( 348450 14790 ) ( * 419730 )
NEW met1 ( 198030 14790 ) M1M2_PR
NEW met1 ( 514970 419730 ) M1M2_PR
NEW met1 ( 348450 14790 ) M1M2_PR
NEW met1 ( 348450 419730 ) M1M2_PR ;
- wbs_dat_o[8] ( PIN wbs_dat_o[8] ) ( mprj wbs_dat_o[8] ) + USE SIGNAL
+ ROUTED met2 ( 215510 2380 0 ) ( * 14450 )
NEW met1 ( 215510 14450 ) ( 355350 * )
NEW met1 ( 355350 419390 ) ( 533830 * )
NEW met2 ( 355350 14450 ) ( * 419390 )
NEW met2 ( 533830 419390 ) ( * 430100 0 )
NEW met1 ( 215510 14450 ) M1M2_PR
NEW met1 ( 355350 14450 ) M1M2_PR
NEW met1 ( 355350 419390 ) M1M2_PR
NEW met1 ( 533830 419390 ) M1M2_PR ;
- wbs_dat_o[9] ( PIN wbs_dat_o[9] ) ( mprj wbs_dat_o[9] ) + USE SIGNAL
+ ROUTED met1 ( 234370 417350 ) ( 553150 * )
NEW met2 ( 233450 2380 0 ) ( * 34500 )
NEW met2 ( 233450 34500 ) ( 234370 * )
NEW met2 ( 234370 34500 ) ( * 417350 )
NEW met2 ( 553150 417350 ) ( * 430100 0 )
NEW met1 ( 234370 417350 ) M1M2_PR
NEW met1 ( 553150 417350 ) M1M2_PR ;
- wbs_sel_i[0] ( PIN wbs_sel_i[0] ) ( mprj wbs_sel_i[0] ) + USE SIGNAL
+ ROUTED met2 ( 55890 2380 0 ) ( * 18530 )
NEW met1 ( 55890 18530 ) ( 359030 * )
NEW met2 ( 359030 430100 ) ( 360410 * 0 )
NEW met2 ( 359030 18530 ) ( * 430100 )
NEW met1 ( 55890 18530 ) M1M2_PR
NEW met1 ( 359030 18530 ) M1M2_PR ;
- wbs_sel_i[1] ( PIN wbs_sel_i[1] ) ( mprj wbs_sel_i[1] ) + USE SIGNAL
+ ROUTED met2 ( 386170 414630 ) ( * 430100 0 )
NEW met2 ( 79810 2380 0 ) ( * 20230 )
NEW met1 ( 79810 20230 ) ( 369150 * )
NEW met1 ( 369150 414630 ) ( 386170 * )
NEW met2 ( 369150 20230 ) ( * 414630 )
NEW met1 ( 386170 414630 ) M1M2_PR
NEW met1 ( 79810 20230 ) M1M2_PR
NEW met1 ( 369150 20230 ) M1M2_PR
NEW met1 ( 369150 414630 ) M1M2_PR ;
- wbs_sel_i[2] ( PIN wbs_sel_i[2] ) ( mprj wbs_sel_i[2] ) + USE SIGNAL
+ ROUTED met2 ( 103270 2380 0 ) ( * 16830 )
NEW met1 ( 376050 414290 ) ( 411930 * )
NEW met2 ( 376050 16830 ) ( * 414290 )
NEW met2 ( 411930 414290 ) ( * 430100 0 )
NEW met1 ( 103270 16830 ) ( 376050 * )
NEW met1 ( 103270 16830 ) M1M2_PR
NEW met1 ( 376050 16830 ) M1M2_PR
NEW met1 ( 376050 414290 ) M1M2_PR
NEW met1 ( 411930 414290 ) M1M2_PR ;
- wbs_sel_i[3] ( PIN wbs_sel_i[3] ) ( mprj wbs_sel_i[3] ) + USE SIGNAL
+ ROUTED met2 ( 126730 2380 0 ) ( * 17510 )
NEW met1 ( 126730 17510 ) ( 130870 * )
NEW met2 ( 130870 17510 ) ( * 417690 )
NEW met1 ( 130870 417690 ) ( 437690 * )
NEW met2 ( 437690 417690 ) ( * 430100 0 )
NEW met1 ( 126730 17510 ) M1M2_PR
NEW met1 ( 130870 17510 ) M1M2_PR
NEW met1 ( 130870 417690 ) M1M2_PR
NEW met1 ( 437690 417690 ) M1M2_PR ;
- wbs_stb_i ( PIN wbs_stb_i ) ( mprj wbs_stb_i ) + USE SIGNAL
+ ROUTED met2 ( 26450 2380 0 ) ( * 19550 )
NEW met1 ( 26450 19550 ) ( 324530 * )
NEW met2 ( 324530 430100 ) ( 328210 * 0 )
NEW met2 ( 324530 19550 ) ( * 430100 )
NEW met1 ( 26450 19550 ) M1M2_PR
NEW met1 ( 324530 19550 ) M1M2_PR ;
- wbs_we_i ( PIN wbs_we_i ) ( mprj wbs_we_i ) + USE SIGNAL
+ ROUTED met2 ( 32430 2380 0 ) ( * 34500 )
NEW met2 ( 32430 34500 ) ( 34270 * )
NEW met2 ( 34270 34500 ) ( * 419390 )
NEW met1 ( 34270 419390 ) ( 334650 * )
NEW met2 ( 334650 419390 ) ( * 430100 0 )
NEW met1 ( 34270 419390 ) M1M2_PR
NEW met1 ( 334650 419390 ) M1M2_PR ;
- zero_ ( PIN la_oenb[9] ) ( PIN la_oenb[99] ) ( PIN la_oenb[98] ) ( PIN la_oenb[97] ) ( PIN la_oenb[96] ) ( PIN la_oenb[95] ) ( PIN la_oenb[94] )
( PIN la_oenb[93] ) ( PIN la_oenb[92] ) ( PIN la_oenb[91] ) ( PIN la_oenb[90] ) ( PIN la_oenb[8] ) ( PIN la_oenb[89] ) ( PIN la_oenb[88] ) ( PIN la_oenb[87] )
( PIN la_oenb[86] ) ( PIN la_oenb[85] ) ( PIN la_oenb[84] ) ( PIN la_oenb[83] ) ( PIN la_oenb[82] ) ( PIN la_oenb[81] ) ( PIN la_oenb[80] ) ( PIN la_oenb[7] )
( PIN la_oenb[79] ) ( PIN la_oenb[78] ) ( PIN la_oenb[77] ) ( PIN la_oenb[76] ) ( PIN la_oenb[75] ) ( PIN la_oenb[74] ) ( PIN la_oenb[73] ) ( PIN la_oenb[72] )
( PIN la_oenb[71] ) ( PIN la_oenb[70] ) ( PIN la_oenb[6] ) ( PIN la_oenb[69] ) ( PIN la_oenb[68] ) ( PIN la_oenb[67] ) ( PIN la_oenb[66] ) ( PIN la_oenb[65] )
( PIN la_oenb[64] ) ( PIN la_oenb[63] ) ( PIN la_oenb[62] ) ( PIN la_oenb[61] ) ( PIN la_oenb[60] ) ( PIN la_oenb[5] ) ( PIN la_oenb[59] ) ( PIN la_oenb[58] )
( PIN la_oenb[57] ) ( PIN la_oenb[56] ) ( PIN la_oenb[55] ) ( PIN la_oenb[54] ) ( PIN la_oenb[53] ) ( PIN la_oenb[52] ) ( PIN la_oenb[51] ) ( PIN la_oenb[50] )
( PIN la_oenb[4] ) ( PIN la_oenb[49] ) ( PIN la_oenb[48] ) ( PIN la_oenb[47] ) ( PIN la_oenb[46] ) ( PIN la_oenb[45] ) ( PIN la_oenb[44] ) ( PIN la_oenb[43] )
( PIN la_oenb[42] ) ( PIN la_oenb[41] ) ( PIN la_oenb[40] ) ( PIN la_oenb[3] ) ( PIN la_oenb[39] ) ( PIN la_oenb[38] ) ( PIN la_oenb[37] ) ( PIN la_oenb[36] )
( PIN la_oenb[35] ) ( PIN la_oenb[34] ) ( PIN la_oenb[33] ) ( PIN la_oenb[32] ) ( PIN la_oenb[31] ) ( PIN la_oenb[30] ) ( PIN la_oenb[2] ) ( PIN la_oenb[29] )
( PIN la_oenb[28] ) ( PIN la_oenb[27] ) ( PIN la_oenb[26] ) ( PIN la_oenb[25] ) ( PIN la_oenb[24] ) ( PIN la_oenb[23] ) ( PIN la_oenb[22] ) ( PIN la_oenb[21] )
( PIN la_oenb[20] ) ( PIN la_oenb[1] ) ( PIN la_oenb[19] ) ( PIN la_oenb[18] ) ( PIN la_oenb[17] ) ( PIN la_oenb[16] ) ( PIN la_oenb[15] ) ( PIN la_oenb[14] )
( PIN la_oenb[13] ) ( PIN la_oenb[12] ) ( PIN la_oenb[127] ) ( PIN la_oenb[126] ) ( PIN la_oenb[125] ) ( PIN la_oenb[124] ) ( PIN la_oenb[123] ) ( PIN la_oenb[122] )
( PIN la_oenb[121] ) ( PIN la_oenb[120] ) ( PIN la_oenb[11] ) ( PIN la_oenb[119] ) ( PIN la_oenb[118] ) ( PIN la_oenb[117] ) ( PIN la_oenb[116] ) ( PIN la_oenb[115] )
( PIN la_oenb[114] ) ( PIN la_oenb[113] ) ( PIN la_oenb[112] ) ( PIN la_oenb[111] ) ( PIN la_oenb[110] ) ( PIN la_oenb[10] ) ( PIN la_oenb[109] ) ( PIN la_oenb[108] )
( PIN la_oenb[107] ) ( PIN la_oenb[106] ) ( PIN la_oenb[105] ) ( PIN la_oenb[104] ) ( PIN la_oenb[103] ) ( PIN la_oenb[102] ) ( PIN la_oenb[101] ) ( PIN la_oenb[100] )
( PIN la_oenb[0] ) ( PIN la_data_out[9] ) ( PIN la_data_out[99] ) ( PIN la_data_out[98] ) ( PIN la_data_out[97] ) ( PIN la_data_out[96] ) ( PIN la_data_out[95] ) ( PIN la_data_out[94] )
( PIN la_data_out[93] ) ( PIN la_data_out[92] ) ( PIN la_data_out[91] ) ( PIN la_data_out[90] ) ( PIN la_data_out[8] ) ( PIN la_data_out[89] ) ( PIN la_data_out[88] ) ( PIN la_data_out[87] )
( PIN la_data_out[86] ) ( PIN la_data_out[85] ) ( PIN la_data_out[84] ) ( PIN la_data_out[83] ) ( PIN la_data_out[82] ) ( PIN la_data_out[81] ) ( PIN la_data_out[80] ) ( PIN la_data_out[7] )
( PIN la_data_out[79] ) ( PIN la_data_out[78] ) ( PIN la_data_out[77] ) ( PIN la_data_out[76] ) ( PIN la_data_out[75] ) ( PIN la_data_out[74] ) ( PIN la_data_out[73] ) ( PIN la_data_out[72] )
( PIN la_data_out[71] ) ( PIN la_data_out[70] ) ( PIN la_data_out[6] ) ( PIN la_data_out[69] ) ( PIN la_data_out[68] ) ( PIN la_data_out[67] ) ( PIN la_data_out[66] ) ( PIN la_data_out[65] )
( PIN la_data_out[64] ) ( PIN la_data_out[63] ) ( PIN la_data_out[62] ) ( PIN la_data_out[61] ) ( PIN la_data_out[60] ) ( PIN la_data_out[5] ) ( PIN la_data_out[59] ) ( PIN la_data_out[58] )
( PIN la_data_out[57] ) ( PIN la_data_out[56] ) ( PIN la_data_out[55] ) ( PIN la_data_out[54] ) ( PIN la_data_out[53] ) ( PIN la_data_out[52] ) ( PIN la_data_out[51] ) ( PIN la_data_out[50] )
( PIN la_data_out[4] ) ( PIN la_data_out[49] ) ( PIN la_data_out[48] ) ( PIN la_data_out[47] ) ( PIN la_data_out[46] ) ( PIN la_data_out[45] ) ( PIN la_data_out[44] ) ( PIN la_data_out[43] )
( PIN la_data_out[42] ) ( PIN la_data_out[41] ) ( PIN la_data_out[40] ) ( PIN la_data_out[3] ) ( PIN la_data_out[39] ) ( PIN la_data_out[38] ) ( PIN la_data_out[37] ) ( PIN la_data_out[36] )
( PIN la_data_out[35] ) ( PIN la_data_out[34] ) ( PIN la_data_out[33] ) ( PIN la_data_out[32] ) ( PIN la_data_out[31] ) ( PIN la_data_out[30] ) ( PIN la_data_out[2] ) ( PIN la_data_out[29] )
( PIN la_data_out[28] ) ( PIN la_data_out[27] ) ( PIN la_data_out[26] ) ( PIN la_data_out[25] ) ( PIN la_data_out[24] ) ( PIN la_data_out[23] ) ( PIN la_data_out[22] ) ( PIN la_data_out[21] )
( PIN la_data_out[20] ) ( PIN la_data_out[1] ) ( PIN la_data_out[19] ) ( PIN la_data_out[18] ) ( PIN la_data_out[17] ) ( PIN la_data_out[16] ) ( PIN la_data_out[15] ) ( PIN la_data_out[14] )
( PIN la_data_out[13] ) ( PIN la_data_out[12] ) ( PIN la_data_out[127] ) ( PIN la_data_out[126] ) ( PIN la_data_out[125] ) ( PIN la_data_out[124] ) ( PIN la_data_out[123] ) ( PIN la_data_out[122] )
( PIN la_data_out[121] ) ( PIN la_data_out[120] ) ( PIN la_data_out[11] ) ( PIN la_data_out[119] ) ( PIN la_data_out[118] ) ( PIN la_data_out[117] ) ( PIN la_data_out[116] ) ( PIN la_data_out[115] )
( PIN la_data_out[114] ) ( PIN la_data_out[113] ) ( PIN la_data_out[112] ) ( PIN la_data_out[111] ) ( PIN la_data_out[110] ) ( PIN la_data_out[10] ) ( PIN la_data_out[109] ) ( PIN la_data_out[108] )
( PIN la_data_out[107] ) ( PIN la_data_out[106] ) ( PIN la_data_out[105] ) ( PIN la_data_out[104] ) ( PIN la_data_out[103] ) ( PIN la_data_out[102] ) ( PIN la_data_out[101] ) ( PIN la_data_out[100] )
( PIN la_data_out[0] ) ( sram1 csb1 ) ( sram1 clk1 ) ( sram1 addr1[8] ) ( sram1 addr1[7] ) ( sram1 addr1[6] ) ( sram1 addr1[5] ) ( sram1 addr1[4] )
( sram1 addr1[3] ) ( sram1 addr1[2] ) ( sram1 addr1[1] ) ( sram1 addr1[0] ) ( sram csb1 ) ( sram clk1 ) ( sram addr1[8] ) ( sram addr1[7] )
( sram addr1[6] ) ( sram addr1[5] ) ( sram addr1[4] ) ( sram addr1[3] ) ( sram addr1[2] ) ( sram addr1[1] ) ( sram addr1[0] ) + USE GROUND ;
END NETS
END DESIGN