blob: 4d274807d454827fc37998b4d929d1b49d26d701 [file] [log] [blame]
<style>
#data {
font-family: Trebuchet MS, Arial, Helvetica, sans-serif;
border-collapse: collapse;
width: 99%;
max-width: 799px
}
#data td, #data th {
border: 0px solid #ddd;
padding: 7px;
}
#data tr:nth-child(even){background-color: #f1f2f2;}
#data tr:hover {background-color: #ddd;}
#data th {
padding-top: 11px;
padding-bottom: 11px;
text-align: left;
background-color: #003C6C;
color: #FDC700;
}
</style>
<!--sram_32_256_sky130A,256,1,1,0,0,sky130A,25,1.8,TT,1.846,/home/shon/vsdsram_sky130/my_sram_project/sram_32_256_1/,/home/shon/vsdsram_sky130/my_sram_project/sram_32_256_1/sram_32_256_sky130A_TT_1p8V_25C.lib,32,c88da3ab0762f46cd1c910bc0e9af489755b0b7a,2021-10-15,True,skipped,skipped,205521.54919999998,din0[31:0],0.103,0.103,0.103,0.103,-0.056,-0.056,-0.056,-0.056,dout0[31:0],0.354,0.499,0.354,0.499,0.002,0.016,0.002,0.016,csb0,0.103,0.103,0.103,0.103,-0.056,-0.056,-0.056,-0.056,addr0[7:0],0.103,0.103,0.103,0.103,-0.056,-0.056,-0.056,-0.056,web0,0.103,0.103,0.103,0.103,-0.056,-0.056,-0.056,-0.056,power,!csb0 & clk0 & !web0,Read,2.2331836866240002,power,!csb0 & !clk0 & web0,Write,2.2331836866240002,leak,csb0,0.008608,sim_time,1.289489507675171,words_per_row,4,slews,[0.00125, 0.005, 0.04],loads,[1.7225, 6.89, 27.56],cell_rise_0,[0.3535507868303572, 0.38261797433035716, 0.49888672433035713, 0.3535507868303572, 0.38261797433035716, 0.49888672433035713, 0.3535507868303572, 0.38261797433035716, 0.49888672433035713],cell_fall_0,[0.3535507868303572, 0.38261797433035716, 0.49888672433035713, 0.3535507868303572, 0.38261797433035716, 0.49888672433035713, 0.3535507868303572, 0.38261797433035716, 0.49888672433035713],rise_transition_0,[0.00167765625, 0.004584374999999999, 0.016211249999999996, 0.00167765625, 0.004584374999999999, 0.016211249999999996, 0.00167765625, 0.004584374999999999, 0.016211249999999996],fall_transition_0,[0.00167765625, 0.004584374999999999, 0.016211249999999996, 0.00167765625, 0.004584374999999999, 0.016211249999999996, 0.00167765625, 0.004584374999999999, 0.016211249999999996],write_rise_power_0,4.466367373248,write_fall_power_0,4.466367373248,read_rise_power_0,4.466367373248,read_fall_power_0,4.466367373248,END
sram_32_256_sky130A,256,1,1,0,0,sky130A,25,1.8,FF,1.661,/home/shon/vsdsram_sky130/my_sram_project/sram_32_256_1/,/home/shon/vsdsram_sky130/my_sram_project/sram_32_256_1/sram_32_256_sky130A_FF_1p8V_25C.lib,32,c88da3ab0762f46cd1c910bc0e9af489755b0b7a,2021-10-15,True,skipped,skipped,205521.54919999998,din0[31:0],0.103,0.103,0.103,0.103,-0.056,-0.056,-0.056,-0.056,dout0[31:0],0.318,0.449,0.318,0.449,0.002,0.015,0.002,0.015,csb0,0.103,0.103,0.103,0.103,-0.056,-0.056,-0.056,-0.056,addr0[7:0],0.103,0.103,0.103,0.103,-0.056,-0.056,-0.056,-0.056,web0,0.103,0.103,0.103,0.103,-0.056,-0.056,-0.056,-0.056,power,!csb0 & clk0 & !web0,Read,2.48131520736,power,!csb0 & !clk0 & web0,Write,2.48131520736,leak,csb0,0.008608,sim_time,1.2535121440887451,words_per_row,4,slews,[0.00125, 0.005, 0.04],loads,[1.7225, 6.89, 27.56],cell_rise_0,[0.31819570814732134, 0.34435617689732134, 0.4489980518973214, 0.31819570814732134, 0.34435617689732134, 0.4489980518973214, 0.31819570814732134, 0.34435617689732134, 0.4489980518973214],cell_fall_0,[0.31819570814732134, 0.34435617689732134, 0.4489980518973214, 0.31819570814732134, 0.34435617689732134, 0.4489980518973214, 0.31819570814732134, 0.34435617689732134, 0.4489980518973214],rise_transition_0,[0.0015098906249999997, 0.004125937499999999, 0.014590124999999999, 0.0015098906249999997, 0.004125937499999999, 0.014590124999999999, 0.0015098906249999997, 0.004125937499999999, 0.014590124999999999],fall_transition_0,[0.0015098906249999997, 0.004125937499999999, 0.014590124999999999, 0.0015098906249999997, 0.004125937499999999, 0.014590124999999999, 0.0015098906249999997, 0.004125937499999999, 0.014590124999999999],write_rise_power_0,4.962630414720001,write_fall_power_0,4.962630414720001,read_rise_power_0,4.962630414720001,read_fall_power_0,4.962630414720001,END
sram_32_256_sky130A,256,1,1,0,0,sky130A,25,1.8,SS,2.03,/home/shon/vsdsram_sky130/my_sram_project/sram_32_256_1/,/home/shon/vsdsram_sky130/my_sram_project/sram_32_256_1/sram_32_256_sky130A_SS_1p8V_25C.lib,32,c88da3ab0762f46cd1c910bc0e9af489755b0b7a,2021-10-15,True,skipped,skipped,205521.54919999998,din0[31:0],0.103,0.103,0.103,0.103,-0.056,-0.056,-0.056,-0.056,dout0[31:0],0.389,0.549,0.389,0.549,0.002,0.018,0.002,0.018,csb0,0.103,0.103,0.103,0.103,-0.056,-0.056,-0.056,-0.056,addr0[7:0],0.103,0.103,0.103,0.103,-0.056,-0.056,-0.056,-0.056,web0,0.103,0.103,0.103,0.103,-0.056,-0.056,-0.056,-0.056,power,!csb0 & clk0 & !web0,Read,2.0301669878399995,power,!csb0 & !clk0 & web0,Write,2.0301669878399995,leak,csb0,0.008608,sim_time,1.285630702972412,words_per_row,4,slews,[0.00125, 0.005, 0.04],loads,[1.7225, 6.89, 27.56],cell_rise_0,[0.38890586551339296, 0.4208797717633929, 0.5487753967633929, 0.38890586551339296, 0.4208797717633929, 0.5487753967633929, 0.38890586551339296, 0.4208797717633929, 0.5487753967633929],cell_fall_0,[0.38890586551339296, 0.4208797717633929, 0.5487753967633929, 0.38890586551339296, 0.4208797717633929, 0.5487753967633929, 0.38890586551339296, 0.4208797717633929, 0.5487753967633929],rise_transition_0,[0.001845421875, 0.0050428124999999996, 0.017832374999999994, 0.001845421875, 0.0050428124999999996, 0.017832374999999994, 0.001845421875, 0.0050428124999999996, 0.017832374999999994],fall_transition_0,[0.001845421875, 0.0050428124999999996, 0.017832374999999994, 0.001845421875, 0.0050428124999999996, 0.017832374999999994, 0.001845421875, 0.0050428124999999996, 0.017832374999999994],write_rise_power_0,4.060333975679999,write_fall_power_0,4.060333975679999,read_rise_power_0,4.060333975679999,read_fall_power_0,4.060333975679999,END
--><a href="https://vlsida.soe.ucsc.edu/"><img src="data:image/png;base64,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" alt="VLSIDA"></a><a href ="https://github.com/VLSIDA/OpenRAM"><img src ="data:image/png;base64,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" alt = "OpenRAM"></a><p style="font-size: 18px;font-family: Trebuchet MS, Arial, Helvetica, sans-serif;">sram_32_256_sky130A.html</p><p style="font-size: 18px;font-family: Trebuchet MS, Arial, Helvetica, sans-serif;">Compiled at: 2021-10-15</p><p style="font-size: 18px;font-family: Trebuchet MS, Arial, Helvetica, sans-serif;">DRC errors: skipped</p><p style="font-size: 18px;font-family: Trebuchet MS, Arial, Helvetica, sans-serif;">LVS errors: skipped</p><p style="font-size: 18px;font-family: Trebuchet MS, Arial, Helvetica, sans-serif;">Git commit id: c88da3ab0762f46cd1c910bc0e9af489755b0b7a</p><p style="font-size: 26px;font-family: Trebuchet MS, Arial, Helvetica, sans-serif;">Ports and Configuration</p>
<table id= "data">
<thead>
<tr>
<th>Type</th>
<th>Value</th>
</tr>
</thead>
<tbody>
<tr>
<tr>
<td>WORD_SIZE</td>
<td>32</td>
</tr>
<tr>
<td>NUM_WORDS</td>
<td>256</td>
</tr>
<tr>
<td>NUM_BANKS</td>
<td>1</td>
</tr>
<tr>
<td>NUM_RW_PORTS</td>
<td>1</td>
</tr>
<tr>
<td>NUM_R_PORTS</td>
<td>0</td>
</tr>
<tr>
<td>NUM_W_PORTS</td>
<td>0</td>
</tr>
<tr>
<td>Area (&microm<sup>2</sup>)</td>
<td>205522</td>
</tr>
</tr>
</tbody>
</table>
<p style="font-size: 26px;font-family: Trebuchet MS, Arial, Helvetica, sans-serif;">Operating Conditions</p><table id= "data"><thead><tr><th>Parameter</th><th>Min</th><th>Typ</th><th>Max</th><th>Units</th></tr></thead><tbody><tr><tr><td>Power supply (VDD) range</td><td>1.8</td><td>1.8</td><td>1.8</td><td>Volts</td></tr><tr><td>Operating Temperature</td><td>25</td><td>25</td><td>25</td><td>Celsius</td></tr><tr><td>Operating Frequency (F)</td><td></td><td></td><td>541</td><td>MHz</td></tr></tr></tbody></table>
<p style="font-size: 26px;font-family: Trebuchet MS, Arial, Helvetica, sans-serif;">Timing Data</p><p style="font-size: 26px;font-family: Trebuchet MS, Arial, Helvetica, sans-serif;">Using analytical model: results may not be precise</p><table id= "data"><thead><tr><th>Parameter</th><th>Min</th><th>Max</th><th>Units</th></tr></thead><tbody><tr><tr><td>din0[31:0] setup rising</td><td>0.103</td><td>0.103</td><td>ns</td></tr><tr><td>din0[31:0] setup falling</td><td>0.103</td><td>0.103</td><td>ns</td></tr><tr><td>din0[31:0] hold rising</td><td>-0.056</td><td>-0.056</td><td>ns</td></tr><tr><td>din0[31:0] hold falling</td><td>-0.056</td><td>-0.056</td><td>ns</td></tr><tr><td>dout0[31:0] cell rise</td><td>0.318</td><td>0.549</td><td>ns</td></tr><tr><td>dout0[31:0] cell fall</td><td>0.354</td><td>0.499</td><td>ns</td></tr><tr><td>dout0[31:0] rise transition</td><td>0.002</td><td>0.016</td><td>ns</td></tr><tr><td>dout0[31:0] fall transition</td><td>0.002</td><td>0.016</td><td>ns</td></tr><tr><td>csb0 setup rising</td><td>0.103</td><td>0.103</td><td>ns</td></tr><tr><td>csb0 setup falling</td><td>0.103</td><td>0.103</td><td>ns</td></tr><tr><td>csb0 hold rising</td><td>-0.056</td><td>-0.056</td><td>ns</td></tr><tr><td>csb0 hold falling</td><td>-0.056</td><td>-0.056</td><td>ns</td></tr><tr><td>addr0[7:0] setup rising</td><td>0.103</td><td>0.103</td><td>ns</td></tr><tr><td>addr0[7:0] setup falling</td><td>0.103</td><td>0.103</td><td>ns</td></tr><tr><td>addr0[7:0] hold rising</td><td>-0.056</td><td>-0.056</td><td>ns</td></tr><tr><td>addr0[7:0] hold falling</td><td>-0.056</td><td>-0.056</td><td>ns</td></tr><tr><td>web0 setup rising</td><td>0.103</td><td>0.103</td><td>ns</td></tr><tr><td>web0 setup falling</td><td>0.103</td><td>0.103</td><td>ns</td></tr><tr><td>web0 hold rising</td><td>-0.056</td><td>-0.056</td><td>ns</td></tr><tr><td>web0 hold falling</td><td>-0.056</td><td>-0.056</td><td>ns</td></tr></tr></tbody></table>
<p style="font-size: 26px;font-family: Trebuchet MS, Arial, Helvetica, sans-serif;">Power Data</p><table id= "data"><thead><tr><th>Pins</th><th>Mode</th><th>Power</th><th>Units</th></tr></thead><tbody><tr><tr><td>!csb0 & clk0 & !web0</td><td>Read Rising</td><td>2.2331</td><td>mW</td></tr><tr><td>!csb0 & clk0 & !web0</td><td>Read Falling</td><td>2.2331</td><td>mW</td></tr><tr><td>!csb0 & !clk0 & web0</td><td>Write Rising</td><td>2.2331</td><td>mW</td></tr><tr><td>!csb0 & !clk0 & web0</td><td>Write Falling</td><td>2.2331</td><td>mW</td></tr><tr><td>csb0</td><td>leakage</td><td>0.008608</td><td>mW</td></tr></tr></tbody></table>
<p style="font-size: 26px;font-family: Trebuchet MS, Arial, Helvetica, sans-serif;">Characterization Corners</p><table id= "data"><thead><tr><th>Transistor Type</th><th>Power Supply</th><th>Temperature</th><th>Corner Name</th></tr></thead><tbody><tr><tr><td>TT</td><td>1.8</td><td>25</td><td>_TT_1p8V_25C.lib</td></tr><tr><td>FF</td><td>1.8</td><td>25</td><td>_FF_1p8V_25C.lib</td></tr><tr><td>SS</td><td>1.8</td><td>25</td><td>_SS_1p8V_25C.lib</td></tr></tr></tbody></table>
<p style="font-size: 26px;font-family: Trebuchet MS, Arial, Helvetica, sans-serif;">Deliverables</p><table id= "data"><thead><tr><th>Type</th><th>Description</th><th>Link</th></tr></thead><tbody><tr><!--.db<tr><td>.db</td><td>Compiled .lib</td><td><a href="sram_32_256_sky130A_TT_1p8V_25C.db">sram_32_256_sky130A_TT_1p8V_25C.db</a></td></tr>.db--><!--.db<tr><td>.db</td><td>Compiled .lib</td><td><a href="sram_32_256_sky130A_FF_1p8V_25C.db">sram_32_256_sky130A_FF_1p8V_25C.db</a></td></tr>.db--><!--.db<tr><td>.db</td><td>Compiled .lib</td><td><a href="sram_32_256_sky130A_SS_1p8V_25C.db">sram_32_256_sky130A_SS_1p8V_25C.db</a></td></tr>.db--><tr><td>.gds</td><td>GDSII layout views</td><td><a href="sram_32_256_sky130A.gds">sram_32_256_sky130A.gds</a></td></tr><tr><td>.html</td><td>This datasheet</td><td><a href="sram_32_256_sky130A.html">sram_32_256_sky130A.html</a></td></tr><tr><td>.lef</td><td>LEF files</td><td><a href="sram_32_256_sky130A.lef">sram_32_256_sky130A.lef</a></td></tr><tr><td>.lib</td><td>Synthesis models</td><td><a href="sram_32_256_sky130A_TT_1p8V_25C.lib">sram_32_256_sky130A_TT_1p8V_25C.lib</a></td></tr><tr><td>.lib</td><td>Synthesis models</td><td><a href="file:///home/shon/vsdsram_sky130/my_sram_project/sram_32_256_1/sram_32_256_sky130A_FF_1p8V_25C.lib">sram_32_256_sky130A_FF_1p8V_25C.lib</a></td></tr><tr><td>.lib</td><td>Synthesis models</td><td><a href="file:///home/shon/vsdsram_sky130/my_sram_project/sram_32_256_1/sram_32_256_sky130A_SS_1p8V_25C.lib">sram_32_256_sky130A_SS_1p8V_25C.lib</a></td></tr><tr><td>.log</td><td>OpenRAM compile log</td><td><a href="sram_32_256_sky130A.log">sram_32_256_sky130A.log</a></td></tr><tr><td>.py</td><td>OpenRAM configuration file</td><td><a href="sram_32_256_sky130A.py">sram_32_256_sky130A.py</a></td></tr><tr><td>.sp</td><td>SPICE netlists</td><td><a href="sram_32_256_sky130A.sp">sram_32_256_sky130A.sp</a></td></tr><tr><td>.v</td><td>Verilog simulation models</td><td><a href="sram_32_256_sky130A.v">sram_32_256_sky130A.v</a></td></tr></tr></tbody></table>