Changed netnames from VDD to VPWR
diff --git a/cells/gds/AND2X1.gds b/cells/gds/AND2X1.gds
index 6d0825c..a3e2eff 100644
--- a/cells/gds/AND2X1.gds
+++ b/cells/gds/AND2X1.gds
Binary files differ
diff --git a/cells/gds/AND2X2.gds b/cells/gds/AND2X2.gds
index 7b89860..133c5d4 100644
--- a/cells/gds/AND2X2.gds
+++ b/cells/gds/AND2X2.gds
Binary files differ
diff --git a/cells/gds/AOI21X1.gds b/cells/gds/AOI21X1.gds
index efa4a70..2d602d0 100644
--- a/cells/gds/AOI21X1.gds
+++ b/cells/gds/AOI21X1.gds
Binary files differ
diff --git a/cells/gds/AOI22X1.gds b/cells/gds/AOI22X1.gds
index eb7a58a..ecd6171 100644
--- a/cells/gds/AOI22X1.gds
+++ b/cells/gds/AOI22X1.gds
Binary files differ
diff --git a/cells/gds/BUFX2.gds b/cells/gds/BUFX2.gds
index 4491120..a380cf5 100644
--- a/cells/gds/BUFX2.gds
+++ b/cells/gds/BUFX2.gds
Binary files differ
diff --git a/cells/gds/BUFX4.gds b/cells/gds/BUFX4.gds
index 6dca590..3269b47 100644
--- a/cells/gds/BUFX4.gds
+++ b/cells/gds/BUFX4.gds
Binary files differ
diff --git a/cells/gds/CLKBUF1.gds b/cells/gds/CLKBUF1.gds
index bf5e262..c999168 100644
--- a/cells/gds/CLKBUF1.gds
+++ b/cells/gds/CLKBUF1.gds
Binary files differ
diff --git a/cells/gds/INV.gds b/cells/gds/INV.gds
index 05d2dc6..e4ea7cf 100644
--- a/cells/gds/INV.gds
+++ b/cells/gds/INV.gds
Binary files differ
diff --git a/cells/gds/INVX1.gds b/cells/gds/INVX1.gds
index 40096cc..80c11fc 100644
--- a/cells/gds/INVX1.gds
+++ b/cells/gds/INVX1.gds
Binary files differ
diff --git a/cells/gds/INVX2.gds b/cells/gds/INVX2.gds
index d74ff94..67fb0b7 100644
--- a/cells/gds/INVX2.gds
+++ b/cells/gds/INVX2.gds
Binary files differ
diff --git a/cells/gds/INVX4.gds b/cells/gds/INVX4.gds
index f927ec4..ad5a6fa 100644
--- a/cells/gds/INVX4.gds
+++ b/cells/gds/INVX4.gds
Binary files differ
diff --git a/cells/gds/INVX8.gds b/cells/gds/INVX8.gds
index 0408cf4..6a5fcb6 100644
--- a/cells/gds/INVX8.gds
+++ b/cells/gds/INVX8.gds
Binary files differ
diff --git a/cells/gds/MUX2X1.gds b/cells/gds/MUX2X1.gds
index 7d97c65..518232d 100644
--- a/cells/gds/MUX2X1.gds
+++ b/cells/gds/MUX2X1.gds
Binary files differ
diff --git a/cells/gds/NAND2X1.gds b/cells/gds/NAND2X1.gds
index acb7279..60050d5 100644
--- a/cells/gds/NAND2X1.gds
+++ b/cells/gds/NAND2X1.gds
Binary files differ
diff --git a/cells/gds/NAND3X1.gds b/cells/gds/NAND3X1.gds
index 49d8cee..7f3e4d9 100644
--- a/cells/gds/NAND3X1.gds
+++ b/cells/gds/NAND3X1.gds
Binary files differ
diff --git a/cells/gds/OR2X1.gds b/cells/gds/OR2X1.gds
index e220b14..c17e57f 100644
--- a/cells/gds/OR2X1.gds
+++ b/cells/gds/OR2X1.gds
Binary files differ
diff --git a/cells/gds/OR2X2.gds b/cells/gds/OR2X2.gds
index cce30e9..de52456 100644
--- a/cells/gds/OR2X2.gds
+++ b/cells/gds/OR2X2.gds
Binary files differ
diff --git a/cells/gds/XNOR2X1.gds b/cells/gds/XNOR2X1.gds
index 2644ef1..2c2e66e 100644
--- a/cells/gds/XNOR2X1.gds
+++ b/cells/gds/XNOR2X1.gds
Binary files differ
diff --git a/cells/gds/XOR2X1.gds b/cells/gds/XOR2X1.gds
index 6141ace..88333e8 100644
--- a/cells/gds/XOR2X1.gds
+++ b/cells/gds/XOR2X1.gds
Binary files differ
diff --git a/cells/lef/AND2X1.lef b/cells/lef/AND2X1.lef
index f3d2e14..dcfe4e8 100644
--- a/cells/lef/AND2X1.lef
+++ b/cells/lef/AND2X1.lef
@@ -9,7 +9,7 @@
   SIZE 5.760 BY 3.330 ;
   SYMMETRY X Y R90 ;
   SITE unit ;
-  PIN vdd
+  PIN VPWR
     DIRECTION INOUT ;
     USE POWER ;
     SHAPE ABUTMENT ;
@@ -37,8 +37,8 @@
         RECT 3.450 2.820 3.530 2.990 ;
         RECT 3.200 2.740 3.530 2.820 ;
     END
-  END vdd
-  PIN gnd
+  END VPWR
+  PIN VGND
     DIRECTION INOUT ;
     USE GROUND ;
     SHAPE ABUTMENT ;
@@ -58,7 +58,7 @@
         RECT 3.200 0.240 3.530 0.340 ;
         RECT 0.000 -0.240 5.760 0.240 ;
     END
-  END gnd
+  END VGND
   PIN Y
     DIRECTION INOUT ;
     USE SIGNAL ;
diff --git a/cells/lef/AND2X1.lef.beforemagic b/cells/lef/AND2X1.lef.beforemagic
index f36124c..183b8e2 100644
--- a/cells/lef/AND2X1.lef.beforemagic
+++ b/cells/lef/AND2X1.lef.beforemagic
@@ -5,7 +5,7 @@
  ORIGIN 0 0 ;
  SYMMETRY X Y R90 ;
  SITE unit ;
-  PIN vdd
+  PIN VPWR
    DIRECTION INOUT ;
    USE POWER ;
    SHAPE ABUTMENT ;
@@ -16,9 +16,9 @@
        LAYER met1 ;
         RECT 0.00000000 3.09000000 5.76000000 3.57000000 ;
     END
-  END vdd
+  END VPWR
 
-  PIN gnd
+  PIN VGND
    DIRECTION INOUT ;
    USE GROUND ;
    SHAPE ABUTMENT ;
@@ -29,7 +29,7 @@
        LAYER met1 ;
         RECT 0.00000000 -0.24000000 5.76000000 0.24000000 ;
     END
-  END gnd
+  END VGND
 
   PIN Y
    DIRECTION INOUT ;
diff --git a/cells/lef/AND2X2.lef b/cells/lef/AND2X2.lef
index 7405ee1..fe5e376 100644
--- a/cells/lef/AND2X2.lef
+++ b/cells/lef/AND2X2.lef
@@ -9,7 +9,7 @@
   SIZE 5.760 BY 3.330 ;
   SYMMETRY X Y R90 ;
   SITE unit ;
-  PIN vdd
+  PIN VPWR
     DIRECTION INOUT ;
     USE POWER ;
     SHAPE ABUTMENT ;
@@ -37,8 +37,8 @@
         RECT 3.450 2.820 3.530 2.990 ;
         RECT 3.200 2.740 3.530 2.820 ;
     END
-  END vdd
-  PIN gnd
+  END VPWR
+  PIN VGND
     DIRECTION INOUT ;
     USE GROUND ;
     SHAPE ABUTMENT ;
@@ -58,7 +58,7 @@
         RECT 3.200 0.240 3.530 0.340 ;
         RECT 0.000 -0.240 5.760 0.240 ;
     END
-  END gnd
+  END VGND
   PIN Y
     DIRECTION INOUT ;
     USE SIGNAL ;
diff --git a/cells/lef/AND2X2.lef.beforemagic b/cells/lef/AND2X2.lef.beforemagic
index 17e060e..8a95474 100644
--- a/cells/lef/AND2X2.lef.beforemagic
+++ b/cells/lef/AND2X2.lef.beforemagic
@@ -5,7 +5,7 @@
  ORIGIN 0 0 ;
  SYMMETRY X Y R90 ;
  SITE unit ;
-  PIN vdd
+  PIN VPWR
    DIRECTION INOUT ;
    USE POWER ;
    SHAPE ABUTMENT ;
@@ -16,9 +16,9 @@
        LAYER met1 ;
         RECT 0.00000000 3.09000000 5.76000000 3.57000000 ;
     END
-  END vdd
+  END VPWR
 
-  PIN gnd
+  PIN VGND
    DIRECTION INOUT ;
    USE GROUND ;
    SHAPE ABUTMENT ;
@@ -29,7 +29,7 @@
        LAYER met1 ;
         RECT 0.00000000 -0.24000000 5.76000000 0.24000000 ;
     END
-  END gnd
+  END VGND
 
   PIN Y
    DIRECTION INOUT ;
diff --git a/cells/lef/AOI21X1.lef b/cells/lef/AOI21X1.lef
index 6b9c0c2..9e5c643 100644
--- a/cells/lef/AOI21X1.lef
+++ b/cells/lef/AOI21X1.lef
@@ -9,7 +9,7 @@
   SIZE 5.760 BY 3.330 ;
   SYMMETRY X Y R90 ;
   SITE unit ;
-  PIN vdd
+  PIN VPWR
     DIRECTION INOUT ;
     USE POWER ;
     SHAPE ABUTMENT ;
@@ -29,8 +29,8 @@
         RECT 3.450 2.820 3.530 2.990 ;
         RECT 3.200 2.740 3.530 2.820 ;
     END
-  END vdd
-  PIN gnd
+  END VPWR
+  PIN VGND
     DIRECTION INOUT ;
     USE GROUND ;
     SHAPE ABUTMENT ;
@@ -46,7 +46,7 @@
         RECT 1.760 0.240 2.090 0.340 ;
         RECT 0.000 -0.240 5.760 0.240 ;
     END
-  END gnd
+  END VGND
   PIN Y
     DIRECTION INOUT ;
     USE SIGNAL ;
diff --git a/cells/lef/AOI21X1.lef.beforemagic b/cells/lef/AOI21X1.lef.beforemagic
index eeff8b3..4e34cbd 100644
--- a/cells/lef/AOI21X1.lef.beforemagic
+++ b/cells/lef/AOI21X1.lef.beforemagic
@@ -5,7 +5,7 @@
  ORIGIN 0 0 ;
  SYMMETRY X Y R90 ;
  SITE unit ;
-  PIN vdd
+  PIN VPWR
    DIRECTION INOUT ;
    USE POWER ;
    SHAPE ABUTMENT ;
@@ -16,9 +16,9 @@
        LAYER met1 ;
         RECT 0.00000000 3.09000000 5.76000000 3.57000000 ;
     END
-  END vdd
+  END VPWR
 
-  PIN gnd
+  PIN VGND
    DIRECTION INOUT ;
    USE GROUND ;
    SHAPE ABUTMENT ;
@@ -29,7 +29,7 @@
        LAYER met1 ;
         RECT 0.00000000 -0.24000000 5.76000000 0.24000000 ;
     END
-  END gnd
+  END VGND
 
   PIN Y
    DIRECTION INOUT ;
diff --git a/cells/lef/AOI22X1.lef b/cells/lef/AOI22X1.lef
index 0482f94..618c08e 100644
--- a/cells/lef/AOI22X1.lef
+++ b/cells/lef/AOI22X1.lef
@@ -9,7 +9,7 @@
   SIZE 7.200 BY 3.330 ;
   SYMMETRY X Y R90 ;
   SITE unit ;
-  PIN vdd
+  PIN VPWR
     DIRECTION INOUT ;
     USE POWER ;
     SHAPE ABUTMENT ;
@@ -29,8 +29,8 @@
         RECT 2.010 2.820 2.090 2.990 ;
         RECT 1.760 2.740 2.090 2.820 ;
     END
-  END vdd
-  PIN gnd
+  END VPWR
+  PIN VGND
     DIRECTION INOUT ;
     USE GROUND ;
     SHAPE ABUTMENT ;
@@ -46,7 +46,7 @@
         RECT 3.200 0.240 3.530 0.340 ;
         RECT 0.000 -0.240 7.200 0.240 ;
     END
-  END gnd
+  END VGND
   PIN Y
     DIRECTION INOUT ;
     USE SIGNAL ;
diff --git a/cells/lef/AOI22X1.lef.beforemagic b/cells/lef/AOI22X1.lef.beforemagic
index 16ec3ca..d468c70 100644
--- a/cells/lef/AOI22X1.lef.beforemagic
+++ b/cells/lef/AOI22X1.lef.beforemagic
@@ -5,7 +5,7 @@
  ORIGIN 0 0 ;
  SYMMETRY X Y R90 ;
  SITE unit ;
-  PIN vdd
+  PIN VPWR
    DIRECTION INOUT ;
    USE POWER ;
    SHAPE ABUTMENT ;
@@ -16,9 +16,9 @@
        LAYER met1 ;
         RECT 0.00000000 3.09000000 7.20000000 3.57000000 ;
     END
-  END vdd
+  END VPWR
 
-  PIN gnd
+  PIN VGND
    DIRECTION INOUT ;
    USE GROUND ;
    SHAPE ABUTMENT ;
@@ -29,7 +29,7 @@
        LAYER met1 ;
         RECT 0.00000000 -0.24000000 7.20000000 0.24000000 ;
     END
-  END gnd
+  END VGND
 
   PIN Y
    DIRECTION INOUT ;
diff --git a/cells/lef/BUFX2.lef b/cells/lef/BUFX2.lef
index a04adf6..a306b76 100644
--- a/cells/lef/BUFX2.lef
+++ b/cells/lef/BUFX2.lef
@@ -9,7 +9,7 @@
   SIZE 4.320 BY 3.330 ;
   SYMMETRY X Y R90 ;
   SITE unit ;
-  PIN vdd
+  PIN VPWR
     DIRECTION INOUT ;
     USE POWER ;
     SHAPE ABUTMENT ;
@@ -29,8 +29,8 @@
         RECT 2.010 2.820 2.090 2.990 ;
         RECT 1.760 2.740 2.090 2.820 ;
     END
-  END vdd
-  PIN gnd
+  END VPWR
+  PIN VGND
     DIRECTION INOUT ;
     USE GROUND ;
     SHAPE ABUTMENT ;
@@ -50,7 +50,7 @@
         RECT 1.760 0.240 2.090 0.340 ;
         RECT 0.000 -0.240 4.320 0.240 ;
     END
-  END gnd
+  END VGND
   PIN Y
     DIRECTION INOUT ;
     USE SIGNAL ;
diff --git a/cells/lef/BUFX2.lef.beforemagic b/cells/lef/BUFX2.lef.beforemagic
index 07e4cba..50e98e6 100644
--- a/cells/lef/BUFX2.lef.beforemagic
+++ b/cells/lef/BUFX2.lef.beforemagic
@@ -5,7 +5,7 @@
  ORIGIN 0 0 ;
  SYMMETRY X Y R90 ;
  SITE unit ;
-  PIN vdd
+  PIN VPWR
    DIRECTION INOUT ;
    USE POWER ;
    SHAPE ABUTMENT ;
@@ -16,9 +16,9 @@
        LAYER met1 ;
         RECT 0.00000000 3.09000000 4.32000000 3.57000000 ;
     END
-  END vdd
+  END VPWR
 
-  PIN gnd
+  PIN VGND
    DIRECTION INOUT ;
    USE GROUND ;
    SHAPE ABUTMENT ;
@@ -29,7 +29,7 @@
        LAYER met1 ;
         RECT 0.00000000 -0.24000000 4.32000000 0.24000000 ;
     END
-  END gnd
+  END VGND
 
   PIN Y
    DIRECTION INOUT ;
diff --git a/cells/lef/BUFX4.lef b/cells/lef/BUFX4.lef
index 75908fe..f7e81f6 100644
--- a/cells/lef/BUFX4.lef
+++ b/cells/lef/BUFX4.lef
@@ -9,7 +9,7 @@
   SIZE 5.760 BY 3.330 ;
   SYMMETRY X Y R90 ;
   SITE unit ;
-  PIN vdd
+  PIN VPWR
     DIRECTION INOUT ;
     USE POWER ;
     SHAPE ABUTMENT ;
@@ -37,8 +37,8 @@
         RECT 4.890 2.820 4.970 2.990 ;
         RECT 4.640 2.740 4.970 2.820 ;
     END
-  END vdd
-  PIN gnd
+  END VPWR
+  PIN VGND
     DIRECTION INOUT ;
     USE GROUND ;
     SHAPE ABUTMENT ;
@@ -62,7 +62,7 @@
         RECT 4.640 0.240 4.970 0.340 ;
         RECT 0.000 -0.240 5.760 0.240 ;
     END
-  END gnd
+  END VGND
   PIN Y
     DIRECTION INOUT ;
     USE SIGNAL ;
diff --git a/cells/lef/BUFX4.lef.beforemagic b/cells/lef/BUFX4.lef.beforemagic
index 7bfa072..9cdbd98 100644
--- a/cells/lef/BUFX4.lef.beforemagic
+++ b/cells/lef/BUFX4.lef.beforemagic
@@ -5,7 +5,7 @@
  ORIGIN 0 0 ;
  SYMMETRY X Y R90 ;
  SITE unit ;
-  PIN vdd
+  PIN VPWR
    DIRECTION INOUT ;
    USE POWER ;
    SHAPE ABUTMENT ;
@@ -16,9 +16,9 @@
        LAYER met1 ;
         RECT 0.00000000 3.09000000 5.76000000 3.57000000 ;
     END
-  END vdd
+  END VPWR
 
-  PIN gnd
+  PIN VGND
    DIRECTION INOUT ;
    USE GROUND ;
    SHAPE ABUTMENT ;
@@ -29,7 +29,7 @@
        LAYER met1 ;
         RECT 0.00000000 -0.24000000 5.76000000 0.24000000 ;
     END
-  END gnd
+  END VGND
 
   PIN Y
    DIRECTION INOUT ;
diff --git a/cells/lef/CLKBUF1.lef b/cells/lef/CLKBUF1.lef
index 529278a..db3d232 100644
--- a/cells/lef/CLKBUF1.lef
+++ b/cells/lef/CLKBUF1.lef
@@ -9,7 +9,7 @@
   SIZE 12.960 BY 3.330 ;
   SYMMETRY X Y R90 ;
   SITE unit ;
-  PIN vdd
+  PIN VPWR
     DIRECTION INOUT ;
     USE POWER ;
     SHAPE ABUTMENT ;
@@ -61,8 +61,8 @@
         RECT 12.090 2.820 12.170 2.990 ;
         RECT 11.840 2.740 12.170 2.820 ;
     END
-  END vdd
-  PIN gnd
+  END VPWR
+  PIN VGND
     DIRECTION INOUT ;
     USE GROUND ;
     SHAPE ABUTMENT ;
@@ -114,7 +114,7 @@
         RECT 11.840 0.240 12.170 0.340 ;
         RECT 0.000 -0.240 12.960 0.240 ;
     END
-  END gnd
+  END VGND
   PIN Y
     DIRECTION INOUT ;
     USE SIGNAL ;
diff --git a/cells/lef/CLKBUF1.lef.beforemagic b/cells/lef/CLKBUF1.lef.beforemagic
index 33f4e8e..d3da44a 100644
--- a/cells/lef/CLKBUF1.lef.beforemagic
+++ b/cells/lef/CLKBUF1.lef.beforemagic
@@ -5,7 +5,7 @@
  ORIGIN 0 0 ;
  SYMMETRY X Y R90 ;
  SITE unit ;
-  PIN vdd
+  PIN VPWR
    DIRECTION INOUT ;
    USE POWER ;
    SHAPE ABUTMENT ;
@@ -16,9 +16,9 @@
        LAYER met1 ;
         RECT 0.00000000 3.09000000 12.96000000 3.57000000 ;
     END
-  END vdd
+  END VPWR
 
-  PIN gnd
+  PIN VGND
    DIRECTION INOUT ;
    USE GROUND ;
    SHAPE ABUTMENT ;
@@ -29,7 +29,7 @@
        LAYER met1 ;
         RECT 0.00000000 -0.24000000 12.96000000 0.24000000 ;
     END
-  END gnd
+  END VGND
 
   PIN Y
    DIRECTION INOUT ;
diff --git a/cells/lef/INV.lef b/cells/lef/INV.lef
index a0e9cdf..c4ff98d 100644
--- a/cells/lef/INV.lef
+++ b/cells/lef/INV.lef
@@ -9,7 +9,7 @@
   SIZE 2.880 BY 3.330 ;
   SYMMETRY X Y R90 ;
   SITE unit ;
-  PIN vdd
+  PIN VPWR
     DIRECTION INOUT ;
     USE POWER ;
     SHAPE ABUTMENT ;
@@ -17,8 +17,8 @@
       LAYER met1 ;
         RECT 0.000 3.090 2.880 3.570 ;
     END
-  END vdd
-  PIN gnd
+  END VPWR
+  PIN VGND
     DIRECTION INOUT ;
     USE GROUND ;
     SHAPE ABUTMENT ;
@@ -26,7 +26,7 @@
       LAYER met1 ;
         RECT 0.000 -0.240 2.880 0.240 ;
     END
-  END gnd
+  END VGND
   PIN Y
     DIRECTION INOUT ;
     USE SIGNAL ;
diff --git a/cells/lef/INV.lef.beforemagic b/cells/lef/INV.lef.beforemagic
index 05cf158..53f242b 100644
--- a/cells/lef/INV.lef.beforemagic
+++ b/cells/lef/INV.lef.beforemagic
@@ -5,7 +5,7 @@
  ORIGIN 0 0 ;
  SYMMETRY X Y R90 ;
  SITE unit ;
-  PIN vdd
+  PIN VPWR
    DIRECTION INOUT ;
    USE POWER ;
    SHAPE ABUTMENT ;
@@ -16,9 +16,9 @@
        LAYER met1 ;
         RECT 0.00000000 3.09000000 2.88000000 3.57000000 ;
     END
-  END vdd
+  END VPWR
 
-  PIN gnd
+  PIN VGND
    DIRECTION INOUT ;
    USE GROUND ;
    SHAPE ABUTMENT ;
@@ -29,7 +29,7 @@
        LAYER met1 ;
         RECT 0.00000000 -0.24000000 2.88000000 0.24000000 ;
     END
-  END gnd
+  END VGND
 
   PIN Y
    DIRECTION INOUT ;
diff --git a/cells/lef/INVX1.lef b/cells/lef/INVX1.lef
index f37f375..c033b16 100644
--- a/cells/lef/INVX1.lef
+++ b/cells/lef/INVX1.lef
@@ -9,7 +9,7 @@
   SIZE 2.880 BY 3.330 ;
   SYMMETRY X Y R90 ;
   SITE unit ;
-  PIN vdd
+  PIN VPWR
     DIRECTION INOUT ;
     USE POWER ;
     SHAPE ABUTMENT ;
@@ -17,8 +17,8 @@
       LAYER met1 ;
         RECT 0.000 3.090 2.880 3.570 ;
     END
-  END vdd
-  PIN gnd
+  END VPWR
+  PIN VGND
     DIRECTION INOUT ;
     USE GROUND ;
     SHAPE ABUTMENT ;
@@ -26,7 +26,7 @@
       LAYER met1 ;
         RECT 0.000 -0.240 2.880 0.240 ;
     END
-  END gnd
+  END VGND
   PIN Y
     DIRECTION INOUT ;
     USE SIGNAL ;
diff --git a/cells/lef/INVX1.lef.beforemagic b/cells/lef/INVX1.lef.beforemagic
index 21a3a3d..7189061 100644
--- a/cells/lef/INVX1.lef.beforemagic
+++ b/cells/lef/INVX1.lef.beforemagic
@@ -5,7 +5,7 @@
  ORIGIN 0 0 ;
  SYMMETRY X Y R90 ;
  SITE unit ;
-  PIN vdd
+  PIN VPWR
    DIRECTION INOUT ;
    USE POWER ;
    SHAPE ABUTMENT ;
@@ -16,9 +16,9 @@
        LAYER met1 ;
         RECT 0.00000000 3.09000000 2.88000000 3.57000000 ;
     END
-  END vdd
+  END VPWR
 
-  PIN gnd
+  PIN VGND
    DIRECTION INOUT ;
    USE GROUND ;
    SHAPE ABUTMENT ;
@@ -29,7 +29,7 @@
        LAYER met1 ;
         RECT 0.00000000 -0.24000000 2.88000000 0.24000000 ;
     END
-  END gnd
+  END VGND
 
   PIN Y
    DIRECTION INOUT ;
diff --git a/cells/lef/INVX2.lef b/cells/lef/INVX2.lef
index 42ed925..b92c1ea 100644
--- a/cells/lef/INVX2.lef
+++ b/cells/lef/INVX2.lef
@@ -9,7 +9,7 @@
   SIZE 2.880 BY 3.330 ;
   SYMMETRY X Y R90 ;
   SITE unit ;
-  PIN vdd
+  PIN VPWR
     DIRECTION INOUT ;
     USE POWER ;
     SHAPE ABUTMENT ;
@@ -17,8 +17,8 @@
       LAYER met1 ;
         RECT 0.000 3.090 2.880 3.570 ;
     END
-  END vdd
-  PIN gnd
+  END VPWR
+  PIN VGND
     DIRECTION INOUT ;
     USE GROUND ;
     SHAPE ABUTMENT ;
@@ -26,7 +26,7 @@
       LAYER met1 ;
         RECT 0.000 -0.240 2.880 0.240 ;
     END
-  END gnd
+  END VGND
   PIN Y
     DIRECTION INOUT ;
     USE SIGNAL ;
diff --git a/cells/lef/INVX2.lef.beforemagic b/cells/lef/INVX2.lef.beforemagic
index 6de09f5..9d100a4 100644
--- a/cells/lef/INVX2.lef.beforemagic
+++ b/cells/lef/INVX2.lef.beforemagic
@@ -5,7 +5,7 @@
  ORIGIN 0 0 ;
  SYMMETRY X Y R90 ;
  SITE unit ;
-  PIN vdd
+  PIN VPWR
    DIRECTION INOUT ;
    USE POWER ;
    SHAPE ABUTMENT ;
@@ -16,9 +16,9 @@
        LAYER met1 ;
         RECT 0.00000000 3.09000000 2.88000000 3.57000000 ;
     END
-  END vdd
+  END VPWR
 
-  PIN gnd
+  PIN VGND
    DIRECTION INOUT ;
    USE GROUND ;
    SHAPE ABUTMENT ;
@@ -29,7 +29,7 @@
        LAYER met1 ;
         RECT 0.00000000 -0.24000000 2.88000000 0.24000000 ;
     END
-  END gnd
+  END VGND
 
   PIN Y
    DIRECTION INOUT ;
diff --git a/cells/lef/INVX4.lef b/cells/lef/INVX4.lef
index 38fb882..8aca2de 100644
--- a/cells/lef/INVX4.lef
+++ b/cells/lef/INVX4.lef
@@ -9,7 +9,7 @@
   SIZE 4.320 BY 3.330 ;
   SYMMETRY X Y R90 ;
   SITE unit ;
-  PIN vdd
+  PIN VPWR
     DIRECTION INOUT ;
     USE POWER ;
     SHAPE ABUTMENT ;
@@ -29,8 +29,8 @@
         RECT 2.010 2.820 2.090 2.990 ;
         RECT 1.760 2.740 2.090 2.820 ;
     END
-  END vdd
-  PIN gnd
+  END VPWR
+  PIN VGND
     DIRECTION INOUT ;
     USE GROUND ;
     SHAPE ABUTMENT ;
@@ -50,7 +50,7 @@
         RECT 1.760 0.240 2.090 0.340 ;
         RECT 0.000 -0.240 4.320 0.240 ;
     END
-  END gnd
+  END VGND
   PIN Y
     DIRECTION INOUT ;
     USE SIGNAL ;
diff --git a/cells/lef/INVX4.lef.beforemagic b/cells/lef/INVX4.lef.beforemagic
index a58a39a..6af5fd7 100644
--- a/cells/lef/INVX4.lef.beforemagic
+++ b/cells/lef/INVX4.lef.beforemagic
@@ -5,7 +5,7 @@
  ORIGIN 0 0 ;
  SYMMETRY X Y R90 ;
  SITE unit ;
-  PIN vdd
+  PIN VPWR
    DIRECTION INOUT ;
    USE POWER ;
    SHAPE ABUTMENT ;
@@ -16,9 +16,9 @@
        LAYER met1 ;
         RECT 0.00000000 3.09000000 4.32000000 3.57000000 ;
     END
-  END vdd
+  END VPWR
 
-  PIN gnd
+  PIN VGND
    DIRECTION INOUT ;
    USE GROUND ;
    SHAPE ABUTMENT ;
@@ -29,7 +29,7 @@
        LAYER met1 ;
         RECT 0.00000000 -0.24000000 4.32000000 0.24000000 ;
     END
-  END gnd
+  END VGND
 
   PIN Y
    DIRECTION INOUT ;
diff --git a/cells/lef/INVX8.lef b/cells/lef/INVX8.lef
index aaea80a..5764cca 100644
--- a/cells/lef/INVX8.lef
+++ b/cells/lef/INVX8.lef
@@ -9,7 +9,7 @@
   SIZE 7.200 BY 3.330 ;
   SYMMETRY X Y R90 ;
   SITE unit ;
-  PIN vdd
+  PIN VPWR
     DIRECTION INOUT ;
     USE POWER ;
     SHAPE ABUTMENT ;
@@ -37,8 +37,8 @@
         RECT 4.890 2.820 4.970 2.990 ;
         RECT 4.640 2.740 4.970 2.820 ;
     END
-  END vdd
-  PIN gnd
+  END VPWR
+  PIN VGND
     DIRECTION INOUT ;
     USE GROUND ;
     SHAPE ABUTMENT ;
@@ -58,7 +58,7 @@
         RECT 4.640 0.240 4.970 0.340 ;
         RECT 0.000 -0.240 7.200 0.240 ;
     END
-  END gnd
+  END VGND
   PIN Y
     DIRECTION INOUT ;
     USE SIGNAL ;
diff --git a/cells/lef/INVX8.lef.beforemagic b/cells/lef/INVX8.lef.beforemagic
index d94a2ad..4aa822d 100644
--- a/cells/lef/INVX8.lef.beforemagic
+++ b/cells/lef/INVX8.lef.beforemagic
@@ -5,7 +5,7 @@
  ORIGIN 0 0 ;
  SYMMETRY X Y R90 ;
  SITE unit ;
-  PIN vdd
+  PIN VPWR
    DIRECTION INOUT ;
    USE POWER ;
    SHAPE ABUTMENT ;
@@ -16,9 +16,9 @@
        LAYER met1 ;
         RECT 0.00000000 3.09000000 7.20000000 3.57000000 ;
     END
-  END vdd
+  END VPWR
 
-  PIN gnd
+  PIN VGND
    DIRECTION INOUT ;
    USE GROUND ;
    SHAPE ABUTMENT ;
@@ -29,7 +29,7 @@
        LAYER met1 ;
         RECT 0.00000000 -0.24000000 7.20000000 0.24000000 ;
     END
-  END gnd
+  END VGND
 
   PIN Y
    DIRECTION INOUT ;
diff --git a/cells/lef/MUX2X1.lef b/cells/lef/MUX2X1.lef
index 7da19f9..66bb32b 100644
--- a/cells/lef/MUX2X1.lef
+++ b/cells/lef/MUX2X1.lef
@@ -9,7 +9,7 @@
   SIZE 8.640 BY 3.330 ;
   SYMMETRY X Y R90 ;
   SITE unit ;
-  PIN vdd
+  PIN VPWR
     DIRECTION INOUT ;
     USE POWER ;
     SHAPE ABUTMENT ;
@@ -37,8 +37,8 @@
         RECT 7.770 2.820 7.850 2.990 ;
         RECT 7.520 2.740 7.850 2.820 ;
     END
-  END vdd
-  PIN gnd
+  END VPWR
+  PIN VGND
     DIRECTION INOUT ;
     USE GROUND ;
     SHAPE ABUTMENT ;
@@ -66,7 +66,7 @@
         RECT 7.520 0.240 7.850 0.340 ;
         RECT 0.000 -0.240 8.640 0.240 ;
     END
-  END gnd
+  END VGND
   PIN Y
     DIRECTION INOUT ;
     USE SIGNAL ;
diff --git a/cells/lef/MUX2X1.lef.beforemagic b/cells/lef/MUX2X1.lef.beforemagic
index 0348811..4ccc250 100644
--- a/cells/lef/MUX2X1.lef.beforemagic
+++ b/cells/lef/MUX2X1.lef.beforemagic
@@ -5,7 +5,7 @@
  ORIGIN 0 0 ;
  SYMMETRY X Y R90 ;
  SITE unit ;
-  PIN vdd
+  PIN VPWR
    DIRECTION INOUT ;
    USE POWER ;
    SHAPE ABUTMENT ;
@@ -16,9 +16,9 @@
        LAYER met1 ;
         RECT 0.00000000 3.09000000 8.64000000 3.57000000 ;
     END
-  END vdd
+  END VPWR
 
-  PIN gnd
+  PIN VGND
    DIRECTION INOUT ;
    USE GROUND ;
    SHAPE ABUTMENT ;
@@ -29,7 +29,7 @@
        LAYER met1 ;
         RECT 0.00000000 -0.24000000 8.64000000 0.24000000 ;
     END
-  END gnd
+  END VGND
 
   PIN Y
    DIRECTION INOUT ;
diff --git a/cells/lef/NAND2X1.lef b/cells/lef/NAND2X1.lef
index faf6acc..b99b4c6 100644
--- a/cells/lef/NAND2X1.lef
+++ b/cells/lef/NAND2X1.lef
@@ -9,7 +9,7 @@
   SIZE 4.320 BY 3.330 ;
   SYMMETRY X Y R90 ;
   SITE unit ;
-  PIN vdd
+  PIN VPWR
     DIRECTION INOUT ;
     USE POWER ;
     SHAPE ABUTMENT ;
@@ -29,8 +29,8 @@
         RECT 2.010 2.820 2.090 2.990 ;
         RECT 1.760 2.740 2.090 2.820 ;
     END
-  END vdd
-  PIN gnd
+  END VPWR
+  PIN VGND
     DIRECTION INOUT ;
     USE GROUND ;
     SHAPE ABUTMENT ;
@@ -50,7 +50,7 @@
         RECT 3.200 0.240 3.530 0.340 ;
         RECT 0.000 -0.240 4.320 0.240 ;
     END
-  END gnd
+  END VGND
   PIN Y
     DIRECTION INOUT ;
     USE SIGNAL ;
diff --git a/cells/lef/NAND2X1.lef.beforemagic b/cells/lef/NAND2X1.lef.beforemagic
index 43f1c4d..1ea7356 100644
--- a/cells/lef/NAND2X1.lef.beforemagic
+++ b/cells/lef/NAND2X1.lef.beforemagic
@@ -5,7 +5,7 @@
  ORIGIN 0 0 ;
  SYMMETRY X Y R90 ;
  SITE unit ;
-  PIN vdd
+  PIN VPWR
    DIRECTION INOUT ;
    USE POWER ;
    SHAPE ABUTMENT ;
@@ -16,9 +16,9 @@
        LAYER met1 ;
         RECT 0.00000000 3.09000000 4.32000000 3.57000000 ;
     END
-  END vdd
+  END VPWR
 
-  PIN gnd
+  PIN VGND
    DIRECTION INOUT ;
    USE GROUND ;
    SHAPE ABUTMENT ;
@@ -29,7 +29,7 @@
        LAYER met1 ;
         RECT 0.00000000 -0.24000000 4.32000000 0.24000000 ;
     END
-  END gnd
+  END VGND
 
   PIN Y
    DIRECTION INOUT ;
diff --git a/cells/lef/NAND3X1.lef b/cells/lef/NAND3X1.lef
index ab76953..2c54211 100644
--- a/cells/lef/NAND3X1.lef
+++ b/cells/lef/NAND3X1.lef
@@ -9,7 +9,7 @@
   SIZE 5.760 BY 3.330 ;
   SYMMETRY X Y R90 ;
   SITE unit ;
-  PIN vdd
+  PIN VPWR
     DIRECTION INOUT ;
     USE POWER ;
     SHAPE ABUTMENT ;
@@ -37,8 +37,8 @@
         RECT 4.890 2.820 4.970 2.990 ;
         RECT 4.640 2.740 4.970 2.820 ;
     END
-  END vdd
-  PIN gnd
+  END VPWR
+  PIN VGND
     DIRECTION INOUT ;
     USE GROUND ;
     SHAPE ABUTMENT ;
@@ -58,7 +58,7 @@
         RECT 4.640 0.240 4.970 0.340 ;
         RECT 0.000 -0.240 5.760 0.240 ;
     END
-  END gnd
+  END VGND
   PIN Y
     DIRECTION INOUT ;
     USE SIGNAL ;
diff --git a/cells/lef/NAND3X1.lef.beforemagic b/cells/lef/NAND3X1.lef.beforemagic
index 8e0011b..88e3ca8 100644
--- a/cells/lef/NAND3X1.lef.beforemagic
+++ b/cells/lef/NAND3X1.lef.beforemagic
@@ -5,7 +5,7 @@
  ORIGIN 0 0 ;
  SYMMETRY X Y R90 ;
  SITE unit ;
-  PIN vdd
+  PIN VPWR
    DIRECTION INOUT ;
    USE POWER ;
    SHAPE ABUTMENT ;
@@ -16,9 +16,9 @@
        LAYER met1 ;
         RECT 0.00000000 3.09000000 5.76000000 3.57000000 ;
     END
-  END vdd
+  END VPWR
 
-  PIN gnd
+  PIN VGND
    DIRECTION INOUT ;
    USE GROUND ;
    SHAPE ABUTMENT ;
@@ -29,7 +29,7 @@
        LAYER met1 ;
         RECT 0.00000000 -0.24000000 5.76000000 0.24000000 ;
     END
-  END gnd
+  END VGND
 
   PIN Y
    DIRECTION INOUT ;
diff --git a/cells/lef/OR2X1.lef b/cells/lef/OR2X1.lef
index 5e8bec9..d6b4899 100644
--- a/cells/lef/OR2X1.lef
+++ b/cells/lef/OR2X1.lef
@@ -9,7 +9,7 @@
   SIZE 5.760 BY 3.330 ;
   SYMMETRY X Y R90 ;
   SITE unit ;
-  PIN vdd
+  PIN VPWR
     DIRECTION INOUT ;
     USE POWER ;
     SHAPE ABUTMENT ;
@@ -29,8 +29,8 @@
         RECT 3.450 2.820 3.530 2.990 ;
         RECT 3.200 2.740 3.530 2.820 ;
     END
-  END vdd
-  PIN gnd
+  END VPWR
+  PIN VGND
     DIRECTION INOUT ;
     USE GROUND ;
     SHAPE ABUTMENT ;
@@ -58,7 +58,7 @@
         RECT 3.200 0.240 3.530 0.340 ;
         RECT 0.000 -0.240 5.760 0.240 ;
     END
-  END gnd
+  END VGND
   PIN Y
     DIRECTION INOUT ;
     USE SIGNAL ;
diff --git a/cells/lef/OR2X1.lef.beforemagic b/cells/lef/OR2X1.lef.beforemagic
index 4efcfd2..1714de1 100644
--- a/cells/lef/OR2X1.lef.beforemagic
+++ b/cells/lef/OR2X1.lef.beforemagic
@@ -5,7 +5,7 @@
  ORIGIN 0 0 ;
  SYMMETRY X Y R90 ;
  SITE unit ;
-  PIN vdd
+  PIN VPWR
    DIRECTION INOUT ;
    USE POWER ;
    SHAPE ABUTMENT ;
@@ -16,9 +16,9 @@
        LAYER met1 ;
         RECT 0.00000000 3.09000000 5.76000000 3.57000000 ;
     END
-  END vdd
+  END VPWR
 
-  PIN gnd
+  PIN VGND
    DIRECTION INOUT ;
    USE GROUND ;
    SHAPE ABUTMENT ;
@@ -29,7 +29,7 @@
        LAYER met1 ;
         RECT 0.00000000 -0.24000000 5.76000000 0.24000000 ;
     END
-  END gnd
+  END VGND
 
   PIN Y
    DIRECTION INOUT ;
diff --git a/cells/lef/OR2X2.lef b/cells/lef/OR2X2.lef
index f276662..d7f49e3 100644
--- a/cells/lef/OR2X2.lef
+++ b/cells/lef/OR2X2.lef
@@ -9,7 +9,7 @@
   SIZE 5.760 BY 3.330 ;
   SYMMETRY X Y R90 ;
   SITE unit ;
-  PIN vdd
+  PIN VPWR
     DIRECTION INOUT ;
     USE POWER ;
     SHAPE ABUTMENT ;
@@ -29,8 +29,8 @@
         RECT 3.450 2.820 3.530 2.990 ;
         RECT 3.200 2.740 3.530 2.820 ;
     END
-  END vdd
-  PIN gnd
+  END VPWR
+  PIN VGND
     DIRECTION INOUT ;
     USE GROUND ;
     SHAPE ABUTMENT ;
@@ -58,7 +58,7 @@
         RECT 3.200 0.240 3.530 0.340 ;
         RECT 0.000 -0.240 5.760 0.240 ;
     END
-  END gnd
+  END VGND
   PIN Y
     DIRECTION INOUT ;
     USE SIGNAL ;
diff --git a/cells/lef/OR2X2.lef.beforemagic b/cells/lef/OR2X2.lef.beforemagic
index ca77cec..a098e7f 100644
--- a/cells/lef/OR2X2.lef.beforemagic
+++ b/cells/lef/OR2X2.lef.beforemagic
@@ -5,7 +5,7 @@
  ORIGIN 0 0 ;
  SYMMETRY X Y R90 ;
  SITE unit ;
-  PIN vdd
+  PIN VPWR
    DIRECTION INOUT ;
    USE POWER ;
    SHAPE ABUTMENT ;
@@ -16,9 +16,9 @@
        LAYER met1 ;
         RECT 0.00000000 3.09000000 5.76000000 3.57000000 ;
     END
-  END vdd
+  END VPWR
 
-  PIN gnd
+  PIN VGND
    DIRECTION INOUT ;
    USE GROUND ;
    SHAPE ABUTMENT ;
@@ -29,7 +29,7 @@
        LAYER met1 ;
         RECT 0.00000000 -0.24000000 5.76000000 0.24000000 ;
     END
-  END gnd
+  END VGND
 
   PIN Y
    DIRECTION INOUT ;
diff --git a/cells/lef/XNOR2X1.lef b/cells/lef/XNOR2X1.lef
index 991a7ea..5a4782a 100644
--- a/cells/lef/XNOR2X1.lef
+++ b/cells/lef/XNOR2X1.lef
@@ -9,7 +9,7 @@
   SIZE 10.080 BY 3.330 ;
   SYMMETRY X Y R90 ;
   SITE unit ;
-  PIN vdd
+  PIN VPWR
     DIRECTION INOUT ;
     USE POWER ;
     SHAPE ABUTMENT ;
@@ -33,8 +33,8 @@
         RECT 7.770 2.820 7.850 2.990 ;
         RECT 7.520 2.740 7.850 2.820 ;
     END
-  END vdd
-  PIN gnd
+  END VPWR
+  PIN VGND
     DIRECTION INOUT ;
     USE GROUND ;
     SHAPE ABUTMENT ;
@@ -62,7 +62,7 @@
         RECT 7.520 0.240 7.850 0.340 ;
         RECT 0.000 -0.240 10.080 0.240 ;
     END
-  END gnd
+  END VGND
   PIN Y
     DIRECTION INOUT ;
     USE SIGNAL ;
diff --git a/cells/lef/XNOR2X1.lef.beforemagic b/cells/lef/XNOR2X1.lef.beforemagic
index 559506d..aadeaae 100644
--- a/cells/lef/XNOR2X1.lef.beforemagic
+++ b/cells/lef/XNOR2X1.lef.beforemagic
@@ -5,7 +5,7 @@
  ORIGIN 0 0 ;
  SYMMETRY X Y R90 ;
  SITE unit ;
-  PIN vdd
+  PIN VPWR
    DIRECTION INOUT ;
    USE POWER ;
    SHAPE ABUTMENT ;
@@ -16,9 +16,9 @@
        LAYER met1 ;
         RECT 0.00000000 3.09000000 10.08000000 3.57000000 ;
     END
-  END vdd
+  END VPWR
 
-  PIN gnd
+  PIN VGND
    DIRECTION INOUT ;
    USE GROUND ;
    SHAPE ABUTMENT ;
@@ -29,7 +29,7 @@
        LAYER met1 ;
         RECT 0.00000000 -0.24000000 10.08000000 0.24000000 ;
     END
-  END gnd
+  END VGND
 
   PIN Y
    DIRECTION INOUT ;
diff --git a/cells/lef/XOR2X1.lef b/cells/lef/XOR2X1.lef
index cf298d6..a73a4fb 100644
--- a/cells/lef/XOR2X1.lef
+++ b/cells/lef/XOR2X1.lef
@@ -9,7 +9,7 @@
   SIZE 10.080 BY 3.330 ;
   SYMMETRY X Y R90 ;
   SITE unit ;
-  PIN vdd
+  PIN VPWR
     DIRECTION INOUT ;
     USE POWER ;
     SHAPE ABUTMENT ;
@@ -33,8 +33,8 @@
         RECT 7.770 2.820 7.850 2.990 ;
         RECT 7.520 2.740 7.850 2.820 ;
     END
-  END vdd
-  PIN gnd
+  END VPWR
+  PIN VGND
     DIRECTION INOUT ;
     USE GROUND ;
     SHAPE ABUTMENT ;
@@ -62,7 +62,7 @@
         RECT 7.520 0.240 7.850 0.340 ;
         RECT 0.000 -0.240 10.080 0.240 ;
     END
-  END gnd
+  END VGND
   PIN Y
     DIRECTION INOUT ;
     USE SIGNAL ;
diff --git a/cells/lef/XOR2X1.lef.beforemagic b/cells/lef/XOR2X1.lef.beforemagic
index 9623af6..95fcc9f 100644
--- a/cells/lef/XOR2X1.lef.beforemagic
+++ b/cells/lef/XOR2X1.lef.beforemagic
@@ -5,7 +5,7 @@
  ORIGIN 0 0 ;
  SYMMETRY X Y R90 ;
  SITE unit ;
-  PIN vdd
+  PIN VPWR
    DIRECTION INOUT ;
    USE POWER ;
    SHAPE ABUTMENT ;
@@ -16,9 +16,9 @@
        LAYER met1 ;
         RECT 0.00000000 3.09000000 10.08000000 3.57000000 ;
     END
-  END vdd
+  END VPWR
 
-  PIN gnd
+  PIN VGND
    DIRECTION INOUT ;
    USE GROUND ;
    SHAPE ABUTMENT ;
@@ -29,7 +29,7 @@
        LAYER met1 ;
         RECT 0.00000000 -0.24000000 10.08000000 0.24000000 ;
     END
-  END gnd
+  END VGND
 
   PIN Y
    DIRECTION INOUT ;
diff --git a/cells/lef/fixup.pl b/cells/lef/fixup.pl
index 22a2b72..add71a0 100644
--- a/cells/lef/fixup.pl
+++ b/cells/lef/fixup.pl
@@ -82,10 +82,10 @@
     #s/SITE CORE/SYMMETRY X Y R90/;
     #s/SITE unit.*//;
     s/metal2/met1/;
-    s/VDD/vdd/;
-    s/GND/gnd/;
-    s/USE SIGNAL/USE POWER/ if($pin eq "VDD");
-    s/USE POWER/USE GROUND/ if($pin eq "GND"); # GND braucht USE GROUND statt USE POWER
+    s/VDD/VPWR/;
+    s/GND/VGND/;
+    s/USE SIGNAL/USE POWER/ if($pin eq "VDD" || $pin eq "VPWR");
+    s/USE POWER/USE GROUND/ if($pin eq "GND" || $pin eq "VGND"); # GND braucht USE GROUND statt USE POWER
 
     if(m/^\s*END\s+$macro/ && !$filled) # Inject the obstructions here
     {
diff --git a/cells/lib/libresilicon.lib b/cells/lib/libresilicon.lib
index da471df..437499a 100644
--- a/cells/lib/libresilicon.lib
+++ b/cells/lib/libresilicon.lib
@@ -1 +1 @@
-library (ls05_stdcells) {  delay_model: table_lookup;  in_place_swap_mode: match_footprint;  time_unit: "1ns";  voltage_unit: "1V";  current_unit: "1uA";  pulling_resistance_unit: "1kohm";  leakage_power_unit: "1nW";  capacitive_load_unit (1.0, pf);  slew_upper_threshold_pct_rise: 80.0;  slew_lower_threshold_pct_rise: 20.0;  slew_upper_threshold_pct_fall: 80.0;  slew_lower_threshold_pct_fall: 20.0;  input_threshold_pct_rise: 50.0;  input_threshold_pct_fall: 50.0;  output_threshold_pct_rise: 50.0;  output_threshold_pct_fall: 50.0;  nom_process: 1.0;  nom_voltage: 5.0;  nom_temperature: 25.0;  default_operating_conditions: typical;  operating_conditions (typical) {    process: 1.0;    voltage: 5.0;    temperature: 25.0;  }  lu_table_template (delay_template_5x1) {    variable_1: input_net_transition;    index_1 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0"    );  }  lu_table_template (delay_template_5x5) {    variable_1: total_output_net_capacitance;    variable_2: input_net_transition;    index_1 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0"    );    index_2 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0"    );  }  lu_table_template (delay_template_5x6) {    variable_1: total_output_net_capacitance;    variable_2: input_net_transition;    index_1 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0"    );    index_2 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0"    );  }  lu_table_template (delay_template_6x1) {    variable_1: input_net_transition;    index_1 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0"    );  }  lu_table_template (delay_template_6x6) {    variable_1: total_output_net_capacitance;    variable_2: input_net_transition;    index_1 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0"    );    index_2 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0"    );  }  power_lut_template (energy_template_5x5) {    variable_1: total_output_net_capacitance;    variable_2: input_transition_time;    index_1 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0"    );    index_2 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0"    );  }  power_lut_template (energy_template_5x6) {    variable_1: total_output_net_capacitance;    variable_2: input_transition_time;    index_1 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0"    );    index_2 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0"    );  }  power_lut_template (energy_template_6x6) {    variable_1: total_output_net_capacitance;    variable_2: input_transition_time;    index_1 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0"    );    index_2 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0"    );  }  lu_table_template (hold_template_3x5) {    variable_1: related_pin_transition;    variable_2: constrained_pin_transition;    index_1 (      "1000.0, 1001.0, 1002.0"    );    index_2 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0"    );  }  lu_table_template (hold_template_3x6) {    variable_1: related_pin_transition;    variable_2: constrained_pin_transition;    index_1 (      "1000.0, 1001.0, 1002.0"    );    index_2 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0"    );  }  power_lut_template (passive_energy_template_5x1) {    variable_1: input_transition_time;    index_1 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0"    );  }  power_lut_template (passive_energy_template_6x1) {    variable_1: input_transition_time;    index_1 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0"    );  }  lu_table_template (recovery_template_3x6) {    variable_1: related_pin_transition;    variable_2: constrained_pin_transition;    index_1 (      "1000.0, 1001.0, 1002.0"    );    index_2 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0"    );  }  lu_table_template (recovery_template_6x6) {    variable_1: related_pin_transition;    variable_2: constrained_pin_transition;    index_1 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0"    );    index_2 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0"    );  }  lu_table_template (removal_template_3x6) {    variable_1: related_pin_transition;    variable_2: constrained_pin_transition;    index_1 (      "1000.0, 1001.0, 1002.0"    );    index_2 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0"    );  }  lu_table_template (setup_template_3x5) {    variable_1: related_pin_transition;    variable_2: constrained_pin_transition;    index_1 (      "1000.0, 1001.0, 1002.0"    );    index_2 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0"    );  }  lu_table_template (setup_template_3x6) {    variable_1: related_pin_transition;    variable_2: constrained_pin_transition;    index_1 (      "1000.0, 1001.0, 1002.0"    );    index_2 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0"    );  }  cell (AND2X1) {    pg_pin (vdd) { voltage_name : "vdd"; pg_type : "primary_power"; } pg_pin (gnd) { voltage_name : "gnd"; pg_type : "primary_ground"; } area: 877824.0;    cell_leakage_power: 0.1173;    pin (B) {      direction: input;      rise_capacitance: 0.004935475891367477;      fall_capacitance: 0.007858406695085389;      capacitance: 0.006396941293226433;    }    pin (A) {      direction: input;      rise_capacitance: 0.004150891096654475;      fall_capacitance: 0.005776428708787616;      capacitance: 0.004963659902721045;    }    pin (Y) {      direction: output;      function: "(B&A)";      function: "(A & B)";      timing () {        related_pin: "A";        timing_sense: positive_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "-0.003054, 0.030498, 0.039878, 0.053343, 0.188749",             "0.048098, 0.064059, 0.074620, 0.093597, 0.252448",             "0.087865, 0.098559, 0.108152, 0.125156, 0.300811",             "0.172721, 0.177015, 0.184227, 0.196165, 0.394456",             "0.875756, 0.870760, 0.872299, 0.869455, 0.975392"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.015977, 0.018737, 0.019304, 0.016191, -0.074696",             "0.056135, 0.056445, 0.057308, 0.057768, -0.014818",             "0.099933, 0.098214, 0.096071, 0.094474, 0.031563",             "0.189088, 0.185938, 0.181261, 0.173387, 0.117548",             "0.905025, 0.900630, 0.893784, 0.878306, 0.755396"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.026432, 0.038218, 0.045398, 0.077143, 0.593792",             "0.080791, 0.094490, 0.092269, 0.111832, 0.588352",             "0.147163, 0.151582, 0.153943, 0.167618, 0.594475",             "0.287657, 0.288087, 0.288559, 0.294676, 0.639075",             "1.420873, 1.420869, 1.420872, 1.420876, 1.523193"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.017097, 0.032947, 0.054213, 0.094807, 0.609971",             "0.091168, 0.096623, 0.108594, 0.140442, 0.624323",             "0.176540, 0.177904, 0.183856, 0.205552, 0.674111",             "0.348626, 0.348716, 0.349898, 0.359158, 0.778703",             "1.726993, 1.726969, 1.726997, 1.726970, 1.840952"          );        }      }      timing () {        related_pin: "B";        timing_sense: positive_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "-0.002948, 0.031842, 0.043871, 0.058431, 0.170628",             "0.046736, 0.065667, 0.079697, 0.098261, 0.233471",             "0.087052, 0.099648, 0.114524, 0.132001, 0.286132",             "0.172376, 0.177352, 0.192051, 0.206645, 0.390972",             "0.875894, 0.869785, 0.881810, 0.887018, 1.014535"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.018316, 0.021069, 0.023194, 0.023536, -0.031337",             "0.058079, 0.058865, 0.060249, 0.060930, 0.021381",             "0.101524, 0.100223, 0.098824, 0.096634, 0.056583",             "0.190472, 0.187661, 0.183754, 0.176080, 0.122194",             "0.906272, 0.902147, 0.895946, 0.881308, 0.736220"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.023782, 0.069151, 0.034982, 0.054052, 0.449913",             "0.080000, 0.118312, 0.085490, 0.095978, 0.447397",             "0.146991, 0.152360, 0.150326, 0.156871, 0.457664",             "0.287629, 0.288180, 0.288056, 0.290376, 0.519847",             "1.420875, 1.420869, 1.420872, 1.420868, 1.491447"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.020252, 0.035538, 0.056873, 0.095129, 0.521070",             "0.091909, 0.097885, 0.110175, 0.140770, 0.556828",             "0.176533, 0.178340, 0.184385, 0.205074, 0.605254",             "0.348727, 0.348732, 0.349910, 0.357567, 0.705589",             "1.727017, 1.726977, 1.726979, 1.727007, 1.795626"          );        }      }    }  }  cell (AND2X2) {    pg_pin (vdd) { voltage_name : "vdd"; pg_type : "primary_power"; } pg_pin (gnd) { voltage_name : "gnd"; pg_type : "primary_ground"; } area: 877824.0;    cell_leakage_power: 0.1173;    pin (B) {      direction: input;      rise_capacitance: 0.004935475891367477;      fall_capacitance: 0.007858406695085389;      capacitance: 0.006396941293226433;    }    pin (A) {      direction: input;      rise_capacitance: 0.004150891096654475;      fall_capacitance: 0.005776428708787616;      capacitance: 0.004963659902721045;    }    pin (Y) {      direction: output;      function: "(B&A)";      function: "(A & B)";      timing () {        related_pin: "A";        timing_sense: positive_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "-0.003054, 0.030498, 0.039878, 0.053343, 0.188749",             "0.048098, 0.064059, 0.074620, 0.093597, 0.252448",             "0.087865, 0.098559, 0.108152, 0.125156, 0.300811",             "0.172721, 0.177015, 0.184227, 0.196165, 0.394456",             "0.875756, 0.870760, 0.872299, 0.869455, 0.975392"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.015977, 0.018737, 0.019304, 0.016191, -0.074696",             "0.056135, 0.056445, 0.057308, 0.057768, -0.014818",             "0.099933, 0.098214, 0.096071, 0.094474, 0.031563",             "0.189088, 0.185938, 0.181261, 0.173387, 0.117548",             "0.905025, 0.900630, 0.893784, 0.878306, 0.755396"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.026432, 0.038218, 0.045398, 0.077143, 0.593792",             "0.080791, 0.094490, 0.092269, 0.111832, 0.588352",             "0.147163, 0.151582, 0.153943, 0.167618, 0.594475",             "0.287657, 0.288087, 0.288559, 0.294676, 0.639075",             "1.420873, 1.420869, 1.420872, 1.420876, 1.523193"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.017097, 0.032947, 0.054213, 0.094807, 0.609971",             "0.091168, 0.096623, 0.108594, 0.140442, 0.624323",             "0.176540, 0.177904, 0.183856, 0.205552, 0.674111",             "0.348626, 0.348716, 0.349898, 0.359158, 0.778703",             "1.726993, 1.726969, 1.726997, 1.726970, 1.840952"          );        }      }      timing () {        related_pin: "B";        timing_sense: positive_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "-0.002948, 0.031842, 0.043871, 0.058431, 0.170628",             "0.046736, 0.065667, 0.079697, 0.098261, 0.233471",             "0.087052, 0.099648, 0.114524, 0.132001, 0.286132",             "0.172376, 0.177352, 0.192051, 0.206645, 0.390972",             "0.875894, 0.869785, 0.881810, 0.887018, 1.014535"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.018316, 0.021069, 0.023194, 0.023536, -0.031337",             "0.058079, 0.058865, 0.060249, 0.060930, 0.021381",             "0.101524, 0.100223, 0.098824, 0.096634, 0.056583",             "0.190472, 0.187661, 0.183754, 0.176080, 0.122194",             "0.906272, 0.902147, 0.895946, 0.881308, 0.736220"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.023782, 0.069151, 0.034982, 0.054052, 0.449913",             "0.080000, 0.118312, 0.085490, 0.095978, 0.447397",             "0.146991, 0.152360, 0.150326, 0.156871, 0.457664",             "0.287629, 0.288180, 0.288056, 0.290376, 0.519847",             "1.420875, 1.420869, 1.420872, 1.420868, 1.491447"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.020252, 0.035538, 0.056873, 0.095129, 0.521070",             "0.091909, 0.097885, 0.110175, 0.140770, 0.556828",             "0.176533, 0.178340, 0.184385, 0.205074, 0.605254",             "0.348727, 0.348732, 0.349910, 0.357567, 0.705589",             "1.727017, 1.726977, 1.726979, 1.727007, 1.795626"          );        }      }    }  }  cell (AOI21X1) {    pg_pin (vdd) { voltage_name : "vdd"; pg_type : "primary_power"; } pg_pin (gnd) { voltage_name : "gnd"; pg_type : "primary_ground"; } area: 877824.0;    cell_leakage_power: 0.1173;    pin (C) {      direction: input;      rise_capacitance: 0.006296176741869404;      fall_capacitance: 0.006101450811807844;      capacitance: 0.006198813776838624;    }    pin (B) {      direction: input;      rise_capacitance: 0.004150897383251567;      fall_capacitance: 0.005762494885719812;      capacitance: 0.00495669613448569;    }    pin (A) {      direction: input;      rise_capacitance: 0.004935476111367993;      fall_capacitance: 0.007850019508283936;      capacitance: 0.006392747809825965;    }    pin (Y) {      direction: output;      function: "(!C&!B&!A|!C&B&!A|!C&!B&A)";      function: "((A & !B & !C + B & !A & !C + !A & !B & !C))";      timing () {        related_pin: "A";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.018081, 0.020447, 0.022456, 0.023296, -0.023076",             "0.095512, 0.095564, 0.097577, 0.105665, 0.139556",             "0.173079, 0.172613, 0.173245, 0.177966, 0.251687",             "0.328092, 0.327325, 0.326934, 0.328569, 0.431362",             "1.568078, 1.567023, 1.565399, 1.562764, 1.593146"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.011083, 0.027112, 0.034806, 0.046174, 0.138537",             "0.106126, 0.110980, 0.117817, 0.132662, 0.298423",             "0.200686, 0.203305, 0.207787, 0.219052, 0.408583",             "0.389684, 0.390741, 0.393128, 0.400447, 0.586557",             "1.901409, 1.900881, 1.900582, 1.901419, 1.980303"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.017400, 0.024998, 0.038610, 0.061076, 0.316134",             "0.127638, 0.127551, 0.128643, 0.138963, 0.448630",             "0.240290, 0.240260, 0.240283, 0.242298, 0.547010",             "0.465607, 0.465635, 0.465599, 0.465632, 0.673379",             "2.268621, 2.268618, 2.268620, 2.268621, 2.271276"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.029014, 0.032057, 0.039965, 0.063854, 0.320917",             "0.155270, 0.155388, 0.155812, 0.163213, 0.464067",             "0.286240, 0.286177, 0.286189, 0.286907, 0.549050",             "0.547857, 0.547835, 0.547859, 0.547862, 0.703410",             "2.640589, 2.640589, 2.640586, 2.640585, 2.640586"          );        }      }      timing () {        related_pin: "B";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.015335, 0.016945, 0.017387, 0.015057, -0.070130",             "0.093269, 0.093163, 0.094810, 0.101980, 0.105211",             "0.170827, 0.170332, 0.170780, 0.174845, 0.222749",             "0.325869, 0.325151, 0.324630, 0.325908, 0.409344",             "1.565863, 1.564927, 1.563288, 1.560589, 1.585799"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.013287, 0.024590, 0.032135, 0.044683, 0.169986",             "0.106631, 0.105383, 0.107181, 0.118863, 0.301183",             "0.201084, 0.196904, 0.194332, 0.196987, 0.392495",             "0.390038, 0.383879, 0.377817, 0.371491, 0.543659",             "1.901742, 1.893615, 1.883483, 1.864976, 1.785407"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.013635, 0.021335, 0.036352, 0.066782, 0.514064",             "0.122731, 0.122740, 0.123665, 0.133972, 0.500371",             "0.235316, 0.235334, 0.235297, 0.237378, 0.556421",             "0.460654, 0.460640, 0.460666, 0.460646, 0.664867",             "2.263652, 2.263652, 2.263651, 2.263650, 2.266535"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.029990, 0.038199, 0.056237, 0.095398, 0.544902",             "0.155321, 0.155296, 0.158206, 0.179362, 0.704360",             "0.286222, 0.286226, 0.286240, 0.291364, 0.780438",             "0.547837, 0.547857, 0.547852, 0.547862, 0.901566",             "2.640589, 2.640588, 2.640588, 2.640589, 2.647331"          );        }      }      timing () {        related_pin: "C";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.012884, 0.013824, 0.016369, 0.019083, 0.025408",             "0.085533, 0.079788, 0.082111, 0.094024, 0.172908",             "0.157410, 0.149628, 0.148170, 0.154670, 0.271254",             "0.300946, 0.291744, 0.286795, 0.285855, 0.427654",             "1.448498, 1.437802, 1.428280, 1.413900, 1.417542"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.005708, 0.008623, 0.010235, 0.012288, 0.017616",             "0.047043, 0.047780, 0.052874, 0.064024, 0.113032",             "0.091536, 0.089929, 0.090900, 0.100815, 0.179742",             "0.181015, 0.178070, 0.175614, 0.176901, 0.288000",             "0.897237, 0.893242, 0.888241, 0.878918, 0.902547"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.012755, 0.024831, 0.044968, 0.093110, 0.730524",             "0.115219, 0.115342, 0.119381, 0.140592, 0.704120",             "0.219879, 0.219898, 0.220189, 0.229051, 0.695466",             "0.429271, 0.429272, 0.429268, 0.429957, 0.760003",             "2.104581, 2.104581, 2.104580, 2.104582, 2.138119"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.013144, 0.039574, 0.064113, 0.105179, 0.740810",             "0.092532, 0.098951, 0.115649, 0.159781, 0.811024",             "0.178514, 0.180443, 0.190086, 0.223562, 0.880935",             "0.350931, 0.351086, 0.353728, 0.372580, 0.983884",             "1.729228, 1.729222, 1.729222, 1.729215, 1.983340"          );        }      }    }  }  cell (AOI22X1) {    pg_pin (vdd) { voltage_name : "vdd"; pg_type : "primary_power"; } pg_pin (gnd) { voltage_name : "gnd"; pg_type : "primary_ground"; } area: 1097280.0;    cell_leakage_power: 0.1173;    pin (D) {      direction: input;      rise_capacitance: 0.004150836637055694;      fall_capacitance: 4.293461827055451e-05;      capacitance: 0.0020968856276631243;    }    pin (C) {      direction: input;      rise_capacitance: 0.004935392181405116;      fall_capacitance: 0.004717183954380674;      capacitance: 0.004826288067892895;    }    pin (B) {      direction: input;      rise_capacitance: 0.004150898468773608;      fall_capacitance: 0.005752203124409789;      capacitance: 0.004951550796591699;    }    pin (A) {      direction: input;      rise_capacitance: 0.00493547941452175;      fall_capacitance: 0.007832228421315403;      capacitance: 0.006383853917918576;    }    pin (Y) {      direction: output;      function: "!(D&C&!B&!A|D&C&B&!A|!D&!C&B&A|D&!C&B&A|D&C&B&A|!D&C&B&A|D&C&!B&A)";      function: "(!(A & B & C & D + A & B & C & !D + A & B & D & !C + A & C & D & !B + B & C & D & !A + A & B & !C & !D + C & D & !A & !B))";      timing () {        related_pin: "A";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.022453, 0.024534, 0.027322, 0.029301, -0.013605",             "0.094099, 0.094146, 0.096422, 0.104923, 0.133468",             "0.165999, 0.165576, 0.166553, 0.171933, 0.239819",             "0.309709, 0.308987, 0.308926, 0.311303, 0.412228",             "1.459135, 1.458114, 1.456788, 1.454769, 1.495149"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.010762, 0.031215, 0.042613, 0.056720, 0.163173",             "0.106795, 0.114659, 0.123175, 0.139761, 0.315494",             "0.201577, 0.206563, 0.212378, 0.225460, 0.423829",             "0.390704, 0.393586, 0.396927, 0.405778, 0.599884",             "1.902537, 1.903178, 1.903203, 1.904622, 1.991405"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.023524, 0.029914, 0.043867, 0.071865, 0.348115",             "0.124790, 0.124832, 0.126119, 0.138292, 0.474155",             "0.228743, 0.228744, 0.228751, 0.231812, 0.561135",             "0.436738, 0.436734, 0.436738, 0.436740, 0.675654",             "2.100895, 2.100896, 2.100897, 2.100896, 2.108562"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.034663, 0.063510, 0.047967, 0.068994, 0.335936",             "0.166389, 0.166412, 0.166844, 0.173304, 0.460327",             "0.297251, 0.297251, 0.297255, 0.297868, 0.539773",             "0.558920, 0.558909, 0.558922, 0.558921, 0.702122",             "2.651676, 2.651678, 2.651676, 2.651675, 2.651676"          );        }      }      timing () {        related_pin: "B";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.019651, 0.021446, 0.022931, 0.021898, -0.058654",             "0.091977, 0.091918, 0.093938, 0.101438, 0.098787",             "0.163917, 0.163487, 0.164267, 0.169031, 0.210590",             "0.307654, 0.306986, 0.306812, 0.308826, 0.390145",             "1.457089, 1.456195, 1.454848, 1.452765, 1.487992"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.013313, 0.029492, 0.038920, 0.053701, 0.189828",             "0.107559, 0.109470, 0.112797, 0.125324, 0.314605",             "0.202143, 0.200386, 0.199276, 0.203456, 0.404946",             "0.391163, 0.386838, 0.381869, 0.377141, 0.555274",             "1.902923, 1.895945, 1.886158, 1.868337, 1.797664"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.019077, 0.026325, 0.041095, 0.072960, 0.515154",             "0.120223, 0.120250, 0.121709, 0.133832, 0.515903",             "0.224169, 0.224172, 0.224205, 0.227354, 0.569826",             "0.432139, 0.432141, 0.432149, 0.432153, 0.667848",             "2.096306, 2.096306, 2.096306, 2.096307, 2.104382"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.036269, 0.063193, 0.062392, 0.098967, 0.551553",             "0.166373, 0.166389, 0.169112, 0.187994, 0.688610",             "0.297276, 0.297267, 0.297275, 0.302013, 0.760566",             "0.558916, 0.558919, 0.558917, 0.558918, 0.890221",             "2.651678, 2.651678, 2.651674, 2.651677, 2.657773"          );        }      }      timing () {        related_pin: "C";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.020200, 0.018794, 0.020910, 0.023798, 0.017569",             "0.092119, 0.084321, 0.084972, 0.095024, 0.157181",             "0.163930, 0.154431, 0.151294, 0.155481, 0.252498",             "0.307422, 0.296784, 0.290296, 0.286804, 0.407284",             "1.454937, 1.443187, 1.432682, 1.416494, 1.394586"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.010498, 0.021541, 0.028604, 0.036531, 0.082739",             "0.101264, 0.104773, 0.111902, 0.126711, 0.261658",             "0.195526, 0.196677, 0.201528, 0.213170, 0.380207",             "0.384366, 0.383767, 0.386473, 0.394329, 0.568488",             "1.895957, 1.893533, 1.893309, 1.894383, 1.975065"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.020633, 0.030682, 0.050793, 0.085135, 0.465687",             "0.123409, 0.123499, 0.127912, 0.152623, 0.625796",             "0.228067, 0.228069, 0.228437, 0.238660, 0.715340",             "0.437443, 0.437449, 0.437446, 0.438286, 0.825117",             "2.112769, 2.112769, 2.112771, 2.112769, 2.154781"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.021627, 0.033850, 0.033202, 0.057903, 0.432542",             "0.146054, 0.146118, 0.146677, 0.153711, 0.456404",             "0.276800, 0.276784, 0.276786, 0.277558, 0.525056",             "0.538347, 0.538333, 0.538346, 0.538344, 0.682865",             "2.630986, 2.630987, 2.630989, 2.630987, 2.630987"          );        }      }      timing () {        related_pin: "D";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.016935, 0.015243, 0.015695, 0.014740, -0.033455",             "0.089882, 0.081949, 0.082234, 0.090924, 0.115190",             "0.161756, 0.152189, 0.148795, 0.152107, 0.216277",             "0.305276, 0.294641, 0.288008, 0.283956, 0.379732",             "1.452819, 1.441160, 1.430652, 1.414354, 1.384946"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.011131, 0.019163, 0.024855, 0.033370, 0.110590",             "0.101703, 0.099111, 0.101250, 0.112517, 0.253820",             "0.195929, 0.190278, 0.188100, 0.190853, 0.353274",             "0.384754, 0.376936, 0.371227, 0.365324, 0.516649",             "1.896340, 1.886290, 1.876220, 1.858031, 1.777651"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.016198, 0.026696, 0.047157, 0.087412, 0.629442",             "0.118843, 0.118871, 0.123371, 0.147773, 0.655892",             "0.223461, 0.223424, 0.223842, 0.234191, 0.716081",             "0.432839, 0.432831, 0.432841, 0.433715, 0.813736",             "2.108153, 2.108150, 2.108150, 2.108152, 2.151140"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.019318, 0.037477, 0.046391, 0.085342, 0.625357",             "0.146062, 0.146061, 0.148782, 0.168097, 0.675212",             "0.276795, 0.276788, 0.276812, 0.281783, 0.738328",             "0.538338, 0.538332, 0.538332, 0.538345, 0.865002",             "2.630987, 2.630990, 2.630986, 2.630986, 2.638001"          );        }      }    }  }  cell (BUFX2) {    pg_pin (vdd) { voltage_name : "vdd"; pg_type : "primary_power"; } pg_pin (gnd) { voltage_name : "gnd"; pg_type : "primary_ground"; } area: 658368.0;    cell_leakage_power: 0.1173;    pin (A) {      direction: input;      rise_capacitance: 0.006189356217773286;      fall_capacitance: 0.008913012740210141;      capacitance: 0.007551184478991714;    }    pin (Y) {      direction: output;      function: "!(!A)";      function: "(A)";      timing () {        related_pin: "A";        timing_sense: positive_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "-0.003161, 0.018284, 0.022862, 0.029597, 0.082449",             "0.045168, 0.050118, 0.057399, 0.071712, 0.153721",             "0.086116, 0.085817, 0.089463, 0.102695, 0.199215",             "0.172383, 0.166439, 0.164531, 0.169815, 0.284515",             "0.876891, 0.864252, 0.852323, 0.833869, 0.853010"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.014482, 0.018379, 0.020768, 0.022068, -0.009140",             "0.054683, 0.055959, 0.059876, 0.069706, 0.071632",             "0.098664, 0.097948, 0.098411, 0.105829, 0.136458",             "0.187943, 0.185797, 0.183424, 0.183072, 0.249186",             "0.903989, 0.900540, 0.895789, 0.885878, 0.887209"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.021141, 0.053490, 0.059672, 0.113073, 0.840389",             "0.077202, 0.091911, 0.106094, 0.141454, 0.832516",             "0.145621, 0.149491, 0.160179, 0.190218, 0.830936",             "0.287250, 0.287270, 0.289142, 0.305563, 0.867895",             "1.420515, 1.420519, 1.420516, 1.420515, 1.614647"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.015821, 0.031534, 0.054604, 0.109725, 0.838134",             "0.090618, 0.095897, 0.108850, 0.147026, 0.841931",             "0.176061, 0.177617, 0.184255, 0.210648, 0.851578",             "0.348673, 0.348740, 0.350198, 0.363180, 0.905512",             "1.726970, 1.726967, 1.726971, 1.726970, 1.912266"          );        }      }    }  }  cell (BUFX4) {    pg_pin (vdd) { voltage_name : "vdd"; pg_type : "primary_power"; } pg_pin (gnd) { voltage_name : "gnd"; pg_type : "primary_ground"; } area: 877824.0;    cell_leakage_power: 0.1173;    pin (A) {      direction: input;      rise_capacitance: 0.006190154742284263;      fall_capacitance: 0.008890580111169952;      capacitance: 0.007540367426727108;    }    pin (Y) {      direction: output;      function: "!(!A)";      function: "(A)";      timing () {        related_pin: "A";        timing_sense: positive_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "-0.003332, 0.021756, 0.028208, 0.035969, 0.093993",             "0.026181, 0.039174, 0.048485, 0.060878, 0.137999",             "0.045221, 0.053837, 0.062262, 0.078031, 0.166608",             "0.086091, 0.087685, 0.092767, 0.107223, 0.211923",             "0.435684, 0.421572, 0.413289, 0.404913, 0.530577"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.019917, 0.023712, 0.027202, 0.030685, 0.008146",             "0.039940, 0.042849, 0.048226, 0.055685, 0.049883",             "0.059858, 0.061386, 0.065377, 0.075941, 0.084686",             "0.102963, 0.102628, 0.103617, 0.111160, 0.147406",             "0.459869, 0.457168, 0.453471, 0.446561, 0.530833"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.022521, 0.061092, 0.098545, 0.118668, 0.841214",             "0.047803, 0.081523, 0.116519, 0.130463, 0.837645",             "0.078588, 0.106772, 0.139897, 0.152839, 0.833203",             "0.146398, 0.156268, 0.169785, 0.200500, 0.833117",             "0.712599, 0.712588, 0.712577, 0.712845, 1.089880"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.022169, 0.034922, 0.057456, 0.109434, 0.837145",             "0.053315, 0.062209, 0.079539, 0.123803, 0.839403",             "0.093114, 0.098044, 0.110960, 0.148338, 0.840752",             "0.177240, 0.178652, 0.185453, 0.211899, 0.851340",             "0.865991, 0.865992, 0.865993, 0.866799, 1.209225"          );        }      }    }  }  cell (CLKBUF1) {    pg_pin (vdd) { voltage_name : "vdd"; pg_type : "primary_power"; } pg_pin (gnd) { voltage_name : "gnd"; pg_type : "primary_ground"; } area: 1975104.0;    cell_leakage_power: 0.1173;    pin (A) {      direction: input;      rise_capacitance: 0.012377692547513634;      fall_capacitance: 0.014507744176093367;      capacitance: 0.0134427183618035;    }    pin (Y) {      direction: output;      function: "!(!A)";      function: "(A)";      timing () {        related_pin: "A";        timing_sense: positive_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "-0.003460, 0.039905, 0.046929, 0.057149, 0.129195",             "0.039219, 0.054860, 0.064114, 0.078186, 0.157207",             "0.055285, 0.068102, 0.077098, 0.093315, 0.177192",             "0.092471, 0.100229, 0.107093, 0.121807, 0.216231",             "0.435605, 0.429264, 0.424902, 0.423004, 0.538823"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.032185, 0.040159, 0.044451, 0.047986, 0.023388",             "0.050450, 0.056824, 0.062828, 0.071275, 0.053156",             "0.068299, 0.073963, 0.079184, 0.090175, 0.079028",             "0.109143, 0.112555, 0.116061, 0.124195, 0.130603",             "0.463189, 0.462100, 0.460697, 0.458229, 0.520719"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.038133, 0.073853, 0.108060, 0.106379, 0.742329",             "0.060385, 0.095859, 0.130135, 0.118818, 0.738152",             "0.087750, 0.120648, 0.154819, 0.142636, 0.732318",             "0.148631, 0.165728, 0.177042, 0.190178, 0.740928",             "0.712222, 0.712217, 0.712215, 0.712236, 0.974750"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.042002, 0.068064, 0.055378, 0.096450, 0.738499",             "0.070257, 0.097122, 0.081847, 0.113407, 0.740126",             "0.100976, 0.109877, 0.115079, 0.140310, 0.740118",             "0.178532, 0.181261, 0.187084, 0.204804, 0.747643",             "0.865667, 0.865654, 0.865705, 0.865803, 1.087674"          );        }      }    }  }  cell (HAX1) {    pg_pin (vdd) { voltage_name : "vdd"; pg_type : "primary_power"; } pg_pin (gnd) { voltage_name : "gnd"; pg_type : "primary_ground"; } area: 9158175.0;    cell_leakage_power: 0.1173;    pin (B) {      direction: input;    }    pin (A) {      direction: input;    }    pin (YS) {      direction: output;      function: "!(!B&!A|B&A)";    }    pin (YC) {      direction: output;      function: "(B&A)";    }  }  cell (INV) {    pg_pin (vdd) { voltage_name : "vdd"; pg_type : "primary_power"; } pg_pin (gnd) { voltage_name : "gnd"; pg_type : "primary_ground"; } area: 438912.0;    cell_leakage_power: 0.1173;    pin (A) {      direction: input;      rise_capacitance: 0.006188556324394764;      fall_capacitance: 0.008930247677472391;      capacitance: 0.007559402000933578;    }    pin (Y) {      direction: output;      function: "!(A)";      function: "(!A)";      timing () {        related_pin: "A";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.005045, 0.004409, 0.003488, -0.000307, -0.065884",             "0.045060, 0.042762, 0.047513, 0.054699, 0.040967",             "0.088722, 0.082033, 0.082140, 0.091178, 0.111791",             "0.176767, 0.166715, 0.160456, 0.160380, 0.224564",             "0.882682, 0.869493, 0.854103, 0.828028, 0.824714"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.005563, 0.008580, 0.012595, 0.019184, 0.090037",             "0.046837, 0.047773, 0.053764, 0.068471, 0.181014",             "0.091353, 0.089965, 0.091587, 0.103620, 0.243501",             "0.180850, 0.178100, 0.176154, 0.179192, 0.343968",             "0.897064, 0.893202, 0.888379, 0.879811, 0.928891"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.008037, 0.029159, 0.057520, 0.115796, 0.870209",             "0.074565, 0.079799, 0.096343, 0.138761, 0.864852",             "0.145329, 0.146192, 0.155787, 0.188759, 0.863750",             "0.286862, 0.286915, 0.288453, 0.307678, 0.903487",             "1.420162, 1.420160, 1.420161, 1.420161, 1.674200"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.008767, 0.030412, 0.056701, 0.115566, 0.870158",             "0.089918, 0.095810, 0.111953, 0.155870, 0.877226",             "0.175658, 0.177628, 0.186680, 0.219666, 0.900539",             "0.348403, 0.348491, 0.350746, 0.368988, 0.971736",             "1.726613, 1.726603, 1.726598, 1.726608, 1.975691"          );        }      }    }  }  cell (INVX1) {    pg_pin (vdd) { voltage_name : "vdd"; pg_type : "primary_power"; } pg_pin (gnd) { voltage_name : "gnd"; pg_type : "primary_ground"; } area: 438912.0;    cell_leakage_power: 0.1173;    pin (A) {      direction: input;      rise_capacitance: 0.006188556324394764;      fall_capacitance: 0.008930247677472391;      capacitance: 0.007559402000933578;    }    pin (Y) {      direction: output;      function: "!(A)";      function: "(!A)";      timing () {        related_pin: "A";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.005045, 0.004409, 0.003488, -0.000307, -0.065884",             "0.045060, 0.042762, 0.047513, 0.054699, 0.040967",             "0.088722, 0.082033, 0.082140, 0.091178, 0.111791",             "0.176767, 0.166715, 0.160456, 0.160380, 0.224564",             "0.882682, 0.869493, 0.854103, 0.828028, 0.824714"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.005563, 0.008580, 0.012595, 0.019184, 0.090037",             "0.046837, 0.047773, 0.053764, 0.068471, 0.181014",             "0.091353, 0.089965, 0.091587, 0.103620, 0.243501",             "0.180850, 0.178100, 0.176154, 0.179192, 0.343968",             "0.897064, 0.893202, 0.888379, 0.879811, 0.928891"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.008037, 0.029159, 0.057520, 0.115796, 0.870209",             "0.074565, 0.079799, 0.096343, 0.138761, 0.864852",             "0.145329, 0.146192, 0.155787, 0.188759, 0.863750",             "0.286862, 0.286915, 0.288453, 0.307678, 0.903487",             "1.420162, 1.420160, 1.420161, 1.420161, 1.674200"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.008767, 0.030412, 0.056701, 0.115566, 0.870158",             "0.089918, 0.095810, 0.111953, 0.155870, 0.877226",             "0.175658, 0.177628, 0.186680, 0.219666, 0.900539",             "0.348403, 0.348491, 0.350746, 0.368988, 0.971736",             "1.726613, 1.726603, 1.726598, 1.726608, 1.975691"          );        }      }    }  }  cell (INVX2) {    pg_pin (vdd) { voltage_name : "vdd"; pg_type : "primary_power"; } pg_pin (gnd) { voltage_name : "gnd"; pg_type : "primary_ground"; } area: 438912.0;    cell_leakage_power: 0.1173;    pin (A) {      direction: input;      rise_capacitance: 0.006188556324394764;      fall_capacitance: 0.008930247677472391;      capacitance: 0.007559402000933578;    }    pin (Y) {      direction: output;      function: "!(A)";      function: "(!A)";      timing () {        related_pin: "A";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.005045, 0.004409, 0.003488, -0.000307, -0.065884",             "0.045060, 0.042762, 0.047513, 0.054699, 0.040967",             "0.088722, 0.082033, 0.082140, 0.091178, 0.111791",             "0.176767, 0.166715, 0.160456, 0.160380, 0.224564",             "0.882682, 0.869493, 0.854103, 0.828028, 0.824714"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.005563, 0.008580, 0.012595, 0.019184, 0.090037",             "0.046837, 0.047773, 0.053764, 0.068471, 0.181014",             "0.091353, 0.089965, 0.091587, 0.103620, 0.243501",             "0.180850, 0.178100, 0.176154, 0.179192, 0.343968",             "0.897064, 0.893202, 0.888379, 0.879811, 0.928891"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.008037, 0.029159, 0.057520, 0.115796, 0.870209",             "0.074565, 0.079799, 0.096343, 0.138761, 0.864852",             "0.145329, 0.146192, 0.155787, 0.188759, 0.863750",             "0.286862, 0.286915, 0.288453, 0.307678, 0.903487",             "1.420162, 1.420160, 1.420161, 1.420161, 1.674200"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.008767, 0.030412, 0.056701, 0.115566, 0.870158",             "0.089918, 0.095810, 0.111953, 0.155870, 0.877226",             "0.175658, 0.177628, 0.186680, 0.219666, 0.900539",             "0.348403, 0.348491, 0.350746, 0.368988, 0.971736",             "1.726613, 1.726603, 1.726598, 1.726608, 1.975691"          );        }      }    }  }  cell (INVX4) {    pg_pin (vdd) { voltage_name : "vdd"; pg_type : "primary_power"; } pg_pin (gnd) { voltage_name : "gnd"; pg_type : "primary_ground"; } area: 658368.0;    cell_leakage_power: 0.1173;    pin (A) {      direction: input;      rise_capacitance: 0.012376891490235522;      fall_capacitance: 0.014525173100391225;      capacitance: 0.013451032295313373;    }    pin (Y) {      direction: output;      function: "!(A)";      function: "(!A)";      timing () {        related_pin: "A";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.004836, 0.003911, 0.003113, -0.000947, -0.066781",             "0.023782, 0.025438, 0.029154, 0.032024, -0.003570",             "0.045059, 0.042825, 0.047513, 0.054773, 0.040967",             "0.088711, 0.082075, 0.082140, 0.091166, 0.111791",             "0.441430, 0.429084, 0.416141, 0.399464, 0.483388"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.005423, 0.008343, 0.012279, 0.018588, 0.089288",             "0.025056, 0.028778, 0.035903, 0.047954, 0.142358",             "0.046837, 0.047773, 0.053764, 0.068471, 0.181014",             "0.091353, 0.089965, 0.091587, 0.103620, 0.243501",             "0.449471, 0.445891, 0.441675, 0.435947, 0.579373"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.007775, 0.029000, 0.057625, 0.115801, 0.870234",             "0.039447, 0.049978, 0.071107, 0.121251, 0.867453",             "0.074397, 0.079871, 0.096343, 0.138754, 0.864852",             "0.145174, 0.146264, 0.155787, 0.188826, 0.863750",             "0.711874, 0.711865, 0.711868, 0.712356, 1.131446"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.008278, 0.030236, 0.056581, 0.115515, 0.870125",             "0.047212, 0.057977, 0.079852, 0.130476, 0.873241",             "0.089918, 0.095810, 0.111953, 0.155870, 0.877226",             "0.175658, 0.177628, 0.186680, 0.219666, 0.900539",             "0.865308, 0.865247, 0.865250, 0.866773, 1.291412"          );        }      }    }  }  cell (INVX8) {    pg_pin (vdd) { voltage_name : "vdd"; pg_type : "primary_power"; } pg_pin (gnd) { voltage_name : "gnd"; pg_type : "primary_ground"; } area: 1097280.0;    cell_leakage_power: 0.1173;    pin (A) {      direction: input;      rise_capacitance: 0.02475357794277478;      fall_capacitance: 0.025715030488090873;      capacitance: 0.025234304215432823;    }    pin (Y) {      direction: output;      function: "!(A)";      function: "(!A)";      timing () {        related_pin: "A";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.004711, 0.003669, 0.002920, -0.001273, -0.067235",             "0.013476, 0.016314, 0.018100, 0.018126, -0.031065",             "0.023686, 0.025560, 0.029154, 0.032104, -0.003570",             "0.045002, 0.042834, 0.047470, 0.054715, 0.040967",             "0.220836, 0.210041, 0.201832, 0.197811, 0.273718"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.005356, 0.008225, 0.012119, 0.018287, 0.088886",             "0.014445, 0.019534, 0.025707, 0.035382, 0.118985",             "0.025056, 0.028778, 0.035903, 0.047954, 0.142358",             "0.046837, 0.047773, 0.053764, 0.068471, 0.181014",             "0.225616, 0.222601, 0.219861, 0.220213, 0.388011"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.007647, 0.028925, 0.057669, 0.115805, 0.870247",             "0.022139, 0.037257, 0.061628, 0.115441, 0.868805",             "0.039229, 0.050143, 0.071107, 0.121043, 0.867453",             "0.074441, 0.080027, 0.096405, 0.138670, 0.864852",             "0.357732, 0.357697, 0.358023, 0.371638, 0.931800"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.008160, 0.030148, 0.056531, 0.115506, 0.870109",             "0.026793, 0.041830, 0.067077, 0.120590, 0.871621",             "0.047212, 0.057977, 0.079852, 0.130476, 0.873241",             "0.089918, 0.095810, 0.111953, 0.155870, 0.877226",             "0.434491, 0.434491, 0.435448, 0.448752, 1.014657"          );        }      }    }  }  cell (MUX2X1) {    pg_pin (vdd) { voltage_name : "vdd"; pg_type : "primary_power"; } pg_pin (gnd) { voltage_name : "gnd"; pg_type : "primary_ground"; } area: 1316736.0;    cell_leakage_power: 0.1173;    pin (S) {      direction: input;      rise_capacitance: 0.010338610799344835;      fall_capacitance: 0.008212780453515003;      capacitance: 0.009275695626429919;    }    pin (B) {      direction: input;      rise_capacitance: 0.006397490498320098;      fall_capacitance: 0.008895353965965845;      capacitance: 0.007646422232142972;    }    pin (A) {      direction: input;      rise_capacitance: 0.004937389414015676;      fall_capacitance: 0.007864001795670731;      capacitance: 0.006400695604843204;    }    pin (Y) {      direction: output;      function: "!(!S&B&!A|!S&B&A|S&B&A|S&!B&A)";      function: "(!(A & B & S + A & B & !S + A & S & !B + B & !A & !S))";      timing () {        related_pin: "A";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.019428, 0.021747, 0.023821, 0.024885, -0.020070",             "0.096776, 0.096705, 0.098699, 0.106638, 0.141139",             "0.174325, 0.173758, 0.174373, 0.179031, 0.252974",             "0.329331, 0.328462, 0.328043, 0.329638, 0.432416",             "1.569307, 1.568155, 1.566435, 1.563733, 1.594086"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.015958, 0.027807, 0.035343, 0.047135, 0.140744",             "0.109318, 0.113175, 0.119175, 0.133278, 0.299017",             "0.203682, 0.205651, 0.209577, 0.220207, 0.408863",             "0.392584, 0.393181, 0.395219, 0.402088, 0.586689",             "1.904228, 1.903421, 1.903075, 1.903731, 1.981518"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.020411, 0.026763, 0.039961, 0.063425, 0.317670",             "0.130948, 0.130915, 0.131768, 0.141947, 0.451197",             "0.243567, 0.243583, 0.243517, 0.245528, 0.549507",             "0.468902, 0.468912, 0.468912, 0.468919, 0.675777",             "2.271917, 2.271914, 2.271917, 2.271916, 2.274560"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.034547, 0.032700, 0.040748, 0.064546, 0.323227",             "0.155499, 0.155537, 0.155799, 0.162945, 0.464800",             "0.286354, 0.286330, 0.286313, 0.286918, 0.548668",             "0.547917, 0.547919, 0.547900, 0.547926, 0.702513",             "2.640604, 2.640603, 2.640602, 2.640603, 2.640599"          );        }      }      timing () {        related_pin: "B";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.012479, 0.020519, 0.023592, 0.024838, -0.020037",             "0.088439, 0.091403, 0.095223, 0.104973, 0.140988",             "0.165879, 0.167485, 0.169565, 0.175932, 0.252738",             "0.320839, 0.321506, 0.322081, 0.325051, 0.431811",             "1.560766, 1.560490, 1.559111, 1.556910, 1.590657"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.023985, 0.029348, 0.035865, 0.047430, 0.140831",             "0.115535, 0.117784, 0.122066, 0.134594, 0.299174",             "0.209718, 0.210748, 0.213291, 0.222435, 0.409049",             "0.398509, 0.398634, 0.399621, 0.405195, 0.587049",             "1.910075, 1.909263, 1.908276, 1.908150, 1.983766"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.021856, 0.028909, 0.040295, 0.063869, 0.317858",             "0.130661, 0.130662, 0.132045, 0.142857, 0.451367",             "0.243245, 0.243252, 0.243275, 0.245652, 0.549740",             "0.468595, 0.468585, 0.468603, 0.468582, 0.676414",             "2.271590, 2.271590, 2.271589, 2.271591, 2.274367"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.026595, 0.030491, 0.040269, 0.064145, 0.322768",             "0.155850, 0.155839, 0.155924, 0.162605, 0.464668",             "0.286633, 0.286644, 0.286657, 0.287110, 0.548624",             "0.548248, 0.548238, 0.548248, 0.548232, 0.702345",             "2.641009, 2.640987, 2.640985, 2.640985, 2.640985"          );        }      }      timing () {        related_pin: "S";        timing_sense: non_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.015477, 0.019632, 0.023785, 0.028539, 0.047003",             "0.089791, 0.086977, 0.089938, 0.101079, 0.177000",             "0.166627, 0.161655, 0.161408, 0.167180, 0.272033",             "0.321166, 0.314571, 0.311301, 0.310607, 0.436604",             "1.560695, 1.552272, 1.545023, 1.533295, 1.520465"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.009379, 0.017143, 0.022831, 0.029415, 0.049506",             "0.098224, 0.096461, 0.098086, 0.107381, 0.189678",             "0.191790, 0.187334, 0.185018, 0.186251, 0.294384",             "0.380119, 0.373656, 0.367998, 0.361115, 0.471759",             "1.891175, 1.882501, 1.872694, 1.854265, 1.753057"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.025135, 0.032734, 0.048051, 0.084704, 0.566326",             "0.130733, 0.130708, 0.132826, 0.150233, 0.602446",             "0.243422, 0.243393, 0.243371, 0.247979, 0.662237",             "0.468752, 0.468761, 0.468758, 0.468739, 0.756824",             "2.271751, 2.271754, 2.271754, 2.271752, 2.282476"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.022469, 0.035382, 0.052960, 0.089711, 0.571861",             "0.155713, 0.155428, 0.156540, 0.173483, 0.639442",             "0.286482, 0.286497, 0.286481, 0.290082, 0.712770",             "0.548075, 0.548076, 0.548073, 0.548087, 0.844708",             "2.640769, 2.640767, 2.640766, 2.640768, 2.646447"          );        }      }    }  }  cell (NAND2X1) {    pg_pin (vdd) { voltage_name : "vdd"; pg_type : "primary_power"; } pg_pin (gnd) { voltage_name : "gnd"; pg_type : "primary_ground"; } area: 658368.0;    cell_leakage_power: 0.1173;    pin (B) {      direction: input;      rise_capacitance: 0.004150889506161318;      fall_capacitance: 0.0057806089303857715;      capacitance: 0.004965749218273544;    }    pin (A) {      direction: input;      rise_capacitance: 0.004935475394936947;      fall_capacitance: 0.007870695939137575;      capacitance: 0.0064030856670372616;    }    pin (Y) {      direction: output;      function: "!(B&A)";      function: "(!A & B)";      timing () {        related_pin: "A";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.007196, 0.007595, 0.006535, 0.002335, -0.081701",             "0.048131, 0.045109, 0.049226, 0.055554, 0.023149",             "0.091843, 0.084555, 0.083952, 0.092035, 0.093853",             "0.179911, 0.169368, 0.162474, 0.161292, 0.207503",             "0.885821, 0.872458, 0.856675, 0.829540, 0.814241"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.015633, 0.021476, 0.028398, 0.039896, 0.146774",             "0.107818, 0.110541, 0.116624, 0.131991, 0.322868",             "0.202142, 0.203338, 0.207611, 0.219924, 0.436789",             "0.391020, 0.391118, 0.393477, 0.402003, 0.616285",             "1.902651, 1.901546, 1.901261, 1.902664, 2.012825"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.012945, 0.032109, 0.051696, 0.088446, 0.524049",             "0.079676, 0.085046, 0.101926, 0.145349, 0.674950",             "0.150526, 0.151440, 0.161506, 0.195645, 0.761149",             "0.292156, 0.292210, 0.293910, 0.314078, 0.887525",             "1.425456, 1.425453, 1.425463, 1.425463, 1.693531"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.013964, 0.019082, 0.028092, 0.057082, 0.488461",             "0.142119, 0.142058, 0.142068, 0.146714, 0.440570",             "0.272785, 0.272844, 0.272830, 0.273049, 0.465710",             "0.534379, 0.534395, 0.534370, 0.534368, 0.637728",             "2.627044, 2.627042, 2.627042, 2.627043, 2.627043"          );        }      }      timing () {        related_pin: "B";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.005849, 0.004862, 0.001953, -0.005765, -0.126919",             "0.046431, 0.043395, 0.046993, 0.051015, -0.016988",             "0.090143, 0.082837, 0.081976, 0.088941, 0.057037",             "0.178220, 0.167700, 0.160608, 0.158752, 0.176347",             "0.884156, 0.870837, 0.855034, 0.827713, 0.802365"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.013792, 0.018689, 0.025506, 0.038065, 0.175360",             "0.107725, 0.103637, 0.104869, 0.117440, 0.315940",             "0.202285, 0.196217, 0.193422, 0.197331, 0.412055",             "0.391293, 0.383864, 0.377831, 0.373134, 0.567831",             "1.903042, 1.894202, 1.884122, 1.866559, 1.824766"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.009695, 0.029176, 0.049614, 0.090169, 0.630637",             "0.076701, 0.082090, 0.098427, 0.140823, 0.690595",             "0.147420, 0.148384, 0.158014, 0.191352, 0.764094",             "0.289054, 0.289045, 0.290759, 0.310455, 0.877521",             "1.422334, 1.422325, 1.422328, 1.422326, 1.682986"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.014142, 0.022429, 0.038545, 0.077748, 0.619421",             "0.142113, 0.142115, 0.143140, 0.157535, 0.605736",             "0.272824, 0.272791, 0.272803, 0.275498, 0.636002",             "0.534396, 0.534363, 0.534379, 0.534395, 0.781620",             "2.627039, 2.627044, 2.627041, 2.627039, 2.630177"          );        }      }    }  }  cell (NAND3X1) {    pg_pin (vdd) { voltage_name : "vdd"; pg_type : "primary_power"; } pg_pin (gnd) { voltage_name : "gnd"; pg_type : "primary_ground"; } area: 877824.0;    cell_leakage_power: 0.1173;    pin (C) {      direction: input;      rise_capacitance: 0.004149650005143659;      fall_capacitance: 0.005781505198438881;      capacitance: 0.00496557760179127;    }    pin (B) {      direction: input;      rise_capacitance: 0.004830629997798454;      fall_capacitance: 0.0065460190151835455;      capacitance: 0.005688324506490999;    }    pin (A) {      direction: input;      rise_capacitance: 0.0049353598856550955;      fall_capacitance: 0.007870869195042688;      capacitance: 0.006403114540348892;    }    pin (Y) {      direction: output;      function: "!(C&B&A)";      function: "(!A & B & C)";      timing () {        related_pin: "A";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.008972, 0.009457, 0.008503, 0.002962, -0.100701",             "0.051333, 0.047529, 0.050994, 0.056259, 0.000383",             "0.095086, 0.087278, 0.086059, 0.093123, 0.071594",             "0.183200, 0.172294, 0.164894, 0.162676, 0.188303",             "0.889127, 0.875625, 0.859595, 0.831781, 0.805945"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.020769, 0.029603, 0.037436, 0.051718, 0.185550",             "0.137563, 0.140846, 0.146094, 0.159442, 0.367674",             "0.257454, 0.258396, 0.261172, 0.270635, 0.491854",             "0.497675, 0.496765, 0.496908, 0.501401, 0.695984",             "2.420799, 2.417810, 2.414180, 2.409069, 2.461911"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.017292, 0.035367, 0.056139, 0.094266, 0.548119",             "0.084992, 0.090373, 0.107178, 0.150243, 0.683940",             "0.155780, 0.156840, 0.166974, 0.201316, 0.764246",             "0.297431, 0.297423, 0.299270, 0.320137, 0.888634",             "1.430747, 1.430744, 1.430744, 1.430744, 1.704785"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.023922, 0.025750, 0.032068, 0.055931, 0.495161",             "0.188089, 0.188153, 0.188154, 0.189888, 0.453757",             "0.355339, 0.355334, 0.355344, 0.355344, 0.505262",             "0.689777, 0.689789, 0.689794, 0.689787, 0.761388",             "3.365704, 3.365704, 3.365703, 3.365700, 3.365703"          );        }      }      timing () {        related_pin: "B";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.008334, 0.007999, 0.005426, -0.001872, -0.134533",             "0.049592, 0.045886, 0.049021, 0.052517, -0.029801",             "0.093307, 0.085588, 0.084148, 0.090357, 0.043619",             "0.181419, 0.170558, 0.163034, 0.160347, 0.164016",             "0.887312, 0.873890, 0.857871, 0.829951, 0.796345"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.023592, 0.029466, 0.038594, 0.055239, 0.219385",             "0.141155, 0.143057, 0.148603, 0.163867, 0.404481",             "0.261171, 0.261449, 0.264802, 0.276119, 0.527468",             "0.501476, 0.500497, 0.501691, 0.508419, 0.732132",             "2.424678, 2.422318, 2.420551, 2.419097, 2.507900"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.014708, 0.032973, 0.052387, 0.088064, 0.507153",             "0.081875, 0.087345, 0.103349, 0.145759, 0.652137",             "0.152726, 0.153620, 0.163460, 0.196949, 0.738027",             "0.294293, 0.294378, 0.296116, 0.316174, 0.868979",             "1.427621, 1.427628, 1.427634, 1.427631, 1.694351"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.023614, 0.026398, 0.032949, 0.053238, 0.454307",             "0.188147, 0.188117, 0.188099, 0.189875, 0.415502",             "0.355311, 0.355293, 0.355317, 0.355295, 0.485465",             "0.689798, 0.689798, 0.689789, 0.689787, 0.751303",             "3.365699, 3.365700, 3.365702, 3.365700, 3.365698"          );        }      }      timing () {        related_pin: "C";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.006743, 0.005507, 0.001409, -0.008755, -0.170135",             "0.047762, 0.044106, 0.046936, 0.048789, -0.060209",             "0.091478, 0.083801, 0.082253, 0.087681, 0.016317",             "0.179569, 0.168817, 0.161183, 0.158074, 0.141274",             "0.885505, 0.872150, 0.856133, 0.828109, 0.787687"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.021221, 0.026912, 0.036046, 0.053704, 0.240197",             "0.140988, 0.137908, 0.140081, 0.153521, 0.407328",             "0.261329, 0.256442, 0.255023, 0.261001, 0.520047",             "0.501821, 0.495706, 0.491228, 0.489434, 0.706541",             "2.425190, 2.417843, 2.409583, 2.395522, 2.391247"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.011465, 0.029918, 0.048625, 0.083915, 0.522973",             "0.078783, 0.083779, 0.099962, 0.141527, 0.642500",             "0.149540, 0.150478, 0.160020, 0.192902, 0.728158",             "0.291212, 0.291252, 0.292980, 0.312574, 0.856649",             "1.424500, 1.424495, 1.424506, 1.424500, 1.685213"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.023566, 0.027391, 0.036715, 0.061844, 0.502475",             "0.188148, 0.188141, 0.188149, 0.192359, 0.487219",             "0.355298, 0.355308, 0.355319, 0.355322, 0.560090",             "0.689793, 0.689798, 0.689798, 0.689793, 0.809696",             "3.365702, 3.365700, 3.365703, 3.365699, 3.365703"          );        }      }    }  }  cell (NOR2X1) {    pg_pin (vdd) { voltage_name : "vdd"; pg_type : "primary_power"; } pg_pin (gnd) { voltage_name : "gnd"; pg_type : "primary_ground"; } area: 658368.0;    cell_leakage_power: 0.1173;    pin (B) {      direction: input;    }    pin (A) {      direction: input;    }    pin (Y) {      direction: output;      function: "(!B&!A)";    }  }  cell (NOR3X1) {    pg_pin (vdd) { voltage_name : "vdd"; pg_type : "primary_power"; } pg_pin (gnd) { voltage_name : "gnd"; pg_type : "primary_ground"; } area: 1536192.0;    cell_leakage_power: 0.1173;    pin (C) {      direction: input;    }    pin (B) {      direction: input;    }    pin (A) {      direction: input;    }    pin (Y) {      direction: output;      function: "(!C&!B&!A)";    }  }  cell (OAI21X1) {    pg_pin (vdd) { voltage_name : "vdd"; pg_type : "primary_power"; } pg_pin (gnd) { voltage_name : "gnd"; pg_type : "primary_ground"; } area: 877824.0;    cell_leakage_power: 0.1173;    pin (C) {      direction: input;    }    pin (B) {      direction: input;    }    pin (A) {      direction: input;    }    pin (Y) {      direction: output;      function: "!(C&B&!A|C&B&A|C&!B&A)";    }  }  cell (OAI22X1) {    pg_pin (vdd) { voltage_name : "vdd"; pg_type : "primary_power"; } pg_pin (gnd) { voltage_name : "gnd"; pg_type : "primary_ground"; } area: 6645582.0;    cell_leakage_power: 0.1173;    pin (D) {      direction: input;    }    pin (C) {      direction: input;    }    pin (B) {      direction: input;    }    pin (A) {      direction: input;    }    pin (Y) {      direction: output;      function: "(!D&!C&!B&!A|D&!C&!B&!A|D&C&!B&!A|!D&C&!B&!A|!D&!C&B&!A|!D&!C&B&A|!D&!C&!B&A)";    }  }  cell (OR2X1) {    pg_pin (vdd) { voltage_name : "vdd"; pg_type : "primary_power"; } pg_pin (gnd) { voltage_name : "gnd"; pg_type : "primary_ground"; } area: 877824.0;    cell_leakage_power: 0.1173;    pin (B) {      direction: input;      rise_capacitance: 0.006299305363616857;      fall_capacitance: 0.008885220124112183;      capacitance: 0.007592262743864521;    }    pin (A) {      direction: input;      rise_capacitance: 0.006309610190379141;      fall_capacitance: 0.00630283867340493;      capacitance: 0.006306224431892035;    }    pin (Y) {      direction: output;      function: "!(!B&!A)";      function: "(!!A & !B)";      timing () {        related_pin: "A";        timing_sense: positive_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "-0.002786, -0.022732, 0.019161, 0.019580, -0.011410",             "0.041854, 0.043329, 0.051603, 0.059323, 0.062560",             "0.084916, 0.078410, 0.082038, 0.088448, 0.103720",             "0.172611, 0.158216, 0.154751, 0.153103, 0.172991",             "0.878301, 0.855095, 0.838016, 0.810227, 0.720418"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.028540, 0.031368, 0.036819, 0.045426, 0.100228",             "0.069115, 0.070143, 0.075842, 0.091793, 0.184877",             "0.111955, 0.111797, 0.115439, 0.127845, 0.254311",             "0.200493, 0.199357, 0.201189, 0.207941, 0.374505",             "0.915994, 0.913985, 0.914073, 0.914386, 1.007881"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.013392, 0.051401, 0.087406, 0.152742, 0.687675",             "0.075475, 0.097421, 0.130787, 0.191718, 0.688979",             "0.145593, 0.155613, 0.179062, 0.217295, 0.718675",             "0.287207, 0.287428, 0.292736, 0.315169, 0.792432",             "1.420518, 1.420518, 1.420514, 1.420518, 1.582675"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.024927, 0.029452, 0.042786, 0.080200, 0.663641",             "0.093953, 0.096473, 0.103565, 0.127433, 0.668426",             "0.177647, 0.178545, 0.181992, 0.198197, 0.680919",             "0.349306, 0.349321, 0.350007, 0.357523, 0.740411",             "1.727348, 1.727346, 1.727366, 1.727370, 1.864145"          );        }      }      timing () {        related_pin: "B";        timing_sense: positive_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "-0.002737, 0.015129, 0.026339, 0.031155, 0.057827",             "0.042995, 0.049240, 0.058421, 0.069922, 0.132870",             "0.085418, 0.083324, 0.088199, 0.097150, 0.172762",             "0.172559, 0.161472, 0.159668, 0.160671, 0.230240",             "0.877741, 0.855521, 0.839844, 0.814300, 0.732001"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.029469, 0.033740, 0.039244, 0.045782, 0.049818",             "0.070126, 0.072893, 0.078115, 0.092691, 0.135288",             "0.112911, 0.114897, 0.118672, 0.129875, 0.209498",             "0.201393, 0.202758, 0.205335, 0.211918, 0.341264",             "0.916880, 0.917687, 0.919165, 0.921192, 1.006897"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.016092, 0.057562, 0.095169, 0.162177, 0.699235",             "0.076858, 0.103458, 0.137895, 0.200949, 0.702148",             "0.145825, 0.159732, 0.185528, 0.227910, 0.724008",             "0.287314, 0.288184, 0.294861, 0.320599, 0.788394",             "1.420530, 1.420534, 1.420537, 1.420539, 1.574668"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.024627, 0.027679, 0.036375, 0.067524, 0.609658",             "0.094505, 0.095669, 0.100243, 0.118924, 0.616317",             "0.177929, 0.178313, 0.180338, 0.192868, 0.629773",             "0.349160, 0.349206, 0.349675, 0.355201, 0.694984",             "1.727366, 1.727352, 1.727345, 1.727367, 1.855198"          );        }      }    }  }  cell (OR2X2) {    pg_pin (vdd) { voltage_name : "vdd"; pg_type : "primary_power"; } pg_pin (gnd) { voltage_name : "gnd"; pg_type : "primary_ground"; } area: 877824.0;    cell_leakage_power: 0.1173;    pin (B) {      direction: input;      rise_capacitance: 0.006299305363616857;      fall_capacitance: 0.008885220124112183;      capacitance: 0.007592262743864521;    }    pin (A) {      direction: input;      rise_capacitance: 0.006309610190379141;      fall_capacitance: 0.00630283867340493;      capacitance: 0.006306224431892035;    }    pin (Y) {      direction: output;      function: "!(!B&!A)";      function: "(!!A & !B)";      timing () {        related_pin: "A";        timing_sense: positive_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "-0.002786, -0.022732, 0.019161, 0.019580, -0.011410",             "0.041854, 0.043329, 0.051603, 0.059323, 0.062560",             "0.084916, 0.078410, 0.082038, 0.088448, 0.103720",             "0.172611, 0.158216, 0.154751, 0.153103, 0.172991",             "0.878301, 0.855095, 0.838016, 0.810227, 0.720418"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.028540, 0.031368, 0.036819, 0.045426, 0.100228",             "0.069115, 0.070143, 0.075842, 0.091793, 0.184877",             "0.111955, 0.111797, 0.115439, 0.127845, 0.254311",             "0.200493, 0.199357, 0.201189, 0.207941, 0.374505",             "0.915994, 0.913985, 0.914073, 0.914386, 1.007881"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.013392, 0.051401, 0.087406, 0.152742, 0.687675",             "0.075475, 0.097421, 0.130787, 0.191718, 0.688979",             "0.145593, 0.155613, 0.179062, 0.217295, 0.718675",             "0.287207, 0.287428, 0.292736, 0.315169, 0.792432",             "1.420518, 1.420518, 1.420514, 1.420518, 1.582675"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.024927, 0.029452, 0.042786, 0.080200, 0.663641",             "0.093953, 0.096473, 0.103565, 0.127433, 0.668426",             "0.177647, 0.178545, 0.181992, 0.198197, 0.680919",             "0.349306, 0.349321, 0.350007, 0.357523, 0.740411",             "1.727348, 1.727346, 1.727366, 1.727370, 1.864145"          );        }      }      timing () {        related_pin: "B";        timing_sense: positive_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "-0.002737, 0.015129, 0.026339, 0.031155, 0.057827",             "0.042995, 0.049240, 0.058421, 0.069922, 0.132870",             "0.085418, 0.083324, 0.088199, 0.097150, 0.172762",             "0.172559, 0.161472, 0.159668, 0.160671, 0.230240",             "0.877741, 0.855521, 0.839844, 0.814300, 0.732001"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.029469, 0.033740, 0.039244, 0.045782, 0.049818",             "0.070126, 0.072893, 0.078115, 0.092691, 0.135288",             "0.112911, 0.114897, 0.118672, 0.129875, 0.209498",             "0.201393, 0.202758, 0.205335, 0.211918, 0.341264",             "0.916880, 0.917687, 0.919165, 0.921192, 1.006897"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.016092, 0.057562, 0.095169, 0.162177, 0.699235",             "0.076858, 0.103458, 0.137895, 0.200949, 0.702148",             "0.145825, 0.159732, 0.185528, 0.227910, 0.724008",             "0.287314, 0.288184, 0.294861, 0.320599, 0.788394",             "1.420530, 1.420534, 1.420537, 1.420539, 1.574668"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.024627, 0.027679, 0.036375, 0.067524, 0.609658",             "0.094505, 0.095669, 0.100243, 0.118924, 0.616317",             "0.177929, 0.178313, 0.180338, 0.192868, 0.629773",             "0.349160, 0.349206, 0.349675, 0.355201, 0.694984",             "1.727366, 1.727352, 1.727345, 1.727367, 1.855198"          );        }      }    }  }  cell (XNOR2X1) {    pg_pin (vdd) { voltage_name : "vdd"; pg_type : "primary_power"; } pg_pin (gnd) { voltage_name : "gnd"; pg_type : "primary_ground"; } area: 1536192.0;    cell_leakage_power: 0.1173;    pin (B) {      direction: input;      rise_capacitance: 0.01258592702529086;      fall_capacitance: 0.01450190121076146;      capacitance: 0.01354391411802616;    }    pin (A) {      direction: input;      rise_capacitance: 0.012735641153553287;      fall_capacitance: 0.011631625278500075;      capacitance: 0.012183633216026682;    }    pin (Y) {      direction: output;      function: "!(B&!A|!B&A)";      function: "(!(A & !B + B & !A))";      timing () {        related_pin: "A";        timing_sense: non_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.013989, 0.018024, 0.023608, 0.028544, 0.046990",             "0.086886, 0.083890, 0.087944, 0.100115, 0.176994",             "0.163666, 0.158058, 0.158583, 0.165414, 0.272018",             "0.318190, 0.310552, 0.307716, 0.307846, 0.436394",             "1.557671, 1.547810, 1.540413, 1.528732, 1.518482"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.013397, 0.018391, 0.023707, 0.029700, 0.049560",             "0.104554, 0.099267, 0.099516, 0.107893, 0.189692",             "0.198104, 0.190587, 0.187032, 0.187249, 0.294404",             "0.386428, 0.377203, 0.370471, 0.362665, 0.471845",             "1.897500, 1.886363, 1.875729, 1.856653, 1.754002"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.023920, 0.038475, 0.061455, 0.084755, 0.566336",             "0.130811, 0.130539, 0.133792, 0.151736, 0.602473",             "0.243429, 0.243459, 0.243317, 0.248917, 0.662479",             "0.468797, 0.468798, 0.468793, 0.468774, 0.757658",             "2.271785, 2.271788, 2.271788, 2.271786, 2.282952"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.025980, 0.034386, 0.051179, 0.088206, 0.570375",             "0.155775, 0.156006, 0.156492, 0.172986, 0.639449",             "0.286528, 0.286545, 0.286577, 0.289826, 0.712632",             "0.548141, 0.548132, 0.548123, 0.548137, 0.844311",             "2.640825, 2.640828, 2.640826, 2.640825, 2.646410"          );        }      }      timing () {        related_pin: "B";        timing_sense: non_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.016690, 0.026445, 0.031880, 0.037261, 0.048616",             "0.091051, 0.095514, 0.100621, 0.112158, 0.182377",             "0.168213, 0.171079, 0.174250, 0.182514, 0.282866",             "0.322976, 0.324677, 0.326168, 0.330961, 0.454972",             "1.562706, 1.563167, 1.562488, 1.561803, 1.609286"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.026885, 0.032811, 0.038875, 0.047403, 0.087933",             "0.117282, 0.120145, 0.124314, 0.135271, 0.246516",             "0.211168, 0.212784, 0.215279, 0.223230, 0.363714",             "0.399743, 0.400411, 0.401407, 0.406048, 0.555385",             "1.911052, 1.910726, 1.909802, 1.909023, 1.963658"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.024789, 0.028839, 0.037807, 0.058878, 0.265656",             "0.130769, 0.130753, 0.131666, 0.140183, 0.394222",             "0.243431, 0.243415, 0.243392, 0.244961, 0.496125",             "0.468744, 0.468748, 0.468759, 0.468730, 0.635129",             "2.271767, 2.271764, 2.271765, 2.271763, 2.273192"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.028716, 0.030099, 0.037985, 0.059222, 0.254230",             "0.155712, 0.155759, 0.155806, 0.162226, 0.429522",             "0.286550, 0.286491, 0.286518, 0.286872, 0.538319",             "0.548133, 0.548105, 0.548127, 0.548107, 0.702960",             "2.640815, 2.640815, 2.640812, 2.640812, 2.640812"          );        }      }    }  }  cell (XOR2X1) {    pg_pin (vdd) { voltage_name : "vdd"; pg_type : "primary_power"; } pg_pin (gnd) { voltage_name : "gnd"; pg_type : "primary_ground"; } area: 1536192.0;    cell_leakage_power: 0.1173;    pin (B) {      direction: input;      rise_capacitance: 0.011125237855721299;      fall_capacitance: 0.013458368537199627;      capacitance: 0.012291803196460463;    }    pin (A) {      direction: input;      rise_capacitance: 0.005915560312833218;      fall_capacitance: 0.009103970632490159;      capacitance: 0.007509765472661688;    }    pin (Y) {      direction: output;      function: "!(!B&!A|B&A)";      function: "(!(A & B + !A & !B))";      timing () {        related_pin: "A";        timing_sense: non_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.012892, 0.017884, 0.023582, 0.028581, 0.047039",             "0.088020, 0.084498, 0.088176, 0.100267, 0.176997",             "0.164777, 0.158599, 0.158811, 0.165507, 0.272027",             "0.319197, 0.311031, 0.307896, 0.307904, 0.436456",             "1.558585, 1.548160, 1.540528, 1.528710, 1.518515"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.016957, 0.021209, 0.026433, 0.031788, 0.049581",             "0.105352, 0.101262, 0.101517, 0.109489, 0.189817",             "0.199116, 0.192599, 0.189067, 0.189128, 0.294604",             "0.387610, 0.379329, 0.372685, 0.364852, 0.472363",             "1.898846, 1.888657, 1.878160, 1.859296, 1.755721"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.023649, 0.043194, 0.070971, 0.085067, 0.565950",             "0.130727, 0.130960, 0.133759, 0.151712, 0.602446",             "0.243440, 0.243431, 0.243448, 0.248631, 0.662387",             "0.468772, 0.468795, 0.468785, 0.468785, 0.757475",             "2.271774, 2.271788, 2.271788, 2.271786, 2.282763"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.028995, 0.032141, 0.047871, 0.084453, 0.560124",             "0.155738, 0.155044, 0.155572, 0.170946, 0.631684",             "0.286568, 0.286562, 0.286518, 0.289308, 0.710606",             "0.548144, 0.548142, 0.548134, 0.548140, 0.843303",             "2.640828, 2.640826, 2.640826, 2.640827, 2.646192"          );        }      }      timing () {        related_pin: "B";        timing_sense: non_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.014892, 0.026246, 0.031838, 0.037204, 0.048590",             "0.091734, 0.095678, 0.100730, 0.112148, 0.182368",             "0.168923, 0.171200, 0.174309, 0.182497, 0.282866",             "0.323721, 0.324777, 0.326200, 0.330900, 0.455028",             "1.563456, 1.563165, 1.562411, 1.561608, 1.609279"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.024069, 0.032502, 0.038891, 0.047467, 0.087946",             "0.115473, 0.118528, 0.123164, 0.134818, 0.246531",             "0.209458, 0.210932, 0.213732, 0.222349, 0.363720",             "0.398106, 0.398429, 0.399551, 0.404751, 0.555323",             "1.909486, 1.908601, 1.907591, 1.907104, 1.962711"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.025121, 0.046358, 0.037829, 0.058746, 0.265684",             "0.130823, 0.130739, 0.131644, 0.140015, 0.394131",             "0.243421, 0.243395, 0.243335, 0.244847, 0.496071",             "0.468767, 0.468755, 0.468745, 0.468743, 0.634781",             "2.271778, 2.271763, 2.271765, 2.271761, 2.273117"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.031037, 0.031042, 0.038291, 0.059160, 0.254240",             "0.155716, 0.155677, 0.155943, 0.162510, 0.429541",             "0.286530, 0.286528, 0.286510, 0.286927, 0.538348",             "0.548114, 0.548124, 0.548121, 0.548120, 0.703170",             "2.640816, 2.640814, 2.640814, 2.640812, 2.640811"          );        }      }    }  }}
\ No newline at end of file
+library (ls05_stdcells) {  delay_model: table_lookup;  in_place_swap_mode: match_footprint;  time_unit: "1ns";  voltage_unit: "1V";  current_unit: "1uA";  pulling_resistance_unit: "1kohm";  leakage_power_unit: "1nW";  capacitive_load_unit (1.0, pf);  slew_upper_threshold_pct_rise: 80.0;  slew_lower_threshold_pct_rise: 20.0;  slew_upper_threshold_pct_fall: 80.0;  slew_lower_threshold_pct_fall: 20.0;  input_threshold_pct_rise: 50.0;  input_threshold_pct_fall: 50.0;  output_threshold_pct_rise: 50.0;  output_threshold_pct_fall: 50.0;  nom_process: 1.0;  nom_voltage: 5.0;  nom_temperature: 25.0;  default_operating_conditions: typical;  operating_conditions (typical) {    process: 1.0;    voltage: 5.0;    temperature: 25.0;  }  lu_table_template (delay_template_5x1) {    variable_1: input_net_transition;    index_1 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0"    );  }  lu_table_template (delay_template_5x5) {    variable_1: total_output_net_capacitance;    variable_2: input_net_transition;    index_1 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0"    );    index_2 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0"    );  }  lu_table_template (delay_template_5x6) {    variable_1: total_output_net_capacitance;    variable_2: input_net_transition;    index_1 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0"    );    index_2 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0"    );  }  lu_table_template (delay_template_6x1) {    variable_1: input_net_transition;    index_1 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0"    );  }  lu_table_template (delay_template_6x6) {    variable_1: total_output_net_capacitance;    variable_2: input_net_transition;    index_1 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0"    );    index_2 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0"    );  }  power_lut_template (energy_template_5x5) {    variable_1: total_output_net_capacitance;    variable_2: input_transition_time;    index_1 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0"    );    index_2 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0"    );  }  power_lut_template (energy_template_5x6) {    variable_1: total_output_net_capacitance;    variable_2: input_transition_time;    index_1 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0"    );    index_2 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0"    );  }  power_lut_template (energy_template_6x6) {    variable_1: total_output_net_capacitance;    variable_2: input_transition_time;    index_1 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0"    );    index_2 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0"    );  }  lu_table_template (hold_template_3x5) {    variable_1: related_pin_transition;    variable_2: constrained_pin_transition;    index_1 (      "1000.0, 1001.0, 1002.0"    );    index_2 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0"    );  }  lu_table_template (hold_template_3x6) {    variable_1: related_pin_transition;    variable_2: constrained_pin_transition;    index_1 (      "1000.0, 1001.0, 1002.0"    );    index_2 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0"    );  }  power_lut_template (passive_energy_template_5x1) {    variable_1: input_transition_time;    index_1 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0"    );  }  power_lut_template (passive_energy_template_6x1) {    variable_1: input_transition_time;    index_1 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0"    );  }  lu_table_template (recovery_template_3x6) {    variable_1: related_pin_transition;    variable_2: constrained_pin_transition;    index_1 (      "1000.0, 1001.0, 1002.0"    );    index_2 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0"    );  }  lu_table_template (recovery_template_6x6) {    variable_1: related_pin_transition;    variable_2: constrained_pin_transition;    index_1 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0"    );    index_2 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0"    );  }  lu_table_template (removal_template_3x6) {    variable_1: related_pin_transition;    variable_2: constrained_pin_transition;    index_1 (      "1000.0, 1001.0, 1002.0"    );    index_2 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0"    );  }  lu_table_template (setup_template_3x5) {    variable_1: related_pin_transition;    variable_2: constrained_pin_transition;    index_1 (      "1000.0, 1001.0, 1002.0"    );    index_2 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0"    );  }  lu_table_template (setup_template_3x6) {    variable_1: related_pin_transition;    variable_2: constrained_pin_transition;    index_1 (      "1000.0, 1001.0, 1002.0"    );    index_2 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0"    );  }  cell (AND2X1) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 877824.0;    cell_leakage_power: 0.1173;    pin (B) {      direction: input;      rise_capacitance: 0.004935475891367477;      fall_capacitance: 0.007858406695085389;      capacitance: 0.006396941293226433;    }    pin (A) {      direction: input;      rise_capacitance: 0.004150891096654475;      fall_capacitance: 0.005776428708787616;      capacitance: 0.004963659902721045;    }    pin (Y) {      direction: output;      function: "(B&A)";      function: "(A & B)";      timing () {        related_pin: "A";        timing_sense: positive_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "-0.003054, 0.030498, 0.039878, 0.053343, 0.188749",             "0.048098, 0.064059, 0.074620, 0.093597, 0.252448",             "0.087865, 0.098559, 0.108152, 0.125156, 0.300811",             "0.172721, 0.177015, 0.184227, 0.196165, 0.394456",             "0.875756, 0.870760, 0.872299, 0.869455, 0.975392"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.015977, 0.018737, 0.019304, 0.016191, -0.074696",             "0.056135, 0.056445, 0.057308, 0.057768, -0.014818",             "0.099933, 0.098214, 0.096071, 0.094474, 0.031563",             "0.189088, 0.185938, 0.181261, 0.173387, 0.117548",             "0.905025, 0.900630, 0.893784, 0.878306, 0.755396"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.026432, 0.038218, 0.045398, 0.077143, 0.593792",             "0.080791, 0.094490, 0.092269, 0.111832, 0.588352",             "0.147163, 0.151582, 0.153943, 0.167618, 0.594475",             "0.287657, 0.288087, 0.288559, 0.294676, 0.639075",             "1.420873, 1.420869, 1.420872, 1.420876, 1.523193"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.017097, 0.032947, 0.054213, 0.094807, 0.609971",             "0.091168, 0.096623, 0.108594, 0.140442, 0.624323",             "0.176540, 0.177904, 0.183856, 0.205552, 0.674111",             "0.348626, 0.348716, 0.349898, 0.359158, 0.778703",             "1.726993, 1.726969, 1.726997, 1.726970, 1.840952"          );        }      }      timing () {        related_pin: "B";        timing_sense: positive_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "-0.002948, 0.031842, 0.043871, 0.058431, 0.170628",             "0.046736, 0.065667, 0.079697, 0.098261, 0.233471",             "0.087052, 0.099648, 0.114524, 0.132001, 0.286132",             "0.172376, 0.177352, 0.192051, 0.206645, 0.390972",             "0.875894, 0.869785, 0.881810, 0.887018, 1.014535"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.018316, 0.021069, 0.023194, 0.023536, -0.031337",             "0.058079, 0.058865, 0.060249, 0.060930, 0.021381",             "0.101524, 0.100223, 0.098824, 0.096634, 0.056583",             "0.190472, 0.187661, 0.183754, 0.176080, 0.122194",             "0.906272, 0.902147, 0.895946, 0.881308, 0.736220"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.023782, 0.069151, 0.034982, 0.054052, 0.449913",             "0.080000, 0.118312, 0.085490, 0.095978, 0.447397",             "0.146991, 0.152360, 0.150326, 0.156871, 0.457664",             "0.287629, 0.288180, 0.288056, 0.290376, 0.519847",             "1.420875, 1.420869, 1.420872, 1.420868, 1.491447"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.020252, 0.035538, 0.056873, 0.095129, 0.521070",             "0.091909, 0.097885, 0.110175, 0.140770, 0.556828",             "0.176533, 0.178340, 0.184385, 0.205074, 0.605254",             "0.348727, 0.348732, 0.349910, 0.357567, 0.705589",             "1.727017, 1.726977, 1.726979, 1.727007, 1.795626"          );        }      }    }  }  cell (AND2X2) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 877824.0;    cell_leakage_power: 0.1173;    pin (B) {      direction: input;      rise_capacitance: 0.004935475891367477;      fall_capacitance: 0.007858406695085389;      capacitance: 0.006396941293226433;    }    pin (A) {      direction: input;      rise_capacitance: 0.004150891096654475;      fall_capacitance: 0.005776428708787616;      capacitance: 0.004963659902721045;    }    pin (Y) {      direction: output;      function: "(B&A)";      function: "(A & B)";      timing () {        related_pin: "A";        timing_sense: positive_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "-0.003054, 0.030498, 0.039878, 0.053343, 0.188749",             "0.048098, 0.064059, 0.074620, 0.093597, 0.252448",             "0.087865, 0.098559, 0.108152, 0.125156, 0.300811",             "0.172721, 0.177015, 0.184227, 0.196165, 0.394456",             "0.875756, 0.870760, 0.872299, 0.869455, 0.975392"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.015977, 0.018737, 0.019304, 0.016191, -0.074696",             "0.056135, 0.056445, 0.057308, 0.057768, -0.014818",             "0.099933, 0.098214, 0.096071, 0.094474, 0.031563",             "0.189088, 0.185938, 0.181261, 0.173387, 0.117548",             "0.905025, 0.900630, 0.893784, 0.878306, 0.755396"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.026432, 0.038218, 0.045398, 0.077143, 0.593792",             "0.080791, 0.094490, 0.092269, 0.111832, 0.588352",             "0.147163, 0.151582, 0.153943, 0.167618, 0.594475",             "0.287657, 0.288087, 0.288559, 0.294676, 0.639075",             "1.420873, 1.420869, 1.420872, 1.420876, 1.523193"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.017097, 0.032947, 0.054213, 0.094807, 0.609971",             "0.091168, 0.096623, 0.108594, 0.140442, 0.624323",             "0.176540, 0.177904, 0.183856, 0.205552, 0.674111",             "0.348626, 0.348716, 0.349898, 0.359158, 0.778703",             "1.726993, 1.726969, 1.726997, 1.726970, 1.840952"          );        }      }      timing () {        related_pin: "B";        timing_sense: positive_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "-0.002948, 0.031842, 0.043871, 0.058431, 0.170628",             "0.046736, 0.065667, 0.079697, 0.098261, 0.233471",             "0.087052, 0.099648, 0.114524, 0.132001, 0.286132",             "0.172376, 0.177352, 0.192051, 0.206645, 0.390972",             "0.875894, 0.869785, 0.881810, 0.887018, 1.014535"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.018316, 0.021069, 0.023194, 0.023536, -0.031337",             "0.058079, 0.058865, 0.060249, 0.060930, 0.021381",             "0.101524, 0.100223, 0.098824, 0.096634, 0.056583",             "0.190472, 0.187661, 0.183754, 0.176080, 0.122194",             "0.906272, 0.902147, 0.895946, 0.881308, 0.736220"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.023782, 0.069151, 0.034982, 0.054052, 0.449913",             "0.080000, 0.118312, 0.085490, 0.095978, 0.447397",             "0.146991, 0.152360, 0.150326, 0.156871, 0.457664",             "0.287629, 0.288180, 0.288056, 0.290376, 0.519847",             "1.420875, 1.420869, 1.420872, 1.420868, 1.491447"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.020252, 0.035538, 0.056873, 0.095129, 0.521070",             "0.091909, 0.097885, 0.110175, 0.140770, 0.556828",             "0.176533, 0.178340, 0.184385, 0.205074, 0.605254",             "0.348727, 0.348732, 0.349910, 0.357567, 0.705589",             "1.727017, 1.726977, 1.726979, 1.727007, 1.795626"          );        }      }    }  }  cell (AOI21X1) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 877824.0;    cell_leakage_power: 0.1173;    pin (C) {      direction: input;      rise_capacitance: 0.006296176741869404;      fall_capacitance: 0.006101450811807844;      capacitance: 0.006198813776838624;    }    pin (B) {      direction: input;      rise_capacitance: 0.004150897383251567;      fall_capacitance: 0.005762494885719812;      capacitance: 0.00495669613448569;    }    pin (A) {      direction: input;      rise_capacitance: 0.004935476111367993;      fall_capacitance: 0.007850019508283936;      capacitance: 0.006392747809825965;    }    pin (Y) {      direction: output;      function: "(!C&!B&!A|!C&B&!A|!C&!B&A)";      function: "((A & !B & !C + B & !A & !C + !A & !B & !C))";      timing () {        related_pin: "A";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.018081, 0.020447, 0.022456, 0.023296, -0.023076",             "0.095512, 0.095564, 0.097577, 0.105665, 0.139556",             "0.173079, 0.172613, 0.173245, 0.177966, 0.251687",             "0.328092, 0.327325, 0.326934, 0.328569, 0.431362",             "1.568078, 1.567023, 1.565399, 1.562764, 1.593146"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.011083, 0.027112, 0.034806, 0.046174, 0.138537",             "0.106126, 0.110980, 0.117817, 0.132662, 0.298423",             "0.200686, 0.203305, 0.207787, 0.219052, 0.408583",             "0.389684, 0.390741, 0.393128, 0.400447, 0.586557",             "1.901409, 1.900881, 1.900582, 1.901419, 1.980303"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.017400, 0.024998, 0.038610, 0.061076, 0.316134",             "0.127638, 0.127551, 0.128643, 0.138963, 0.448630",             "0.240290, 0.240260, 0.240283, 0.242298, 0.547010",             "0.465607, 0.465635, 0.465599, 0.465632, 0.673379",             "2.268621, 2.268618, 2.268620, 2.268621, 2.271276"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.029014, 0.032057, 0.039965, 0.063854, 0.320917",             "0.155270, 0.155388, 0.155812, 0.163213, 0.464067",             "0.286240, 0.286177, 0.286189, 0.286907, 0.549050",             "0.547857, 0.547835, 0.547859, 0.547862, 0.703410",             "2.640589, 2.640589, 2.640586, 2.640585, 2.640586"          );        }      }      timing () {        related_pin: "B";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.015335, 0.016945, 0.017387, 0.015057, -0.070130",             "0.093269, 0.093163, 0.094810, 0.101980, 0.105211",             "0.170827, 0.170332, 0.170780, 0.174845, 0.222749",             "0.325869, 0.325151, 0.324630, 0.325908, 0.409344",             "1.565863, 1.564927, 1.563288, 1.560589, 1.585799"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.013287, 0.024590, 0.032135, 0.044683, 0.169986",             "0.106631, 0.105383, 0.107181, 0.118863, 0.301183",             "0.201084, 0.196904, 0.194332, 0.196987, 0.392495",             "0.390038, 0.383879, 0.377817, 0.371491, 0.543659",             "1.901742, 1.893615, 1.883483, 1.864976, 1.785407"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.013635, 0.021335, 0.036352, 0.066782, 0.514064",             "0.122731, 0.122740, 0.123665, 0.133972, 0.500371",             "0.235316, 0.235334, 0.235297, 0.237378, 0.556421",             "0.460654, 0.460640, 0.460666, 0.460646, 0.664867",             "2.263652, 2.263652, 2.263651, 2.263650, 2.266535"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.029990, 0.038199, 0.056237, 0.095398, 0.544902",             "0.155321, 0.155296, 0.158206, 0.179362, 0.704360",             "0.286222, 0.286226, 0.286240, 0.291364, 0.780438",             "0.547837, 0.547857, 0.547852, 0.547862, 0.901566",             "2.640589, 2.640588, 2.640588, 2.640589, 2.647331"          );        }      }      timing () {        related_pin: "C";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.012884, 0.013824, 0.016369, 0.019083, 0.025408",             "0.085533, 0.079788, 0.082111, 0.094024, 0.172908",             "0.157410, 0.149628, 0.148170, 0.154670, 0.271254",             "0.300946, 0.291744, 0.286795, 0.285855, 0.427654",             "1.448498, 1.437802, 1.428280, 1.413900, 1.417542"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.005708, 0.008623, 0.010235, 0.012288, 0.017616",             "0.047043, 0.047780, 0.052874, 0.064024, 0.113032",             "0.091536, 0.089929, 0.090900, 0.100815, 0.179742",             "0.181015, 0.178070, 0.175614, 0.176901, 0.288000",             "0.897237, 0.893242, 0.888241, 0.878918, 0.902547"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.012755, 0.024831, 0.044968, 0.093110, 0.730524",             "0.115219, 0.115342, 0.119381, 0.140592, 0.704120",             "0.219879, 0.219898, 0.220189, 0.229051, 0.695466",             "0.429271, 0.429272, 0.429268, 0.429957, 0.760003",             "2.104581, 2.104581, 2.104580, 2.104582, 2.138119"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.013144, 0.039574, 0.064113, 0.105179, 0.740810",             "0.092532, 0.098951, 0.115649, 0.159781, 0.811024",             "0.178514, 0.180443, 0.190086, 0.223562, 0.880935",             "0.350931, 0.351086, 0.353728, 0.372580, 0.983884",             "1.729228, 1.729222, 1.729222, 1.729215, 1.983340"          );        }      }    }  }  cell (AOI22X1) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 1097280.0;    cell_leakage_power: 0.1173;    pin (D) {      direction: input;      rise_capacitance: 0.004150836637055694;      fall_capacitance: 4.293461827055451e-05;      capacitance: 0.0020968856276631243;    }    pin (C) {      direction: input;      rise_capacitance: 0.004935392181405116;      fall_capacitance: 0.004717183954380674;      capacitance: 0.004826288067892895;    }    pin (B) {      direction: input;      rise_capacitance: 0.004150898468773608;      fall_capacitance: 0.005752203124409789;      capacitance: 0.004951550796591699;    }    pin (A) {      direction: input;      rise_capacitance: 0.00493547941452175;      fall_capacitance: 0.007832228421315403;      capacitance: 0.006383853917918576;    }    pin (Y) {      direction: output;      function: "!(D&C&!B&!A|D&C&B&!A|!D&!C&B&A|D&!C&B&A|D&C&B&A|!D&C&B&A|D&C&!B&A)";      function: "(!(A & B & C & D + A & B & C & !D + A & B & D & !C + A & C & D & !B + B & C & D & !A + A & B & !C & !D + C & D & !A & !B))";      timing () {        related_pin: "A";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.022453, 0.024534, 0.027322, 0.029301, -0.013605",             "0.094099, 0.094146, 0.096422, 0.104923, 0.133468",             "0.165999, 0.165576, 0.166553, 0.171933, 0.239819",             "0.309709, 0.308987, 0.308926, 0.311303, 0.412228",             "1.459135, 1.458114, 1.456788, 1.454769, 1.495149"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.010762, 0.031215, 0.042613, 0.056720, 0.163173",             "0.106795, 0.114659, 0.123175, 0.139761, 0.315494",             "0.201577, 0.206563, 0.212378, 0.225460, 0.423829",             "0.390704, 0.393586, 0.396927, 0.405778, 0.599884",             "1.902537, 1.903178, 1.903203, 1.904622, 1.991405"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.023524, 0.029914, 0.043867, 0.071865, 0.348115",             "0.124790, 0.124832, 0.126119, 0.138292, 0.474155",             "0.228743, 0.228744, 0.228751, 0.231812, 0.561135",             "0.436738, 0.436734, 0.436738, 0.436740, 0.675654",             "2.100895, 2.100896, 2.100897, 2.100896, 2.108562"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.034663, 0.063510, 0.047967, 0.068994, 0.335936",             "0.166389, 0.166412, 0.166844, 0.173304, 0.460327",             "0.297251, 0.297251, 0.297255, 0.297868, 0.539773",             "0.558920, 0.558909, 0.558922, 0.558921, 0.702122",             "2.651676, 2.651678, 2.651676, 2.651675, 2.651676"          );        }      }      timing () {        related_pin: "B";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.019651, 0.021446, 0.022931, 0.021898, -0.058654",             "0.091977, 0.091918, 0.093938, 0.101438, 0.098787",             "0.163917, 0.163487, 0.164267, 0.169031, 0.210590",             "0.307654, 0.306986, 0.306812, 0.308826, 0.390145",             "1.457089, 1.456195, 1.454848, 1.452765, 1.487992"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.013313, 0.029492, 0.038920, 0.053701, 0.189828",             "0.107559, 0.109470, 0.112797, 0.125324, 0.314605",             "0.202143, 0.200386, 0.199276, 0.203456, 0.404946",             "0.391163, 0.386838, 0.381869, 0.377141, 0.555274",             "1.902923, 1.895945, 1.886158, 1.868337, 1.797664"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.019077, 0.026325, 0.041095, 0.072960, 0.515154",             "0.120223, 0.120250, 0.121709, 0.133832, 0.515903",             "0.224169, 0.224172, 0.224205, 0.227354, 0.569826",             "0.432139, 0.432141, 0.432149, 0.432153, 0.667848",             "2.096306, 2.096306, 2.096306, 2.096307, 2.104382"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.036269, 0.063193, 0.062392, 0.098967, 0.551553",             "0.166373, 0.166389, 0.169112, 0.187994, 0.688610",             "0.297276, 0.297267, 0.297275, 0.302013, 0.760566",             "0.558916, 0.558919, 0.558917, 0.558918, 0.890221",             "2.651678, 2.651678, 2.651674, 2.651677, 2.657773"          );        }      }      timing () {        related_pin: "C";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.020200, 0.018794, 0.020910, 0.023798, 0.017569",             "0.092119, 0.084321, 0.084972, 0.095024, 0.157181",             "0.163930, 0.154431, 0.151294, 0.155481, 0.252498",             "0.307422, 0.296784, 0.290296, 0.286804, 0.407284",             "1.454937, 1.443187, 1.432682, 1.416494, 1.394586"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.010498, 0.021541, 0.028604, 0.036531, 0.082739",             "0.101264, 0.104773, 0.111902, 0.126711, 0.261658",             "0.195526, 0.196677, 0.201528, 0.213170, 0.380207",             "0.384366, 0.383767, 0.386473, 0.394329, 0.568488",             "1.895957, 1.893533, 1.893309, 1.894383, 1.975065"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.020633, 0.030682, 0.050793, 0.085135, 0.465687",             "0.123409, 0.123499, 0.127912, 0.152623, 0.625796",             "0.228067, 0.228069, 0.228437, 0.238660, 0.715340",             "0.437443, 0.437449, 0.437446, 0.438286, 0.825117",             "2.112769, 2.112769, 2.112771, 2.112769, 2.154781"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.021627, 0.033850, 0.033202, 0.057903, 0.432542",             "0.146054, 0.146118, 0.146677, 0.153711, 0.456404",             "0.276800, 0.276784, 0.276786, 0.277558, 0.525056",             "0.538347, 0.538333, 0.538346, 0.538344, 0.682865",             "2.630986, 2.630987, 2.630989, 2.630987, 2.630987"          );        }      }      timing () {        related_pin: "D";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.016935, 0.015243, 0.015695, 0.014740, -0.033455",             "0.089882, 0.081949, 0.082234, 0.090924, 0.115190",             "0.161756, 0.152189, 0.148795, 0.152107, 0.216277",             "0.305276, 0.294641, 0.288008, 0.283956, 0.379732",             "1.452819, 1.441160, 1.430652, 1.414354, 1.384946"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.011131, 0.019163, 0.024855, 0.033370, 0.110590",             "0.101703, 0.099111, 0.101250, 0.112517, 0.253820",             "0.195929, 0.190278, 0.188100, 0.190853, 0.353274",             "0.384754, 0.376936, 0.371227, 0.365324, 0.516649",             "1.896340, 1.886290, 1.876220, 1.858031, 1.777651"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.016198, 0.026696, 0.047157, 0.087412, 0.629442",             "0.118843, 0.118871, 0.123371, 0.147773, 0.655892",             "0.223461, 0.223424, 0.223842, 0.234191, 0.716081",             "0.432839, 0.432831, 0.432841, 0.433715, 0.813736",             "2.108153, 2.108150, 2.108150, 2.108152, 2.151140"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.019318, 0.037477, 0.046391, 0.085342, 0.625357",             "0.146062, 0.146061, 0.148782, 0.168097, 0.675212",             "0.276795, 0.276788, 0.276812, 0.281783, 0.738328",             "0.538338, 0.538332, 0.538332, 0.538345, 0.865002",             "2.630987, 2.630990, 2.630986, 2.630986, 2.638001"          );        }      }    }  }  cell (BUFX2) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 658368.0;    cell_leakage_power: 0.1173;    pin (A) {      direction: input;      rise_capacitance: 0.006189356217773286;      fall_capacitance: 0.008913012740210141;      capacitance: 0.007551184478991714;    }    pin (Y) {      direction: output;      function: "!(!A)";      function: "(A)";      timing () {        related_pin: "A";        timing_sense: positive_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "-0.003161, 0.018284, 0.022862, 0.029597, 0.082449",             "0.045168, 0.050118, 0.057399, 0.071712, 0.153721",             "0.086116, 0.085817, 0.089463, 0.102695, 0.199215",             "0.172383, 0.166439, 0.164531, 0.169815, 0.284515",             "0.876891, 0.864252, 0.852323, 0.833869, 0.853010"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.014482, 0.018379, 0.020768, 0.022068, -0.009140",             "0.054683, 0.055959, 0.059876, 0.069706, 0.071632",             "0.098664, 0.097948, 0.098411, 0.105829, 0.136458",             "0.187943, 0.185797, 0.183424, 0.183072, 0.249186",             "0.903989, 0.900540, 0.895789, 0.885878, 0.887209"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.021141, 0.053490, 0.059672, 0.113073, 0.840389",             "0.077202, 0.091911, 0.106094, 0.141454, 0.832516",             "0.145621, 0.149491, 0.160179, 0.190218, 0.830936",             "0.287250, 0.287270, 0.289142, 0.305563, 0.867895",             "1.420515, 1.420519, 1.420516, 1.420515, 1.614647"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.015821, 0.031534, 0.054604, 0.109725, 0.838134",             "0.090618, 0.095897, 0.108850, 0.147026, 0.841931",             "0.176061, 0.177617, 0.184255, 0.210648, 0.851578",             "0.348673, 0.348740, 0.350198, 0.363180, 0.905512",             "1.726970, 1.726967, 1.726971, 1.726970, 1.912266"          );        }      }    }  }  cell (BUFX4) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 877824.0;    cell_leakage_power: 0.1173;    pin (A) {      direction: input;      rise_capacitance: 0.006190154742284263;      fall_capacitance: 0.008890580111169952;      capacitance: 0.007540367426727108;    }    pin (Y) {      direction: output;      function: "!(!A)";      function: "(A)";      timing () {        related_pin: "A";        timing_sense: positive_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "-0.003332, 0.021756, 0.028208, 0.035969, 0.093993",             "0.026181, 0.039174, 0.048485, 0.060878, 0.137999",             "0.045221, 0.053837, 0.062262, 0.078031, 0.166608",             "0.086091, 0.087685, 0.092767, 0.107223, 0.211923",             "0.435684, 0.421572, 0.413289, 0.404913, 0.530577"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.019917, 0.023712, 0.027202, 0.030685, 0.008146",             "0.039940, 0.042849, 0.048226, 0.055685, 0.049883",             "0.059858, 0.061386, 0.065377, 0.075941, 0.084686",             "0.102963, 0.102628, 0.103617, 0.111160, 0.147406",             "0.459869, 0.457168, 0.453471, 0.446561, 0.530833"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.022521, 0.061092, 0.098545, 0.118668, 0.841214",             "0.047803, 0.081523, 0.116519, 0.130463, 0.837645",             "0.078588, 0.106772, 0.139897, 0.152839, 0.833203",             "0.146398, 0.156268, 0.169785, 0.200500, 0.833117",             "0.712599, 0.712588, 0.712577, 0.712845, 1.089880"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.022169, 0.034922, 0.057456, 0.109434, 0.837145",             "0.053315, 0.062209, 0.079539, 0.123803, 0.839403",             "0.093114, 0.098044, 0.110960, 0.148338, 0.840752",             "0.177240, 0.178652, 0.185453, 0.211899, 0.851340",             "0.865991, 0.865992, 0.865993, 0.866799, 1.209225"          );        }      }    }  }  cell (CLKBUF1) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 1975104.0;    cell_leakage_power: 0.1173;    pin (A) {      direction: input;      rise_capacitance: 0.012377692547513634;      fall_capacitance: 0.014507744176093367;      capacitance: 0.0134427183618035;    }    pin (Y) {      direction: output;      function: "!(!A)";      function: "(A)";      timing () {        related_pin: "A";        timing_sense: positive_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "-0.003460, 0.039905, 0.046929, 0.057149, 0.129195",             "0.039219, 0.054860, 0.064114, 0.078186, 0.157207",             "0.055285, 0.068102, 0.077098, 0.093315, 0.177192",             "0.092471, 0.100229, 0.107093, 0.121807, 0.216231",             "0.435605, 0.429264, 0.424902, 0.423004, 0.538823"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.032185, 0.040159, 0.044451, 0.047986, 0.023388",             "0.050450, 0.056824, 0.062828, 0.071275, 0.053156",             "0.068299, 0.073963, 0.079184, 0.090175, 0.079028",             "0.109143, 0.112555, 0.116061, 0.124195, 0.130603",             "0.463189, 0.462100, 0.460697, 0.458229, 0.520719"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.038133, 0.073853, 0.108060, 0.106379, 0.742329",             "0.060385, 0.095859, 0.130135, 0.118818, 0.738152",             "0.087750, 0.120648, 0.154819, 0.142636, 0.732318",             "0.148631, 0.165728, 0.177042, 0.190178, 0.740928",             "0.712222, 0.712217, 0.712215, 0.712236, 0.974750"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.042002, 0.068064, 0.055378, 0.096450, 0.738499",             "0.070257, 0.097122, 0.081847, 0.113407, 0.740126",             "0.100976, 0.109877, 0.115079, 0.140310, 0.740118",             "0.178532, 0.181261, 0.187084, 0.204804, 0.747643",             "0.865667, 0.865654, 0.865705, 0.865803, 1.087674"          );        }      }    }  }  cell (HAX1) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 9158175.0;    cell_leakage_power: 0.1173;    pin (B) {      direction: input;    }    pin (A) {      direction: input;    }    pin (YS) {      direction: output;      function: "!(!B&!A|B&A)";    }    pin (YC) {      direction: output;      function: "(B&A)";    }  }  cell (INV) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 438912.0;    cell_leakage_power: 0.1173;    pin (A) {      direction: input;      rise_capacitance: 0.006188556324394764;      fall_capacitance: 0.008930247677472391;      capacitance: 0.007559402000933578;    }    pin (Y) {      direction: output;      function: "!(A)";      function: "(!A)";      timing () {        related_pin: "A";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.005045, 0.004409, 0.003488, -0.000307, -0.065884",             "0.045060, 0.042762, 0.047513, 0.054699, 0.040967",             "0.088722, 0.082033, 0.082140, 0.091178, 0.111791",             "0.176767, 0.166715, 0.160456, 0.160380, 0.224564",             "0.882682, 0.869493, 0.854103, 0.828028, 0.824714"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.005563, 0.008580, 0.012595, 0.019184, 0.090037",             "0.046837, 0.047773, 0.053764, 0.068471, 0.181014",             "0.091353, 0.089965, 0.091587, 0.103620, 0.243501",             "0.180850, 0.178100, 0.176154, 0.179192, 0.343968",             "0.897064, 0.893202, 0.888379, 0.879811, 0.928891"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.008037, 0.029159, 0.057520, 0.115796, 0.870209",             "0.074565, 0.079799, 0.096343, 0.138761, 0.864852",             "0.145329, 0.146192, 0.155787, 0.188759, 0.863750",             "0.286862, 0.286915, 0.288453, 0.307678, 0.903487",             "1.420162, 1.420160, 1.420161, 1.420161, 1.674200"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.008767, 0.030412, 0.056701, 0.115566, 0.870158",             "0.089918, 0.095810, 0.111953, 0.155870, 0.877226",             "0.175658, 0.177628, 0.186680, 0.219666, 0.900539",             "0.348403, 0.348491, 0.350746, 0.368988, 0.971736",             "1.726613, 1.726603, 1.726598, 1.726608, 1.975691"          );        }      }    }  }  cell (INVX1) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 438912.0;    cell_leakage_power: 0.1173;    pin (A) {      direction: input;      rise_capacitance: 0.006188556324394764;      fall_capacitance: 0.008930247677472391;      capacitance: 0.007559402000933578;    }    pin (Y) {      direction: output;      function: "!(A)";      function: "(!A)";      timing () {        related_pin: "A";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.005045, 0.004409, 0.003488, -0.000307, -0.065884",             "0.045060, 0.042762, 0.047513, 0.054699, 0.040967",             "0.088722, 0.082033, 0.082140, 0.091178, 0.111791",             "0.176767, 0.166715, 0.160456, 0.160380, 0.224564",             "0.882682, 0.869493, 0.854103, 0.828028, 0.824714"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.005563, 0.008580, 0.012595, 0.019184, 0.090037",             "0.046837, 0.047773, 0.053764, 0.068471, 0.181014",             "0.091353, 0.089965, 0.091587, 0.103620, 0.243501",             "0.180850, 0.178100, 0.176154, 0.179192, 0.343968",             "0.897064, 0.893202, 0.888379, 0.879811, 0.928891"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.008037, 0.029159, 0.057520, 0.115796, 0.870209",             "0.074565, 0.079799, 0.096343, 0.138761, 0.864852",             "0.145329, 0.146192, 0.155787, 0.188759, 0.863750",             "0.286862, 0.286915, 0.288453, 0.307678, 0.903487",             "1.420162, 1.420160, 1.420161, 1.420161, 1.674200"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.008767, 0.030412, 0.056701, 0.115566, 0.870158",             "0.089918, 0.095810, 0.111953, 0.155870, 0.877226",             "0.175658, 0.177628, 0.186680, 0.219666, 0.900539",             "0.348403, 0.348491, 0.350746, 0.368988, 0.971736",             "1.726613, 1.726603, 1.726598, 1.726608, 1.975691"          );        }      }    }  }  cell (INVX2) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 438912.0;    cell_leakage_power: 0.1173;    pin (A) {      direction: input;      rise_capacitance: 0.006188556324394764;      fall_capacitance: 0.008930247677472391;      capacitance: 0.007559402000933578;    }    pin (Y) {      direction: output;      function: "!(A)";      function: "(!A)";      timing () {        related_pin: "A";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.005045, 0.004409, 0.003488, -0.000307, -0.065884",             "0.045060, 0.042762, 0.047513, 0.054699, 0.040967",             "0.088722, 0.082033, 0.082140, 0.091178, 0.111791",             "0.176767, 0.166715, 0.160456, 0.160380, 0.224564",             "0.882682, 0.869493, 0.854103, 0.828028, 0.824714"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.005563, 0.008580, 0.012595, 0.019184, 0.090037",             "0.046837, 0.047773, 0.053764, 0.068471, 0.181014",             "0.091353, 0.089965, 0.091587, 0.103620, 0.243501",             "0.180850, 0.178100, 0.176154, 0.179192, 0.343968",             "0.897064, 0.893202, 0.888379, 0.879811, 0.928891"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.008037, 0.029159, 0.057520, 0.115796, 0.870209",             "0.074565, 0.079799, 0.096343, 0.138761, 0.864852",             "0.145329, 0.146192, 0.155787, 0.188759, 0.863750",             "0.286862, 0.286915, 0.288453, 0.307678, 0.903487",             "1.420162, 1.420160, 1.420161, 1.420161, 1.674200"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.008767, 0.030412, 0.056701, 0.115566, 0.870158",             "0.089918, 0.095810, 0.111953, 0.155870, 0.877226",             "0.175658, 0.177628, 0.186680, 0.219666, 0.900539",             "0.348403, 0.348491, 0.350746, 0.368988, 0.971736",             "1.726613, 1.726603, 1.726598, 1.726608, 1.975691"          );        }      }    }  }  cell (INVX4) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 658368.0;    cell_leakage_power: 0.1173;    pin (A) {      direction: input;      rise_capacitance: 0.012376891490235522;      fall_capacitance: 0.014525173100391225;      capacitance: 0.013451032295313373;    }    pin (Y) {      direction: output;      function: "!(A)";      function: "(!A)";      timing () {        related_pin: "A";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.004836, 0.003911, 0.003113, -0.000947, -0.066781",             "0.023782, 0.025438, 0.029154, 0.032024, -0.003570",             "0.045059, 0.042825, 0.047513, 0.054773, 0.040967",             "0.088711, 0.082075, 0.082140, 0.091166, 0.111791",             "0.441430, 0.429084, 0.416141, 0.399464, 0.483388"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.005423, 0.008343, 0.012279, 0.018588, 0.089288",             "0.025056, 0.028778, 0.035903, 0.047954, 0.142358",             "0.046837, 0.047773, 0.053764, 0.068471, 0.181014",             "0.091353, 0.089965, 0.091587, 0.103620, 0.243501",             "0.449471, 0.445891, 0.441675, 0.435947, 0.579373"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.007775, 0.029000, 0.057625, 0.115801, 0.870234",             "0.039447, 0.049978, 0.071107, 0.121251, 0.867453",             "0.074397, 0.079871, 0.096343, 0.138754, 0.864852",             "0.145174, 0.146264, 0.155787, 0.188826, 0.863750",             "0.711874, 0.711865, 0.711868, 0.712356, 1.131446"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.008278, 0.030236, 0.056581, 0.115515, 0.870125",             "0.047212, 0.057977, 0.079852, 0.130476, 0.873241",             "0.089918, 0.095810, 0.111953, 0.155870, 0.877226",             "0.175658, 0.177628, 0.186680, 0.219666, 0.900539",             "0.865308, 0.865247, 0.865250, 0.866773, 1.291412"          );        }      }    }  }  cell (INVX8) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 1097280.0;    cell_leakage_power: 0.1173;    pin (A) {      direction: input;      rise_capacitance: 0.02475357794277478;      fall_capacitance: 0.025715030488090873;      capacitance: 0.025234304215432823;    }    pin (Y) {      direction: output;      function: "!(A)";      function: "(!A)";      timing () {        related_pin: "A";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.004711, 0.003669, 0.002920, -0.001273, -0.067235",             "0.013476, 0.016314, 0.018100, 0.018126, -0.031065",             "0.023686, 0.025560, 0.029154, 0.032104, -0.003570",             "0.045002, 0.042834, 0.047470, 0.054715, 0.040967",             "0.220836, 0.210041, 0.201832, 0.197811, 0.273718"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.005356, 0.008225, 0.012119, 0.018287, 0.088886",             "0.014445, 0.019534, 0.025707, 0.035382, 0.118985",             "0.025056, 0.028778, 0.035903, 0.047954, 0.142358",             "0.046837, 0.047773, 0.053764, 0.068471, 0.181014",             "0.225616, 0.222601, 0.219861, 0.220213, 0.388011"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.007647, 0.028925, 0.057669, 0.115805, 0.870247",             "0.022139, 0.037257, 0.061628, 0.115441, 0.868805",             "0.039229, 0.050143, 0.071107, 0.121043, 0.867453",             "0.074441, 0.080027, 0.096405, 0.138670, 0.864852",             "0.357732, 0.357697, 0.358023, 0.371638, 0.931800"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.008160, 0.030148, 0.056531, 0.115506, 0.870109",             "0.026793, 0.041830, 0.067077, 0.120590, 0.871621",             "0.047212, 0.057977, 0.079852, 0.130476, 0.873241",             "0.089918, 0.095810, 0.111953, 0.155870, 0.877226",             "0.434491, 0.434491, 0.435448, 0.448752, 1.014657"          );        }      }    }  }  cell (MUX2X1) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 1316736.0;    cell_leakage_power: 0.1173;    pin (S) {      direction: input;      rise_capacitance: 0.010338610799344835;      fall_capacitance: 0.008212780453515003;      capacitance: 0.009275695626429919;    }    pin (B) {      direction: input;      rise_capacitance: 0.006397490498320098;      fall_capacitance: 0.008895353965965845;      capacitance: 0.007646422232142972;    }    pin (A) {      direction: input;      rise_capacitance: 0.004937389414015676;      fall_capacitance: 0.007864001795670731;      capacitance: 0.006400695604843204;    }    pin (Y) {      direction: output;      function: "!(!S&B&!A|!S&B&A|S&B&A|S&!B&A)";      function: "(!(A & B & S + A & B & !S + A & S & !B + B & !A & !S))";      timing () {        related_pin: "A";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.019428, 0.021747, 0.023821, 0.024885, -0.020070",             "0.096776, 0.096705, 0.098699, 0.106638, 0.141139",             "0.174325, 0.173758, 0.174373, 0.179031, 0.252974",             "0.329331, 0.328462, 0.328043, 0.329638, 0.432416",             "1.569307, 1.568155, 1.566435, 1.563733, 1.594086"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.015958, 0.027807, 0.035343, 0.047135, 0.140744",             "0.109318, 0.113175, 0.119175, 0.133278, 0.299017",             "0.203682, 0.205651, 0.209577, 0.220207, 0.408863",             "0.392584, 0.393181, 0.395219, 0.402088, 0.586689",             "1.904228, 1.903421, 1.903075, 1.903731, 1.981518"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.020411, 0.026763, 0.039961, 0.063425, 0.317670",             "0.130948, 0.130915, 0.131768, 0.141947, 0.451197",             "0.243567, 0.243583, 0.243517, 0.245528, 0.549507",             "0.468902, 0.468912, 0.468912, 0.468919, 0.675777",             "2.271917, 2.271914, 2.271917, 2.271916, 2.274560"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.034547, 0.032700, 0.040748, 0.064546, 0.323227",             "0.155499, 0.155537, 0.155799, 0.162945, 0.464800",             "0.286354, 0.286330, 0.286313, 0.286918, 0.548668",             "0.547917, 0.547919, 0.547900, 0.547926, 0.702513",             "2.640604, 2.640603, 2.640602, 2.640603, 2.640599"          );        }      }      timing () {        related_pin: "B";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.012479, 0.020519, 0.023592, 0.024838, -0.020037",             "0.088439, 0.091403, 0.095223, 0.104973, 0.140988",             "0.165879, 0.167485, 0.169565, 0.175932, 0.252738",             "0.320839, 0.321506, 0.322081, 0.325051, 0.431811",             "1.560766, 1.560490, 1.559111, 1.556910, 1.590657"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.023985, 0.029348, 0.035865, 0.047430, 0.140831",             "0.115535, 0.117784, 0.122066, 0.134594, 0.299174",             "0.209718, 0.210748, 0.213291, 0.222435, 0.409049",             "0.398509, 0.398634, 0.399621, 0.405195, 0.587049",             "1.910075, 1.909263, 1.908276, 1.908150, 1.983766"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.021856, 0.028909, 0.040295, 0.063869, 0.317858",             "0.130661, 0.130662, 0.132045, 0.142857, 0.451367",             "0.243245, 0.243252, 0.243275, 0.245652, 0.549740",             "0.468595, 0.468585, 0.468603, 0.468582, 0.676414",             "2.271590, 2.271590, 2.271589, 2.271591, 2.274367"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.026595, 0.030491, 0.040269, 0.064145, 0.322768",             "0.155850, 0.155839, 0.155924, 0.162605, 0.464668",             "0.286633, 0.286644, 0.286657, 0.287110, 0.548624",             "0.548248, 0.548238, 0.548248, 0.548232, 0.702345",             "2.641009, 2.640987, 2.640985, 2.640985, 2.640985"          );        }      }      timing () {        related_pin: "S";        timing_sense: non_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.015477, 0.019632, 0.023785, 0.028539, 0.047003",             "0.089791, 0.086977, 0.089938, 0.101079, 0.177000",             "0.166627, 0.161655, 0.161408, 0.167180, 0.272033",             "0.321166, 0.314571, 0.311301, 0.310607, 0.436604",             "1.560695, 1.552272, 1.545023, 1.533295, 1.520465"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.009379, 0.017143, 0.022831, 0.029415, 0.049506",             "0.098224, 0.096461, 0.098086, 0.107381, 0.189678",             "0.191790, 0.187334, 0.185018, 0.186251, 0.294384",             "0.380119, 0.373656, 0.367998, 0.361115, 0.471759",             "1.891175, 1.882501, 1.872694, 1.854265, 1.753057"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.025135, 0.032734, 0.048051, 0.084704, 0.566326",             "0.130733, 0.130708, 0.132826, 0.150233, 0.602446",             "0.243422, 0.243393, 0.243371, 0.247979, 0.662237",             "0.468752, 0.468761, 0.468758, 0.468739, 0.756824",             "2.271751, 2.271754, 2.271754, 2.271752, 2.282476"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.022469, 0.035382, 0.052960, 0.089711, 0.571861",             "0.155713, 0.155428, 0.156540, 0.173483, 0.639442",             "0.286482, 0.286497, 0.286481, 0.290082, 0.712770",             "0.548075, 0.548076, 0.548073, 0.548087, 0.844708",             "2.640769, 2.640767, 2.640766, 2.640768, 2.646447"          );        }      }    }  }  cell (NAND2X1) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 658368.0;    cell_leakage_power: 0.1173;    pin (B) {      direction: input;      rise_capacitance: 0.004150889506161318;      fall_capacitance: 0.0057806089303857715;      capacitance: 0.004965749218273544;    }    pin (A) {      direction: input;      rise_capacitance: 0.004935475394936947;      fall_capacitance: 0.007870695939137575;      capacitance: 0.0064030856670372616;    }    pin (Y) {      direction: output;      function: "!(B&A)";      function: "(!A & B)";      timing () {        related_pin: "A";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.007196, 0.007595, 0.006535, 0.002335, -0.081701",             "0.048131, 0.045109, 0.049226, 0.055554, 0.023149",             "0.091843, 0.084555, 0.083952, 0.092035, 0.093853",             "0.179911, 0.169368, 0.162474, 0.161292, 0.207503",             "0.885821, 0.872458, 0.856675, 0.829540, 0.814241"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.015633, 0.021476, 0.028398, 0.039896, 0.146774",             "0.107818, 0.110541, 0.116624, 0.131991, 0.322868",             "0.202142, 0.203338, 0.207611, 0.219924, 0.436789",             "0.391020, 0.391118, 0.393477, 0.402003, 0.616285",             "1.902651, 1.901546, 1.901261, 1.902664, 2.012825"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.012945, 0.032109, 0.051696, 0.088446, 0.524049",             "0.079676, 0.085046, 0.101926, 0.145349, 0.674950",             "0.150526, 0.151440, 0.161506, 0.195645, 0.761149",             "0.292156, 0.292210, 0.293910, 0.314078, 0.887525",             "1.425456, 1.425453, 1.425463, 1.425463, 1.693531"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.013964, 0.019082, 0.028092, 0.057082, 0.488461",             "0.142119, 0.142058, 0.142068, 0.146714, 0.440570",             "0.272785, 0.272844, 0.272830, 0.273049, 0.465710",             "0.534379, 0.534395, 0.534370, 0.534368, 0.637728",             "2.627044, 2.627042, 2.627042, 2.627043, 2.627043"          );        }      }      timing () {        related_pin: "B";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.005849, 0.004862, 0.001953, -0.005765, -0.126919",             "0.046431, 0.043395, 0.046993, 0.051015, -0.016988",             "0.090143, 0.082837, 0.081976, 0.088941, 0.057037",             "0.178220, 0.167700, 0.160608, 0.158752, 0.176347",             "0.884156, 0.870837, 0.855034, 0.827713, 0.802365"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.013792, 0.018689, 0.025506, 0.038065, 0.175360",             "0.107725, 0.103637, 0.104869, 0.117440, 0.315940",             "0.202285, 0.196217, 0.193422, 0.197331, 0.412055",             "0.391293, 0.383864, 0.377831, 0.373134, 0.567831",             "1.903042, 1.894202, 1.884122, 1.866559, 1.824766"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.009695, 0.029176, 0.049614, 0.090169, 0.630637",             "0.076701, 0.082090, 0.098427, 0.140823, 0.690595",             "0.147420, 0.148384, 0.158014, 0.191352, 0.764094",             "0.289054, 0.289045, 0.290759, 0.310455, 0.877521",             "1.422334, 1.422325, 1.422328, 1.422326, 1.682986"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.014142, 0.022429, 0.038545, 0.077748, 0.619421",             "0.142113, 0.142115, 0.143140, 0.157535, 0.605736",             "0.272824, 0.272791, 0.272803, 0.275498, 0.636002",             "0.534396, 0.534363, 0.534379, 0.534395, 0.781620",             "2.627039, 2.627044, 2.627041, 2.627039, 2.630177"          );        }      }    }  }  cell (NAND3X1) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 877824.0;    cell_leakage_power: 0.1173;    pin (C) {      direction: input;      rise_capacitance: 0.004149650005143659;      fall_capacitance: 0.005781505198438881;      capacitance: 0.00496557760179127;    }    pin (B) {      direction: input;      rise_capacitance: 0.004830629997798454;      fall_capacitance: 0.0065460190151835455;      capacitance: 0.005688324506490999;    }    pin (A) {      direction: input;      rise_capacitance: 0.0049353598856550955;      fall_capacitance: 0.007870869195042688;      capacitance: 0.006403114540348892;    }    pin (Y) {      direction: output;      function: "!(C&B&A)";      function: "(!A & B & C)";      timing () {        related_pin: "A";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.008972, 0.009457, 0.008503, 0.002962, -0.100701",             "0.051333, 0.047529, 0.050994, 0.056259, 0.000383",             "0.095086, 0.087278, 0.086059, 0.093123, 0.071594",             "0.183200, 0.172294, 0.164894, 0.162676, 0.188303",             "0.889127, 0.875625, 0.859595, 0.831781, 0.805945"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.020769, 0.029603, 0.037436, 0.051718, 0.185550",             "0.137563, 0.140846, 0.146094, 0.159442, 0.367674",             "0.257454, 0.258396, 0.261172, 0.270635, 0.491854",             "0.497675, 0.496765, 0.496908, 0.501401, 0.695984",             "2.420799, 2.417810, 2.414180, 2.409069, 2.461911"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.017292, 0.035367, 0.056139, 0.094266, 0.548119",             "0.084992, 0.090373, 0.107178, 0.150243, 0.683940",             "0.155780, 0.156840, 0.166974, 0.201316, 0.764246",             "0.297431, 0.297423, 0.299270, 0.320137, 0.888634",             "1.430747, 1.430744, 1.430744, 1.430744, 1.704785"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.023922, 0.025750, 0.032068, 0.055931, 0.495161",             "0.188089, 0.188153, 0.188154, 0.189888, 0.453757",             "0.355339, 0.355334, 0.355344, 0.355344, 0.505262",             "0.689777, 0.689789, 0.689794, 0.689787, 0.761388",             "3.365704, 3.365704, 3.365703, 3.365700, 3.365703"          );        }      }      timing () {        related_pin: "B";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.008334, 0.007999, 0.005426, -0.001872, -0.134533",             "0.049592, 0.045886, 0.049021, 0.052517, -0.029801",             "0.093307, 0.085588, 0.084148, 0.090357, 0.043619",             "0.181419, 0.170558, 0.163034, 0.160347, 0.164016",             "0.887312, 0.873890, 0.857871, 0.829951, 0.796345"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.023592, 0.029466, 0.038594, 0.055239, 0.219385",             "0.141155, 0.143057, 0.148603, 0.163867, 0.404481",             "0.261171, 0.261449, 0.264802, 0.276119, 0.527468",             "0.501476, 0.500497, 0.501691, 0.508419, 0.732132",             "2.424678, 2.422318, 2.420551, 2.419097, 2.507900"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.014708, 0.032973, 0.052387, 0.088064, 0.507153",             "0.081875, 0.087345, 0.103349, 0.145759, 0.652137",             "0.152726, 0.153620, 0.163460, 0.196949, 0.738027",             "0.294293, 0.294378, 0.296116, 0.316174, 0.868979",             "1.427621, 1.427628, 1.427634, 1.427631, 1.694351"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.023614, 0.026398, 0.032949, 0.053238, 0.454307",             "0.188147, 0.188117, 0.188099, 0.189875, 0.415502",             "0.355311, 0.355293, 0.355317, 0.355295, 0.485465",             "0.689798, 0.689798, 0.689789, 0.689787, 0.751303",             "3.365699, 3.365700, 3.365702, 3.365700, 3.365698"          );        }      }      timing () {        related_pin: "C";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.006743, 0.005507, 0.001409, -0.008755, -0.170135",             "0.047762, 0.044106, 0.046936, 0.048789, -0.060209",             "0.091478, 0.083801, 0.082253, 0.087681, 0.016317",             "0.179569, 0.168817, 0.161183, 0.158074, 0.141274",             "0.885505, 0.872150, 0.856133, 0.828109, 0.787687"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.021221, 0.026912, 0.036046, 0.053704, 0.240197",             "0.140988, 0.137908, 0.140081, 0.153521, 0.407328",             "0.261329, 0.256442, 0.255023, 0.261001, 0.520047",             "0.501821, 0.495706, 0.491228, 0.489434, 0.706541",             "2.425190, 2.417843, 2.409583, 2.395522, 2.391247"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.011465, 0.029918, 0.048625, 0.083915, 0.522973",             "0.078783, 0.083779, 0.099962, 0.141527, 0.642500",             "0.149540, 0.150478, 0.160020, 0.192902, 0.728158",             "0.291212, 0.291252, 0.292980, 0.312574, 0.856649",             "1.424500, 1.424495, 1.424506, 1.424500, 1.685213"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.023566, 0.027391, 0.036715, 0.061844, 0.502475",             "0.188148, 0.188141, 0.188149, 0.192359, 0.487219",             "0.355298, 0.355308, 0.355319, 0.355322, 0.560090",             "0.689793, 0.689798, 0.689798, 0.689793, 0.809696",             "3.365702, 3.365700, 3.365703, 3.365699, 3.365703"          );        }      }    }  }  cell (NOR2X1) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 658368.0;    cell_leakage_power: 0.1173;    pin (B) {      direction: input;    }    pin (A) {      direction: input;    }    pin (Y) {      direction: output;      function: "(!B&!A)";    }  }  cell (NOR3X1) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 1536192.0;    cell_leakage_power: 0.1173;    pin (C) {      direction: input;    }    pin (B) {      direction: input;    }    pin (A) {      direction: input;    }    pin (Y) {      direction: output;      function: "(!C&!B&!A)";    }  }  cell (OAI21X1) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 877824.0;    cell_leakage_power: 0.1173;    pin (C) {      direction: input;    }    pin (B) {      direction: input;    }    pin (A) {      direction: input;    }    pin (Y) {      direction: output;      function: "!(C&B&!A|C&B&A|C&!B&A)";    }  }  cell (OAI22X1) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 6645582.0;    cell_leakage_power: 0.1173;    pin (D) {      direction: input;    }    pin (C) {      direction: input;    }    pin (B) {      direction: input;    }    pin (A) {      direction: input;    }    pin (Y) {      direction: output;      function: "(!D&!C&!B&!A|D&!C&!B&!A|D&C&!B&!A|!D&C&!B&!A|!D&!C&B&!A|!D&!C&B&A|!D&!C&!B&A)";    }  }  cell (OR2X1) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 877824.0;    cell_leakage_power: 0.1173;    pin (B) {      direction: input;      rise_capacitance: 0.006299305363616857;      fall_capacitance: 0.008885220124112183;      capacitance: 0.007592262743864521;    }    pin (A) {      direction: input;      rise_capacitance: 0.006309610190379141;      fall_capacitance: 0.00630283867340493;      capacitance: 0.006306224431892035;    }    pin (Y) {      direction: output;      function: "!(!B&!A)";      function: "(!!A & !B)";      timing () {        related_pin: "A";        timing_sense: positive_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "-0.002786, -0.022732, 0.019161, 0.019580, -0.011410",             "0.041854, 0.043329, 0.051603, 0.059323, 0.062560",             "0.084916, 0.078410, 0.082038, 0.088448, 0.103720",             "0.172611, 0.158216, 0.154751, 0.153103, 0.172991",             "0.878301, 0.855095, 0.838016, 0.810227, 0.720418"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.028540, 0.031368, 0.036819, 0.045426, 0.100228",             "0.069115, 0.070143, 0.075842, 0.091793, 0.184877",             "0.111955, 0.111797, 0.115439, 0.127845, 0.254311",             "0.200493, 0.199357, 0.201189, 0.207941, 0.374505",             "0.915994, 0.913985, 0.914073, 0.914386, 1.007881"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.013392, 0.051401, 0.087406, 0.152742, 0.687675",             "0.075475, 0.097421, 0.130787, 0.191718, 0.688979",             "0.145593, 0.155613, 0.179062, 0.217295, 0.718675",             "0.287207, 0.287428, 0.292736, 0.315169, 0.792432",             "1.420518, 1.420518, 1.420514, 1.420518, 1.582675"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.024927, 0.029452, 0.042786, 0.080200, 0.663641",             "0.093953, 0.096473, 0.103565, 0.127433, 0.668426",             "0.177647, 0.178545, 0.181992, 0.198197, 0.680919",             "0.349306, 0.349321, 0.350007, 0.357523, 0.740411",             "1.727348, 1.727346, 1.727366, 1.727370, 1.864145"          );        }      }      timing () {        related_pin: "B";        timing_sense: positive_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "-0.002737, 0.015129, 0.026339, 0.031155, 0.057827",             "0.042995, 0.049240, 0.058421, 0.069922, 0.132870",             "0.085418, 0.083324, 0.088199, 0.097150, 0.172762",             "0.172559, 0.161472, 0.159668, 0.160671, 0.230240",             "0.877741, 0.855521, 0.839844, 0.814300, 0.732001"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.029469, 0.033740, 0.039244, 0.045782, 0.049818",             "0.070126, 0.072893, 0.078115, 0.092691, 0.135288",             "0.112911, 0.114897, 0.118672, 0.129875, 0.209498",             "0.201393, 0.202758, 0.205335, 0.211918, 0.341264",             "0.916880, 0.917687, 0.919165, 0.921192, 1.006897"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.016092, 0.057562, 0.095169, 0.162177, 0.699235",             "0.076858, 0.103458, 0.137895, 0.200949, 0.702148",             "0.145825, 0.159732, 0.185528, 0.227910, 0.724008",             "0.287314, 0.288184, 0.294861, 0.320599, 0.788394",             "1.420530, 1.420534, 1.420537, 1.420539, 1.574668"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.024627, 0.027679, 0.036375, 0.067524, 0.609658",             "0.094505, 0.095669, 0.100243, 0.118924, 0.616317",             "0.177929, 0.178313, 0.180338, 0.192868, 0.629773",             "0.349160, 0.349206, 0.349675, 0.355201, 0.694984",             "1.727366, 1.727352, 1.727345, 1.727367, 1.855198"          );        }      }    }  }  cell (OR2X2) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 877824.0;    cell_leakage_power: 0.1173;    pin (B) {      direction: input;      rise_capacitance: 0.006299305363616857;      fall_capacitance: 0.008885220124112183;      capacitance: 0.007592262743864521;    }    pin (A) {      direction: input;      rise_capacitance: 0.006309610190379141;      fall_capacitance: 0.00630283867340493;      capacitance: 0.006306224431892035;    }    pin (Y) {      direction: output;      function: "!(!B&!A)";      function: "(!!A & !B)";      timing () {        related_pin: "A";        timing_sense: positive_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "-0.002786, -0.022732, 0.019161, 0.019580, -0.011410",             "0.041854, 0.043329, 0.051603, 0.059323, 0.062560",             "0.084916, 0.078410, 0.082038, 0.088448, 0.103720",             "0.172611, 0.158216, 0.154751, 0.153103, 0.172991",             "0.878301, 0.855095, 0.838016, 0.810227, 0.720418"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.028540, 0.031368, 0.036819, 0.045426, 0.100228",             "0.069115, 0.070143, 0.075842, 0.091793, 0.184877",             "0.111955, 0.111797, 0.115439, 0.127845, 0.254311",             "0.200493, 0.199357, 0.201189, 0.207941, 0.374505",             "0.915994, 0.913985, 0.914073, 0.914386, 1.007881"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.013392, 0.051401, 0.087406, 0.152742, 0.687675",             "0.075475, 0.097421, 0.130787, 0.191718, 0.688979",             "0.145593, 0.155613, 0.179062, 0.217295, 0.718675",             "0.287207, 0.287428, 0.292736, 0.315169, 0.792432",             "1.420518, 1.420518, 1.420514, 1.420518, 1.582675"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.024927, 0.029452, 0.042786, 0.080200, 0.663641",             "0.093953, 0.096473, 0.103565, 0.127433, 0.668426",             "0.177647, 0.178545, 0.181992, 0.198197, 0.680919",             "0.349306, 0.349321, 0.350007, 0.357523, 0.740411",             "1.727348, 1.727346, 1.727366, 1.727370, 1.864145"          );        }      }      timing () {        related_pin: "B";        timing_sense: positive_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "-0.002737, 0.015129, 0.026339, 0.031155, 0.057827",             "0.042995, 0.049240, 0.058421, 0.069922, 0.132870",             "0.085418, 0.083324, 0.088199, 0.097150, 0.172762",             "0.172559, 0.161472, 0.159668, 0.160671, 0.230240",             "0.877741, 0.855521, 0.839844, 0.814300, 0.732001"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.029469, 0.033740, 0.039244, 0.045782, 0.049818",             "0.070126, 0.072893, 0.078115, 0.092691, 0.135288",             "0.112911, 0.114897, 0.118672, 0.129875, 0.209498",             "0.201393, 0.202758, 0.205335, 0.211918, 0.341264",             "0.916880, 0.917687, 0.919165, 0.921192, 1.006897"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.016092, 0.057562, 0.095169, 0.162177, 0.699235",             "0.076858, 0.103458, 0.137895, 0.200949, 0.702148",             "0.145825, 0.159732, 0.185528, 0.227910, 0.724008",             "0.287314, 0.288184, 0.294861, 0.320599, 0.788394",             "1.420530, 1.420534, 1.420537, 1.420539, 1.574668"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.024627, 0.027679, 0.036375, 0.067524, 0.609658",             "0.094505, 0.095669, 0.100243, 0.118924, 0.616317",             "0.177929, 0.178313, 0.180338, 0.192868, 0.629773",             "0.349160, 0.349206, 0.349675, 0.355201, 0.694984",             "1.727366, 1.727352, 1.727345, 1.727367, 1.855198"          );        }      }    }  }  cell (XNOR2X1) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 1536192.0;    cell_leakage_power: 0.1173;    pin (B) {      direction: input;      rise_capacitance: 0.01258592702529086;      fall_capacitance: 0.01450190121076146;      capacitance: 0.01354391411802616;    }    pin (A) {      direction: input;      rise_capacitance: 0.012735641153553287;      fall_capacitance: 0.011631625278500075;      capacitance: 0.012183633216026682;    }    pin (Y) {      direction: output;      function: "!(B&!A|!B&A)";      function: "(!(A & !B + B & !A))";      timing () {        related_pin: "A";        timing_sense: non_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.013989, 0.018024, 0.023608, 0.028544, 0.046990",             "0.086886, 0.083890, 0.087944, 0.100115, 0.176994",             "0.163666, 0.158058, 0.158583, 0.165414, 0.272018",             "0.318190, 0.310552, 0.307716, 0.307846, 0.436394",             "1.557671, 1.547810, 1.540413, 1.528732, 1.518482"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.013397, 0.018391, 0.023707, 0.029700, 0.049560",             "0.104554, 0.099267, 0.099516, 0.107893, 0.189692",             "0.198104, 0.190587, 0.187032, 0.187249, 0.294404",             "0.386428, 0.377203, 0.370471, 0.362665, 0.471845",             "1.897500, 1.886363, 1.875729, 1.856653, 1.754002"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.023920, 0.038475, 0.061455, 0.084755, 0.566336",             "0.130811, 0.130539, 0.133792, 0.151736, 0.602473",             "0.243429, 0.243459, 0.243317, 0.248917, 0.662479",             "0.468797, 0.468798, 0.468793, 0.468774, 0.757658",             "2.271785, 2.271788, 2.271788, 2.271786, 2.282952"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.025980, 0.034386, 0.051179, 0.088206, 0.570375",             "0.155775, 0.156006, 0.156492, 0.172986, 0.639449",             "0.286528, 0.286545, 0.286577, 0.289826, 0.712632",             "0.548141, 0.548132, 0.548123, 0.548137, 0.844311",             "2.640825, 2.640828, 2.640826, 2.640825, 2.646410"          );        }      }      timing () {        related_pin: "B";        timing_sense: non_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.016690, 0.026445, 0.031880, 0.037261, 0.048616",             "0.091051, 0.095514, 0.100621, 0.112158, 0.182377",             "0.168213, 0.171079, 0.174250, 0.182514, 0.282866",             "0.322976, 0.324677, 0.326168, 0.330961, 0.454972",             "1.562706, 1.563167, 1.562488, 1.561803, 1.609286"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.026885, 0.032811, 0.038875, 0.047403, 0.087933",             "0.117282, 0.120145, 0.124314, 0.135271, 0.246516",             "0.211168, 0.212784, 0.215279, 0.223230, 0.363714",             "0.399743, 0.400411, 0.401407, 0.406048, 0.555385",             "1.911052, 1.910726, 1.909802, 1.909023, 1.963658"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.024789, 0.028839, 0.037807, 0.058878, 0.265656",             "0.130769, 0.130753, 0.131666, 0.140183, 0.394222",             "0.243431, 0.243415, 0.243392, 0.244961, 0.496125",             "0.468744, 0.468748, 0.468759, 0.468730, 0.635129",             "2.271767, 2.271764, 2.271765, 2.271763, 2.273192"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.028716, 0.030099, 0.037985, 0.059222, 0.254230",             "0.155712, 0.155759, 0.155806, 0.162226, 0.429522",             "0.286550, 0.286491, 0.286518, 0.286872, 0.538319",             "0.548133, 0.548105, 0.548127, 0.548107, 0.702960",             "2.640815, 2.640815, 2.640812, 2.640812, 2.640812"          );        }      }    }  }  cell (XOR2X1) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 1536192.0;    cell_leakage_power: 0.1173;    pin (B) {      direction: input;      rise_capacitance: 0.011125237855721299;      fall_capacitance: 0.013458368537199627;      capacitance: 0.012291803196460463;    }    pin (A) {      direction: input;      rise_capacitance: 0.005915560312833218;      fall_capacitance: 0.009103970632490159;      capacitance: 0.007509765472661688;    }    pin (Y) {      direction: output;      function: "!(!B&!A|B&A)";      function: "(!(A & B + !A & !B))";      timing () {        related_pin: "A";        timing_sense: non_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.012892, 0.017884, 0.023582, 0.028581, 0.047039",             "0.088020, 0.084498, 0.088176, 0.100267, 0.176997",             "0.164777, 0.158599, 0.158811, 0.165507, 0.272027",             "0.319197, 0.311031, 0.307896, 0.307904, 0.436456",             "1.558585, 1.548160, 1.540528, 1.528710, 1.518515"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.016957, 0.021209, 0.026433, 0.031788, 0.049581",             "0.105352, 0.101262, 0.101517, 0.109489, 0.189817",             "0.199116, 0.192599, 0.189067, 0.189128, 0.294604",             "0.387610, 0.379329, 0.372685, 0.364852, 0.472363",             "1.898846, 1.888657, 1.878160, 1.859296, 1.755721"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.023649, 0.043194, 0.070971, 0.085067, 0.565950",             "0.130727, 0.130960, 0.133759, 0.151712, 0.602446",             "0.243440, 0.243431, 0.243448, 0.248631, 0.662387",             "0.468772, 0.468795, 0.468785, 0.468785, 0.757475",             "2.271774, 2.271788, 2.271788, 2.271786, 2.282763"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.028995, 0.032141, 0.047871, 0.084453, 0.560124",             "0.155738, 0.155044, 0.155572, 0.170946, 0.631684",             "0.286568, 0.286562, 0.286518, 0.289308, 0.710606",             "0.548144, 0.548142, 0.548134, 0.548140, 0.843303",             "2.640828, 2.640826, 2.640826, 2.640827, 2.646192"          );        }      }      timing () {        related_pin: "B";        timing_sense: non_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.014892, 0.026246, 0.031838, 0.037204, 0.048590",             "0.091734, 0.095678, 0.100730, 0.112148, 0.182368",             "0.168923, 0.171200, 0.174309, 0.182497, 0.282866",             "0.323721, 0.324777, 0.326200, 0.330900, 0.455028",             "1.563456, 1.563165, 1.562411, 1.561608, 1.609279"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.024069, 0.032502, 0.038891, 0.047467, 0.087946",             "0.115473, 0.118528, 0.123164, 0.134818, 0.246531",             "0.209458, 0.210932, 0.213732, 0.222349, 0.363720",             "0.398106, 0.398429, 0.399551, 0.404751, 0.555323",             "1.909486, 1.908601, 1.907591, 1.907104, 1.962711"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.025121, 0.046358, 0.037829, 0.058746, 0.265684",             "0.130823, 0.130739, 0.131644, 0.140015, 0.394131",             "0.243421, 0.243395, 0.243335, 0.244847, 0.496071",             "0.468767, 0.468755, 0.468745, 0.468743, 0.634781",             "2.271778, 2.271763, 2.271765, 2.271761, 2.273117"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.031037, 0.031042, 0.038291, 0.059160, 0.254240",             "0.155716, 0.155677, 0.155943, 0.162510, 0.429541",             "0.286530, 0.286528, 0.286510, 0.286927, 0.538348",             "0.548114, 0.548124, 0.548121, 0.548120, 0.703170",             "2.640816, 2.640814, 2.640814, 2.640812, 2.640811"          );        }      }    }  }}
\ No newline at end of file
diff --git a/cells/lib/removenl.pl b/cells/lib/removenl.pl
index 7c69822..5e49e77 100644
--- a/cells/lib/removenl.pl
+++ b/cells/lib/removenl.pl
@@ -3,7 +3,7 @@
 open IN,"<libresilicon.lib";
 undef $/;
 my $content=<IN>;
-$content=~s/area/pg_pin (vdd) { voltage_name : "vdd"; pg_type : "primary_power"; } pg_pin (gnd) { voltage_name : "gnd"; pg_type : "primary_ground"; } area/g;
+$content=~s/area/pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area/g;
 
 #$content=~s/"\s*\n/"/gs;
 #$content=~s/;\s*\n/;/gs;
diff --git a/cells/mag/AND2X1.lef b/cells/mag/AND2X1.lef
new file mode 100644
index 0000000..0d4e59a
--- /dev/null
+++ b/cells/mag/AND2X1.lef
@@ -0,0 +1,152 @@
+VERSION 5.7 ;
+  NOWIREEXTENSIONATPIN ON ;
+  DIVIDERCHAR "/" ;
+  BUSBITCHARS "[]" ;
+MACRO AND2X1
+  CLASS CORE ;
+  FOREIGN AND2X1 ;
+  ORIGIN 0.000 0.000 ;
+  SIZE 5.760 BY 3.330 ;
+  SYMMETRY X Y ;
+  SITE unit ;
+  PIN A
+    ANTENNAGATEAREA 0.189000 ;
+    PORT
+      LAYER met1 ;
+        RECT 1.295 1.780 1.585 2.070 ;
+        RECT 1.370 1.135 1.510 1.780 ;
+        RECT 1.295 0.845 1.585 1.135 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.275 1.760 1.605 2.090 ;
+      LAYER mcon ;
+        RECT 1.355 1.840 1.525 2.010 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA 0.189000 ;
+    PORT
+      LAYER met1 ;
+        RECT 2.735 1.780 3.025 2.070 ;
+        RECT 2.810 1.135 2.950 1.780 ;
+        RECT 2.735 0.845 3.025 1.135 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 2.715 1.760 3.045 2.090 ;
+      LAYER mcon ;
+        RECT 2.795 1.840 2.965 2.010 ;
+    END
+  END B
+  PIN VGND
+    ANTENNADIFFAREA 0.541800 ;
+    PORT
+      LAYER met1 ;
+        RECT 3.215 0.240 3.505 0.570 ;
+        RECT 0.000 -0.240 5.760 0.240 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 3.195 0.240 3.525 0.590 ;
+        RECT 0.155 0.085 5.605 0.240 ;
+        RECT 0.000 -0.085 5.760 0.085 ;
+      LAYER mcon ;
+        RECT 3.275 0.340 3.445 0.510 ;
+        RECT 0.155 -0.085 0.325 0.085 ;
+        RECT 0.635 -0.085 0.805 0.085 ;
+        RECT 1.115 -0.085 1.285 0.085 ;
+        RECT 1.595 -0.085 1.765 0.085 ;
+        RECT 2.075 -0.085 2.245 0.085 ;
+        RECT 2.555 -0.085 2.725 0.085 ;
+        RECT 3.035 -0.085 3.205 0.085 ;
+        RECT 3.515 -0.085 3.685 0.085 ;
+        RECT 3.995 -0.085 4.165 0.085 ;
+        RECT 4.475 -0.085 4.645 0.085 ;
+        RECT 4.955 -0.085 5.125 0.085 ;
+        RECT 5.435 -0.085 5.605 0.085 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.000 3.090 5.760 3.570 ;
+        RECT 0.575 2.760 0.865 3.090 ;
+        RECT 3.215 2.760 3.505 3.090 ;
+    END
+  END VGND
+  PIN VPWR
+    ANTENNADIFFAREA 1.747200 ;
+    PORT
+      LAYER li1 ;
+        RECT 0.000 3.245 5.760 3.415 ;
+        RECT 0.155 3.090 5.605 3.245 ;
+        RECT 0.555 2.740 0.885 3.090 ;
+        RECT 3.195 2.740 3.525 3.090 ;
+      LAYER mcon ;
+        RECT 0.155 3.245 0.325 3.415 ;
+        RECT 0.635 3.245 0.805 3.415 ;
+        RECT 1.115 3.245 1.285 3.415 ;
+        RECT 1.595 3.245 1.765 3.415 ;
+        RECT 2.075 3.245 2.245 3.415 ;
+        RECT 2.555 3.245 2.725 3.415 ;
+        RECT 3.035 3.245 3.205 3.415 ;
+        RECT 3.515 3.245 3.685 3.415 ;
+        RECT 3.995 3.245 4.165 3.415 ;
+        RECT 4.475 3.245 4.645 3.415 ;
+        RECT 4.955 3.245 5.125 3.415 ;
+        RECT 5.435 3.245 5.605 3.415 ;
+        RECT 0.635 2.820 0.805 2.990 ;
+        RECT 3.275 2.820 3.445 2.990 ;
+    END
+  END VPWR
+  PIN Y
+    ANTENNADIFFAREA 1.031650 ;
+    PORT
+      LAYER met1 ;
+        RECT 4.655 2.195 4.945 2.485 ;
+        RECT 4.730 0.730 4.870 2.195 ;
+        RECT 4.655 0.440 4.945 0.730 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 4.635 2.260 4.965 2.505 ;
+        RECT 4.655 2.175 4.965 2.260 ;
+      LAYER mcon ;
+        RECT 4.715 2.255 4.885 2.425 ;
+    END
+  END Y
+  OBS
+      LAYER nwell ;
+        RECT 0.000 1.790 5.760 3.330 ;
+      LAYER li1 ;
+        RECT 1.755 2.260 2.085 2.505 ;
+        RECT 1.775 2.175 2.085 2.260 ;
+        RECT 4.155 1.760 4.485 2.090 ;
+        RECT 1.275 0.920 1.605 1.155 ;
+        RECT 1.295 0.825 1.605 0.920 ;
+        RECT 2.715 0.825 3.045 1.155 ;
+        RECT 4.155 0.825 4.485 1.155 ;
+        RECT 0.795 0.420 1.125 0.750 ;
+        RECT 4.655 0.655 4.965 0.750 ;
+        RECT 4.635 0.420 4.965 0.655 ;
+      LAYER mcon ;
+        RECT 1.835 2.255 2.005 2.425 ;
+        RECT 4.235 1.840 4.405 2.010 ;
+        RECT 1.355 0.905 1.525 1.075 ;
+        RECT 2.795 0.905 2.965 1.075 ;
+        RECT 4.235 0.905 4.405 1.075 ;
+        RECT 0.875 0.500 1.045 0.670 ;
+        RECT 4.715 0.500 4.885 0.670 ;
+      LAYER met1 ;
+        RECT 1.775 2.410 2.065 2.485 ;
+        RECT 0.890 2.270 4.390 2.410 ;
+        RECT 0.890 0.730 1.030 2.270 ;
+        RECT 1.775 2.195 2.065 2.270 ;
+        RECT 4.250 2.070 4.390 2.270 ;
+        RECT 4.175 1.780 4.465 2.070 ;
+        RECT 4.250 1.135 4.390 1.780 ;
+        RECT 4.175 0.845 4.465 1.135 ;
+        RECT 0.815 0.440 1.105 0.730 ;
+  END
+END AND2X1
+END LIBRARY
+
diff --git a/cells/mag/AND2X1.mag b/cells/mag/AND2X1.mag
index c3e1efb..04c4348 100644
--- a/cells/mag/AND2X1.mag
+++ b/cells/mag/AND2X1.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1624892565
+timestamp 1624917747
 << checkpaint >>
 rect -1260 -1374 11260 2038
 << nwell >>
@@ -321,13 +321,13 @@
 rect 1121 -17 1152 17
 rect 0 -48 1152 -17
 << labels >>
-rlabel metal1 0 618 1152 714 0 VDD
+rlabel metal1 0 618 1152 714 0 VPWR
 port 3 se
-rlabel metal1 0 618 1152 714 0 VDD
+rlabel metal1 0 618 1152 714 0 VPWR
 port 3 se
-rlabel metal1 0 -48 1152 48 0 GND
+rlabel metal1 0 -48 1152 48 0 VGND
 port 2 se
-rlabel metal1 0 -48 1152 48 0 GND
+rlabel metal1 0 -48 1152 48 0 VGND
 port 2 se
 rlabel metal1 931 88 989 146 0 Y
 port 4 se
@@ -347,14 +347,14 @@
 port 0 se
 rlabel metal1 259 356 317 414 0 A
 port 0 se
-rlabel locali 0 -17 1152 17 4 GND
+rlabel locali 0 -17 1152 17 4 VGND
 port 2 se ground default abutment
-rlabel locali 31 17 1121 48 4 GND
+rlabel locali 31 17 1121 48 4 VGND
 port 2 se ground default abutment
-rlabel locali 0 649 1152 683 4 VDD
+rlabel locali 0 649 1152 683 4 VPWR
 port 3 se power default abutment
-rlabel locali 31 618 1121 649 4 VDD
-port 3 se power default abutment
+rlabel locali 31 618 1121 649 4 VGND
+port 2 se power default abutment
 << properties >>
 string FIXED_BBOX 0 0 1152 666
 << end >>
diff --git a/cells/mag/AND2X2.lef b/cells/mag/AND2X2.lef
new file mode 100644
index 0000000..b64fb1f
--- /dev/null
+++ b/cells/mag/AND2X2.lef
@@ -0,0 +1,148 @@
+VERSION 5.7 ;
+  NOWIREEXTENSIONATPIN ON ;
+  DIVIDERCHAR "/" ;
+  BUSBITCHARS "[]" ;
+MACRO AND2X2
+  CLASS CORE ;
+  FOREIGN AND2X2 ;
+  ORIGIN 0.000 0.000 ;
+  SIZE 5.760 BY 3.330 ;
+  SYMMETRY X Y ;
+  SITE unit ;
+  PIN A
+    ANTENNAGATEAREA 0.189000 ;
+    PORT
+      LAYER met1 ;
+        RECT 1.295 1.780 1.585 2.070 ;
+        RECT 1.370 1.135 1.510 1.780 ;
+        RECT 1.295 0.845 1.585 1.135 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.275 1.760 1.605 2.090 ;
+      LAYER mcon ;
+        RECT 1.355 1.840 1.525 2.010 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA 0.189000 ;
+    PORT
+      LAYER met1 ;
+        RECT 2.735 1.780 3.025 2.070 ;
+    END
+  END B
+  PIN VGND
+    ANTENNADIFFAREA 0.541800 ;
+    PORT
+      LAYER met1 ;
+        RECT 3.215 0.240 3.505 0.570 ;
+        RECT 0.000 -0.240 5.760 0.240 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 3.195 0.240 3.525 0.590 ;
+        RECT 0.155 0.085 5.605 0.240 ;
+        RECT 0.000 -0.085 5.760 0.085 ;
+      LAYER mcon ;
+        RECT 3.275 0.340 3.445 0.510 ;
+        RECT 0.155 -0.085 0.325 0.085 ;
+        RECT 0.635 -0.085 0.805 0.085 ;
+        RECT 1.115 -0.085 1.285 0.085 ;
+        RECT 1.595 -0.085 1.765 0.085 ;
+        RECT 2.075 -0.085 2.245 0.085 ;
+        RECT 2.555 -0.085 2.725 0.085 ;
+        RECT 3.035 -0.085 3.205 0.085 ;
+        RECT 3.515 -0.085 3.685 0.085 ;
+        RECT 3.995 -0.085 4.165 0.085 ;
+        RECT 4.475 -0.085 4.645 0.085 ;
+        RECT 4.955 -0.085 5.125 0.085 ;
+        RECT 5.435 -0.085 5.605 0.085 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.000 3.090 5.760 3.570 ;
+        RECT 0.575 2.760 0.865 3.090 ;
+        RECT 3.215 2.760 3.505 3.090 ;
+    END
+  END VGND
+  PIN VPWR
+    ANTENNADIFFAREA 1.747200 ;
+    PORT
+      LAYER li1 ;
+        RECT 0.000 3.245 5.760 3.415 ;
+        RECT 0.155 3.090 5.605 3.245 ;
+        RECT 0.555 2.740 0.885 3.090 ;
+        RECT 3.195 2.740 3.525 3.090 ;
+      LAYER mcon ;
+        RECT 0.155 3.245 0.325 3.415 ;
+        RECT 0.635 3.245 0.805 3.415 ;
+        RECT 1.115 3.245 1.285 3.415 ;
+        RECT 1.595 3.245 1.765 3.415 ;
+        RECT 2.075 3.245 2.245 3.415 ;
+        RECT 2.555 3.245 2.725 3.415 ;
+        RECT 3.035 3.245 3.205 3.415 ;
+        RECT 3.515 3.245 3.685 3.415 ;
+        RECT 3.995 3.245 4.165 3.415 ;
+        RECT 4.475 3.245 4.645 3.415 ;
+        RECT 4.955 3.245 5.125 3.415 ;
+        RECT 5.435 3.245 5.605 3.415 ;
+        RECT 0.635 2.820 0.805 2.990 ;
+        RECT 3.275 2.820 3.445 2.990 ;
+    END
+  END VPWR
+  PIN Y
+    ANTENNADIFFAREA 1.031650 ;
+    PORT
+      LAYER met1 ;
+        RECT 4.655 2.195 4.945 2.485 ;
+        RECT 4.730 0.730 4.870 2.195 ;
+        RECT 4.655 0.440 4.945 0.730 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 4.635 2.260 4.965 2.505 ;
+        RECT 4.655 2.175 4.965 2.260 ;
+      LAYER mcon ;
+        RECT 4.715 2.255 4.885 2.425 ;
+    END
+  END Y
+  OBS
+      LAYER nwell ;
+        RECT 0.000 1.790 5.760 3.330 ;
+      LAYER li1 ;
+        RECT 1.755 2.260 2.085 2.505 ;
+        RECT 1.775 2.175 2.085 2.260 ;
+        RECT 2.715 1.760 3.045 2.090 ;
+        RECT 4.155 1.760 4.485 2.090 ;
+        RECT 2.795 1.155 2.965 1.760 ;
+        RECT 1.275 0.920 1.605 1.155 ;
+        RECT 1.295 0.825 1.605 0.920 ;
+        RECT 2.715 0.825 3.045 1.155 ;
+        RECT 4.155 0.825 4.485 1.155 ;
+        RECT 0.795 0.420 1.125 0.750 ;
+        RECT 4.655 0.655 4.965 0.750 ;
+        RECT 4.635 0.420 4.965 0.655 ;
+      LAYER mcon ;
+        RECT 1.835 2.255 2.005 2.425 ;
+        RECT 2.795 1.840 2.965 2.010 ;
+        RECT 4.235 1.840 4.405 2.010 ;
+        RECT 1.355 0.905 1.525 1.075 ;
+        RECT 4.235 0.905 4.405 1.075 ;
+        RECT 0.875 0.500 1.045 0.670 ;
+        RECT 4.715 0.500 4.885 0.670 ;
+      LAYER met1 ;
+        RECT 1.775 2.195 2.065 2.485 ;
+        RECT 1.850 1.060 1.990 2.195 ;
+        RECT 4.175 1.780 4.465 2.070 ;
+        RECT 4.250 1.135 4.390 1.780 ;
+        RECT 4.175 1.060 4.465 1.135 ;
+        RECT 1.850 0.920 4.465 1.060 ;
+        RECT 0.815 0.655 1.105 0.730 ;
+        RECT 1.850 0.655 1.990 0.920 ;
+        RECT 4.175 0.845 4.465 0.920 ;
+        RECT 0.815 0.515 1.990 0.655 ;
+        RECT 0.815 0.440 1.105 0.515 ;
+  END
+END AND2X2
+END LIBRARY
+
diff --git a/cells/mag/AND2X2.mag b/cells/mag/AND2X2.mag
index 9161e94..5b4b7d3 100644
--- a/cells/mag/AND2X2.mag
+++ b/cells/mag/AND2X2.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1624892566
+timestamp 1624917749
 << checkpaint >>
 rect -1260 -1374 11260 2038
 << nwell >>
@@ -316,13 +316,13 @@
 rect 1121 -17 1152 17
 rect 0 -48 1152 -17
 << labels >>
-rlabel metal1 0 618 1152 714 0 VDD
+rlabel metal1 0 618 1152 714 0 VPWR
 port 3 se
-rlabel metal1 0 618 1152 714 0 VDD
+rlabel metal1 0 618 1152 714 0 VPWR
 port 3 se
-rlabel metal1 0 -48 1152 48 0 GND
+rlabel metal1 0 -48 1152 48 0 VGND
 port 2 se
-rlabel metal1 0 -48 1152 48 0 GND
+rlabel metal1 0 -48 1152 48 0 VGND
 port 2 se
 rlabel metal1 931 88 989 146 0 Y
 port 4 se
@@ -338,14 +338,14 @@
 port 0 se
 rlabel metal1 547 356 605 414 0 B
 port 1 se
-rlabel locali 0 -17 1152 17 4 GND
+rlabel locali 0 -17 1152 17 4 VGND
 port 2 se ground default abutment
-rlabel locali 31 17 1121 48 4 GND
+rlabel locali 31 17 1121 48 4 VGND
 port 2 se ground default abutment
-rlabel locali 0 649 1152 683 4 VDD
+rlabel locali 0 649 1152 683 4 VPWR
 port 3 se power default abutment
-rlabel locali 31 618 1121 649 4 VDD
-port 3 se power default abutment
+rlabel locali 31 618 1121 649 4 VGND
+port 2 se power default abutment
 << properties >>
 string FIXED_BBOX 0 0 1152 666
 << end >>
diff --git a/cells/mag/AOI21X1.lef b/cells/mag/AOI21X1.lef
new file mode 100644
index 0000000..7c4d8a2
--- /dev/null
+++ b/cells/mag/AOI21X1.lef
@@ -0,0 +1,169 @@
+VERSION 5.7 ;
+  NOWIREEXTENSIONATPIN ON ;
+  DIVIDERCHAR "/" ;
+  BUSBITCHARS "[]" ;
+MACRO AOI21X1
+  CLASS CORE ;
+  FOREIGN AOI21X1 ;
+  ORIGIN 0.000 0.000 ;
+  SIZE 5.760 BY 3.330 ;
+  SYMMETRY X Y ;
+  SITE unit ;
+  PIN A
+    ANTENNAGATEAREA 0.189000 ;
+    PORT
+      LAYER met1 ;
+        RECT 2.735 1.780 3.025 2.070 ;
+        RECT 2.810 1.135 2.950 1.780 ;
+        RECT 2.735 0.845 3.025 1.135 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 2.715 1.760 3.045 2.090 ;
+      LAYER mcon ;
+        RECT 2.795 1.840 2.965 2.010 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA 0.189000 ;
+    PORT
+      LAYER met1 ;
+        RECT 4.175 1.780 4.465 2.070 ;
+        RECT 4.250 1.135 4.390 1.780 ;
+        RECT 4.175 0.845 4.465 1.135 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 4.155 1.760 4.485 2.090 ;
+      LAYER mcon ;
+        RECT 4.235 1.840 4.405 2.010 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA 0.189000 ;
+    PORT
+      LAYER met1 ;
+        RECT 1.295 1.780 1.585 2.070 ;
+        RECT 1.370 1.135 1.510 1.780 ;
+        RECT 1.295 0.845 1.585 1.135 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.275 1.760 1.605 2.090 ;
+      LAYER mcon ;
+        RECT 1.355 1.840 1.525 2.010 ;
+    END
+  END C
+  PIN VGND
+    ANTENNADIFFAREA 0.541800 ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000 -0.240 5.760 0.240 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.755 0.240 2.085 0.590 ;
+        RECT 0.155 0.085 5.605 0.240 ;
+        RECT 0.000 -0.085 5.760 0.085 ;
+      LAYER mcon ;
+        RECT 0.155 -0.085 0.325 0.085 ;
+        RECT 0.635 -0.085 0.805 0.085 ;
+        RECT 1.115 -0.085 1.285 0.085 ;
+        RECT 1.595 -0.085 1.765 0.085 ;
+        RECT 2.075 -0.085 2.245 0.085 ;
+        RECT 2.555 -0.085 2.725 0.085 ;
+        RECT 3.035 -0.085 3.205 0.085 ;
+        RECT 3.515 -0.085 3.685 0.085 ;
+        RECT 3.995 -0.085 4.165 0.085 ;
+        RECT 4.475 -0.085 4.645 0.085 ;
+        RECT 4.955 -0.085 5.125 0.085 ;
+        RECT 5.435 -0.085 5.605 0.085 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.000 3.090 5.760 3.570 ;
+        RECT 3.215 2.760 3.505 3.090 ;
+    END
+  END VGND
+  PIN VPWR
+    ANTENNADIFFAREA 1.083600 ;
+    PORT
+      LAYER li1 ;
+        RECT 0.000 3.245 5.760 3.415 ;
+        RECT 0.155 3.090 5.605 3.245 ;
+        RECT 3.195 2.740 3.525 3.090 ;
+      LAYER mcon ;
+        RECT 0.155 3.245 0.325 3.415 ;
+        RECT 0.635 3.245 0.805 3.415 ;
+        RECT 1.115 3.245 1.285 3.415 ;
+        RECT 1.595 3.245 1.765 3.415 ;
+        RECT 2.075 3.245 2.245 3.415 ;
+        RECT 2.555 3.245 2.725 3.415 ;
+        RECT 3.035 3.245 3.205 3.415 ;
+        RECT 3.515 3.245 3.685 3.415 ;
+        RECT 3.995 3.245 4.165 3.415 ;
+        RECT 4.475 3.245 4.645 3.415 ;
+        RECT 4.955 3.245 5.125 3.415 ;
+        RECT 5.435 3.245 5.605 3.415 ;
+        RECT 3.275 2.820 3.445 2.990 ;
+    END
+  END VPWR
+  PIN Y
+    ANTENNADIFFAREA 1.383750 ;
+    PORT
+      LAYER met1 ;
+        RECT 0.575 2.195 0.865 2.485 ;
+        RECT 0.650 0.730 0.790 2.195 ;
+        RECT 0.575 0.655 0.865 0.730 ;
+        RECT 4.655 0.655 4.945 0.730 ;
+        RECT 0.575 0.515 4.945 0.655 ;
+        RECT 0.575 0.440 0.865 0.515 ;
+        RECT 4.655 0.440 4.945 0.515 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 4.635 0.420 4.965 0.750 ;
+      LAYER mcon ;
+        RECT 4.715 0.500 4.885 0.670 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 0.555 0.420 0.885 0.750 ;
+      LAYER mcon ;
+        RECT 0.635 0.500 0.805 0.670 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 0.555 2.175 0.885 2.505 ;
+      LAYER mcon ;
+        RECT 0.635 2.255 0.805 2.425 ;
+    END
+  END Y
+  OBS
+      LAYER nwell ;
+        RECT 0.000 1.790 5.760 3.330 ;
+      LAYER li1 ;
+        RECT 2.235 2.260 2.565 2.505 ;
+        RECT 4.635 2.260 4.965 2.505 ;
+        RECT 2.235 2.175 2.545 2.260 ;
+        RECT 4.655 2.175 4.965 2.260 ;
+        RECT 1.275 0.825 1.605 1.155 ;
+        RECT 2.715 0.825 3.045 1.155 ;
+        RECT 4.155 0.920 4.485 1.155 ;
+        RECT 4.155 0.825 4.465 0.920 ;
+      LAYER mcon ;
+        RECT 2.315 2.255 2.485 2.425 ;
+        RECT 4.715 2.255 4.885 2.425 ;
+        RECT 1.355 0.905 1.525 1.075 ;
+        RECT 2.795 0.905 2.965 1.075 ;
+        RECT 4.235 0.905 4.405 1.075 ;
+      LAYER met1 ;
+        RECT 2.255 2.410 2.545 2.485 ;
+        RECT 4.655 2.410 4.945 2.485 ;
+        RECT 2.255 2.270 4.945 2.410 ;
+        RECT 2.255 2.195 2.545 2.270 ;
+        RECT 4.655 2.195 4.945 2.270 ;
+  END
+END AOI21X1
+END LIBRARY
+
diff --git a/cells/mag/AOI21X1.mag b/cells/mag/AOI21X1.mag
index 8a42463..b5b8606 100644
--- a/cells/mag/AOI21X1.mag
+++ b/cells/mag/AOI21X1.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1624892575
+timestamp 1624917751
 << checkpaint >>
 rect -1260 -1374 11260 2038
 << nwell >>
@@ -315,13 +315,13 @@
 rect 1121 -17 1152 17
 rect 0 -48 1152 -17
 << labels >>
-rlabel metal1 0 618 1152 714 0 VDD
+rlabel metal1 0 618 1152 714 0 VPWR
 port 4 se
-rlabel metal1 0 618 1152 714 0 VDD
+rlabel metal1 0 618 1152 714 0 VPWR
 port 4 se
-rlabel metal1 0 -48 1152 48 0 GND
+rlabel metal1 0 -48 1152 48 0 VGND
 port 3 se
-rlabel metal1 0 -48 1152 48 0 GND
+rlabel metal1 0 -48 1152 48 0 VGND
 port 3 se
 rlabel metal1 115 88 173 103 0 Y
 port 5 se
@@ -355,14 +355,14 @@
 port 1 se
 rlabel metal1 835 356 893 414 0 B
 port 1 se
-rlabel locali 0 -17 1152 17 4 GND
+rlabel locali 0 -17 1152 17 4 VGND
 port 3 se ground default abutment
-rlabel locali 31 17 1121 48 4 GND
+rlabel locali 31 17 1121 48 4 VGND
 port 3 se ground default abutment
-rlabel locali 0 649 1152 683 4 VDD
+rlabel locali 0 649 1152 683 4 VPWR
 port 4 se power default abutment
-rlabel locali 31 618 1121 649 4 VDD
-port 4 se power default abutment
+rlabel locali 31 618 1121 649 4 VGND
+port 3 se power default abutment
 << properties >>
 string FIXED_BBOX 0 0 1152 666
 << end >>
diff --git a/cells/mag/AOI22X1.lef b/cells/mag/AOI22X1.lef
new file mode 100644
index 0000000..08b4e33
--- /dev/null
+++ b/cells/mag/AOI22X1.lef
@@ -0,0 +1,200 @@
+VERSION 5.7 ;
+  NOWIREEXTENSIONATPIN ON ;
+  DIVIDERCHAR "/" ;
+  BUSBITCHARS "[]" ;
+MACRO AOI22X1
+  CLASS CORE ;
+  FOREIGN AOI22X1 ;
+  ORIGIN 0.000 0.000 ;
+  SIZE 7.200 BY 3.330 ;
+  SYMMETRY X Y ;
+  SITE unit ;
+  PIN A
+    ANTENNAGATEAREA 0.189000 ;
+    PORT
+      LAYER met1 ;
+        RECT 2.735 1.780 3.025 2.070 ;
+        RECT 2.810 1.135 2.950 1.780 ;
+        RECT 2.735 0.845 3.025 1.135 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 2.715 1.760 3.045 2.090 ;
+      LAYER mcon ;
+        RECT 2.795 1.840 2.965 2.010 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA 0.189000 ;
+    PORT
+      LAYER met1 ;
+        RECT 1.295 1.780 1.585 2.070 ;
+        RECT 1.370 1.135 1.510 1.780 ;
+        RECT 1.295 0.845 1.585 1.135 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.295 2.005 1.605 2.090 ;
+        RECT 1.275 1.760 1.605 2.005 ;
+      LAYER mcon ;
+        RECT 1.355 1.840 1.525 2.010 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA 0.189000 ;
+    PORT
+      LAYER met1 ;
+        RECT 4.175 1.780 4.465 2.070 ;
+        RECT 4.250 1.135 4.390 1.780 ;
+        RECT 4.175 0.845 4.465 1.135 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 4.155 1.760 4.485 2.090 ;
+      LAYER mcon ;
+        RECT 4.235 1.840 4.405 2.010 ;
+    END
+  END C
+  PIN D
+    ANTENNAGATEAREA 0.189000 ;
+    PORT
+      LAYER met1 ;
+        RECT 5.615 1.780 5.905 2.070 ;
+        RECT 5.690 1.135 5.830 1.780 ;
+        RECT 5.615 0.845 5.905 1.135 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 5.595 1.760 5.925 2.090 ;
+      LAYER mcon ;
+        RECT 5.675 1.840 5.845 2.010 ;
+    END
+  END D
+  PIN VGND
+    ANTENNADIFFAREA 0.541800 ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000 -0.240 7.200 0.240 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 3.195 0.240 3.525 0.590 ;
+        RECT 0.155 0.085 7.045 0.240 ;
+        RECT 0.000 -0.085 7.200 0.085 ;
+      LAYER mcon ;
+        RECT 0.155 -0.085 0.325 0.085 ;
+        RECT 0.635 -0.085 0.805 0.085 ;
+        RECT 1.115 -0.085 1.285 0.085 ;
+        RECT 1.595 -0.085 1.765 0.085 ;
+        RECT 2.075 -0.085 2.245 0.085 ;
+        RECT 2.555 -0.085 2.725 0.085 ;
+        RECT 3.035 -0.085 3.205 0.085 ;
+        RECT 3.515 -0.085 3.685 0.085 ;
+        RECT 3.995 -0.085 4.165 0.085 ;
+        RECT 4.475 -0.085 4.645 0.085 ;
+        RECT 4.955 -0.085 5.125 0.085 ;
+        RECT 5.435 -0.085 5.605 0.085 ;
+        RECT 5.915 -0.085 6.085 0.085 ;
+        RECT 6.395 -0.085 6.565 0.085 ;
+        RECT 6.875 -0.085 7.045 0.085 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.000 3.090 7.200 3.570 ;
+        RECT 1.775 2.760 2.065 3.090 ;
+    END
+  END VGND
+  PIN VPWR
+    ANTENNADIFFAREA 1.083600 ;
+    PORT
+      LAYER li1 ;
+        RECT 0.000 3.245 7.200 3.415 ;
+        RECT 0.155 3.090 7.045 3.245 ;
+        RECT 1.755 2.740 2.085 3.090 ;
+      LAYER mcon ;
+        RECT 0.155 3.245 0.325 3.415 ;
+        RECT 0.635 3.245 0.805 3.415 ;
+        RECT 1.115 3.245 1.285 3.415 ;
+        RECT 1.595 3.245 1.765 3.415 ;
+        RECT 2.075 3.245 2.245 3.415 ;
+        RECT 2.555 3.245 2.725 3.415 ;
+        RECT 3.035 3.245 3.205 3.415 ;
+        RECT 3.515 3.245 3.685 3.415 ;
+        RECT 3.995 3.245 4.165 3.415 ;
+        RECT 4.475 3.245 4.645 3.415 ;
+        RECT 4.955 3.245 5.125 3.415 ;
+        RECT 5.435 3.245 5.605 3.415 ;
+        RECT 5.915 3.245 6.085 3.415 ;
+        RECT 6.395 3.245 6.565 3.415 ;
+        RECT 6.875 3.245 7.045 3.415 ;
+        RECT 1.835 2.820 2.005 2.990 ;
+    END
+  END VPWR
+  PIN Y
+    ANTENNADIFFAREA 1.803750 ;
+    PORT
+      LAYER met1 ;
+        RECT 5.135 2.195 5.425 2.485 ;
+        RECT 0.815 0.655 1.105 0.730 ;
+        RECT 5.210 0.655 5.350 2.195 ;
+        RECT 6.095 0.655 6.385 0.730 ;
+        RECT 0.815 0.515 6.385 0.655 ;
+        RECT 0.815 0.440 1.105 0.515 ;
+        RECT 6.095 0.440 6.385 0.515 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 6.075 0.420 6.405 0.750 ;
+      LAYER mcon ;
+        RECT 6.155 0.500 6.325 0.670 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 0.795 0.655 1.105 0.750 ;
+        RECT 0.795 0.420 1.125 0.655 ;
+      LAYER mcon ;
+        RECT 0.875 0.500 1.045 0.670 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 5.115 2.260 5.445 2.505 ;
+        RECT 5.115 2.175 5.425 2.260 ;
+      LAYER mcon ;
+        RECT 5.195 2.255 5.365 2.425 ;
+    END
+  END Y
+  OBS
+      LAYER nwell ;
+        RECT 0.000 1.790 7.200 3.330 ;
+      LAYER li1 ;
+        RECT 6.075 2.580 6.405 2.910 ;
+        RECT 0.795 2.175 1.125 2.505 ;
+        RECT 3.195 2.260 3.525 2.505 ;
+        RECT 3.215 2.175 3.525 2.260 ;
+        RECT 1.275 0.825 1.605 1.155 ;
+        RECT 2.715 0.825 3.045 1.155 ;
+        RECT 4.155 0.825 4.485 1.155 ;
+        RECT 5.595 0.920 5.925 1.155 ;
+        RECT 5.595 0.825 5.905 0.920 ;
+      LAYER mcon ;
+        RECT 6.155 2.660 6.325 2.830 ;
+        RECT 0.875 2.255 1.045 2.425 ;
+        RECT 3.275 2.255 3.445 2.425 ;
+        RECT 1.355 0.905 1.525 1.075 ;
+        RECT 2.795 0.905 2.965 1.075 ;
+        RECT 4.235 0.905 4.405 1.075 ;
+        RECT 5.675 0.905 5.845 1.075 ;
+      LAYER met1 ;
+        RECT 6.095 2.815 6.385 2.890 ;
+        RECT 3.290 2.675 6.385 2.815 ;
+        RECT 3.290 2.485 3.430 2.675 ;
+        RECT 6.095 2.600 6.385 2.675 ;
+        RECT 0.815 2.410 1.105 2.485 ;
+        RECT 3.215 2.410 3.505 2.485 ;
+        RECT 0.815 2.270 3.505 2.410 ;
+        RECT 0.815 2.195 1.105 2.270 ;
+        RECT 3.215 2.195 3.505 2.270 ;
+  END
+END AOI22X1
+END LIBRARY
+
diff --git a/cells/mag/AOI22X1.mag b/cells/mag/AOI22X1.mag
index f9b09f9..cbf783b 100644
--- a/cells/mag/AOI22X1.mag
+++ b/cells/mag/AOI22X1.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1624892576
+timestamp 1624917754
 << checkpaint >>
 rect -1260 -1374 11260 2038
 << nwell >>
@@ -389,13 +389,13 @@
 rect 1409 -17 1440 17
 rect 0 -48 1440 -17
 << labels >>
-rlabel metal1 0 618 1440 714 0 VDD
+rlabel metal1 0 618 1440 714 0 VPWR
 port 5 se
-rlabel metal1 0 618 1440 714 0 VDD
+rlabel metal1 0 618 1440 714 0 VPWR
 port 5 se
-rlabel metal1 0 -48 1440 48 0 GND
+rlabel metal1 0 -48 1440 48 0 VGND
 port 4 se
-rlabel metal1 0 -48 1440 48 0 GND
+rlabel metal1 0 -48 1440 48 0 VGND
 port 4 se
 rlabel metal1 163 88 221 103 0 Y
 port 6 se
@@ -435,14 +435,14 @@
 port 0 se
 rlabel metal1 547 356 605 414 0 A
 port 0 se
-rlabel locali 0 -17 1440 17 4 GND
+rlabel locali 0 -17 1440 17 4 VGND
 port 4 se ground default abutment
-rlabel locali 31 17 1409 48 4 GND
+rlabel locali 31 17 1409 48 4 VGND
 port 4 se ground default abutment
-rlabel locali 0 649 1440 683 4 VDD
+rlabel locali 0 649 1440 683 4 VPWR
 port 5 se power default abutment
-rlabel locali 31 618 1409 649 4 VDD
-port 5 se power default abutment
+rlabel locali 31 618 1409 649 4 VGND
+port 4 se power default abutment
 << properties >>
 string FIXED_BBOX 0 0 1440 666
 << end >>
diff --git a/cells/mag/BUFX2.lef b/cells/mag/BUFX2.lef
new file mode 100644
index 0000000..f8c0792
--- /dev/null
+++ b/cells/mag/BUFX2.lef
@@ -0,0 +1,118 @@
+VERSION 5.7 ;
+  NOWIREEXTENSIONATPIN ON ;
+  DIVIDERCHAR "/" ;
+  BUSBITCHARS "[]" ;
+MACRO BUFX2
+  CLASS CORE ;
+  FOREIGN BUFX2 ;
+  ORIGIN 0.000 0.000 ;
+  SIZE 4.320 BY 3.330 ;
+  SYMMETRY X Y ;
+  SITE unit ;
+  PIN A
+    ANTENNAGATEAREA 0.189000 ;
+    PORT
+      LAYER met1 ;
+        RECT 1.295 0.845 1.585 1.135 ;
+    END
+  END A
+  PIN VGND
+    ANTENNADIFFAREA 0.541800 ;
+    PORT
+      LAYER met1 ;
+        RECT 1.775 0.240 2.065 0.570 ;
+        RECT 0.000 -0.240 4.320 0.240 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.755 0.240 2.085 0.590 ;
+        RECT 0.155 0.085 4.165 0.240 ;
+        RECT 0.000 -0.085 4.320 0.085 ;
+      LAYER mcon ;
+        RECT 1.835 0.340 2.005 0.510 ;
+        RECT 0.155 -0.085 0.325 0.085 ;
+        RECT 0.635 -0.085 0.805 0.085 ;
+        RECT 1.115 -0.085 1.285 0.085 ;
+        RECT 1.595 -0.085 1.765 0.085 ;
+        RECT 2.075 -0.085 2.245 0.085 ;
+        RECT 2.555 -0.085 2.725 0.085 ;
+        RECT 3.035 -0.085 3.205 0.085 ;
+        RECT 3.515 -0.085 3.685 0.085 ;
+        RECT 3.995 -0.085 4.165 0.085 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.000 3.090 4.320 3.570 ;
+        RECT 1.775 2.760 2.065 3.090 ;
+    END
+  END VGND
+  PIN VPWR
+    ANTENNADIFFAREA 1.083600 ;
+    PORT
+      LAYER li1 ;
+        RECT 0.000 3.245 4.320 3.415 ;
+        RECT 0.155 3.090 4.165 3.245 ;
+        RECT 1.755 2.740 2.085 3.090 ;
+      LAYER mcon ;
+        RECT 0.155 3.245 0.325 3.415 ;
+        RECT 0.635 3.245 0.805 3.415 ;
+        RECT 1.115 3.245 1.285 3.415 ;
+        RECT 1.595 3.245 1.765 3.415 ;
+        RECT 2.075 3.245 2.245 3.415 ;
+        RECT 2.555 3.245 2.725 3.415 ;
+        RECT 3.035 3.245 3.205 3.415 ;
+        RECT 3.515 3.245 3.685 3.415 ;
+        RECT 3.995 3.245 4.165 3.415 ;
+        RECT 1.835 2.820 2.005 2.990 ;
+    END
+  END VPWR
+  PIN Y
+    ANTENNADIFFAREA 1.031650 ;
+    PORT
+      LAYER met1 ;
+        RECT 3.215 2.195 3.505 2.485 ;
+        RECT 3.290 0.730 3.430 2.195 ;
+        RECT 3.215 0.440 3.505 0.730 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 3.195 2.175 3.525 2.505 ;
+      LAYER mcon ;
+        RECT 3.275 2.255 3.445 2.425 ;
+    END
+  END Y
+  OBS
+      LAYER nwell ;
+        RECT 0.000 1.790 4.320 3.330 ;
+      LAYER li1 ;
+        RECT 0.555 2.175 0.885 2.505 ;
+        RECT 1.275 1.760 1.605 2.090 ;
+        RECT 2.715 2.005 3.025 2.090 ;
+        RECT 2.715 1.760 3.045 2.005 ;
+        RECT 1.355 1.155 1.525 1.760 ;
+        RECT 1.275 0.825 1.605 1.155 ;
+        RECT 2.715 0.920 3.045 1.155 ;
+        RECT 2.715 0.825 3.025 0.920 ;
+        RECT 0.555 0.420 0.885 0.750 ;
+        RECT 3.195 0.420 3.525 0.750 ;
+      LAYER mcon ;
+        RECT 0.635 2.255 0.805 2.425 ;
+        RECT 2.795 1.840 2.965 2.010 ;
+        RECT 1.355 0.905 1.525 1.075 ;
+        RECT 2.795 0.905 2.965 1.075 ;
+        RECT 0.635 0.500 0.805 0.670 ;
+        RECT 3.275 0.500 3.445 0.670 ;
+      LAYER met1 ;
+        RECT 0.575 2.195 0.865 2.485 ;
+        RECT 0.650 1.995 0.790 2.195 ;
+        RECT 2.735 1.995 3.025 2.070 ;
+        RECT 0.650 1.855 3.025 1.995 ;
+        RECT 0.650 0.730 0.790 1.855 ;
+        RECT 2.735 1.780 3.025 1.855 ;
+        RECT 2.810 1.135 2.950 1.780 ;
+        RECT 2.735 0.845 3.025 1.135 ;
+        RECT 0.575 0.440 0.865 0.730 ;
+  END
+END BUFX2
+END LIBRARY
+
diff --git a/cells/mag/BUFX2.mag b/cells/mag/BUFX2.mag
index 3f7d5eb..9db1bec 100644
--- a/cells/mag/BUFX2.mag
+++ b/cells/mag/BUFX2.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1624892577
+timestamp 1624917755
 << checkpaint >>
 rect -1260 -1374 11260 2038
 << nwell >>
@@ -243,13 +243,13 @@
 rect 833 -17 864 17
 rect 0 -48 864 -17
 << labels >>
-rlabel metal1 0 618 864 714 0 VDD
+rlabel metal1 0 618 864 714 0 VPWR
 port 2 se
-rlabel metal1 0 618 864 714 0 VDD
+rlabel metal1 0 618 864 714 0 VPWR
 port 2 se
-rlabel metal1 0 -48 864 48 0 GND
+rlabel metal1 0 -48 864 48 0 VGND
 port 1 se
-rlabel metal1 0 -48 864 48 0 GND
+rlabel metal1 0 -48 864 48 0 VGND
 port 1 se
 rlabel metal1 643 88 701 146 0 Y
 port 3 se
@@ -259,14 +259,14 @@
 port 3 se
 rlabel metal1 259 169 317 227 0 A
 port 0 se
-rlabel locali 0 -17 864 17 4 GND
+rlabel locali 0 -17 864 17 4 VGND
 port 1 se ground default abutment
-rlabel locali 31 17 833 48 4 GND
+rlabel locali 31 17 833 48 4 VGND
 port 1 se ground default abutment
-rlabel locali 0 649 864 683 4 VDD
+rlabel locali 0 649 864 683 4 VPWR
 port 2 se power default abutment
-rlabel locali 31 618 833 649 4 VDD
-port 2 se power default abutment
+rlabel locali 31 618 833 649 4 VGND
+port 1 se power default abutment
 << properties >>
 string FIXED_BBOX 0 0 864 666
 << end >>
diff --git a/cells/mag/BUFX4.lef b/cells/mag/BUFX4.lef
new file mode 100644
index 0000000..6958308
--- /dev/null
+++ b/cells/mag/BUFX4.lef
@@ -0,0 +1,151 @@
+VERSION 5.7 ;
+  NOWIREEXTENSIONATPIN ON ;
+  DIVIDERCHAR "/" ;
+  BUSBITCHARS "[]" ;
+MACRO BUFX4
+  CLASS CORE ;
+  FOREIGN BUFX4 ;
+  ORIGIN 0.000 0.000 ;
+  SIZE 5.760 BY 3.330 ;
+  SYMMETRY X Y ;
+  SITE unit ;
+  PIN A
+    ANTENNAGATEAREA 0.189000 ;
+    PORT
+      LAYER met1 ;
+        RECT 1.295 1.780 1.585 2.070 ;
+        RECT 1.370 1.540 1.510 1.780 ;
+        RECT 1.295 1.250 1.585 1.540 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.275 1.760 1.605 2.090 ;
+      LAYER mcon ;
+        RECT 1.355 1.840 1.525 2.010 ;
+    END
+  END A
+  PIN VGND
+    ANTENNADIFFAREA 0.873600 ;
+    PORT
+      LAYER met1 ;
+        RECT 1.775 0.240 2.065 0.570 ;
+        RECT 0.000 -0.240 5.760 0.240 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.755 0.240 2.085 0.590 ;
+        RECT 4.635 0.240 4.965 0.590 ;
+        RECT 0.155 0.085 5.605 0.240 ;
+        RECT 0.000 -0.085 5.760 0.085 ;
+      LAYER mcon ;
+        RECT 1.835 0.340 2.005 0.510 ;
+        RECT 0.155 -0.085 0.325 0.085 ;
+        RECT 0.635 -0.085 0.805 0.085 ;
+        RECT 1.115 -0.085 1.285 0.085 ;
+        RECT 1.595 -0.085 1.765 0.085 ;
+        RECT 2.075 -0.085 2.245 0.085 ;
+        RECT 2.555 -0.085 2.725 0.085 ;
+        RECT 3.035 -0.085 3.205 0.085 ;
+        RECT 3.515 -0.085 3.685 0.085 ;
+        RECT 3.995 -0.085 4.165 0.085 ;
+        RECT 4.475 -0.085 4.645 0.085 ;
+        RECT 4.955 -0.085 5.125 0.085 ;
+        RECT 5.435 -0.085 5.605 0.085 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.000 3.090 5.760 3.570 ;
+        RECT 1.775 2.760 2.065 3.090 ;
+        RECT 4.655 2.760 4.945 3.090 ;
+    END
+  END VGND
+  PIN VPWR
+    ANTENNADIFFAREA 1.747200 ;
+    PORT
+      LAYER li1 ;
+        RECT 0.000 3.245 5.760 3.415 ;
+        RECT 0.155 3.090 5.605 3.245 ;
+        RECT 1.755 2.740 2.085 3.090 ;
+        RECT 4.635 2.740 4.965 3.090 ;
+      LAYER mcon ;
+        RECT 0.155 3.245 0.325 3.415 ;
+        RECT 0.635 3.245 0.805 3.415 ;
+        RECT 1.115 3.245 1.285 3.415 ;
+        RECT 1.595 3.245 1.765 3.415 ;
+        RECT 2.075 3.245 2.245 3.415 ;
+        RECT 2.555 3.245 2.725 3.415 ;
+        RECT 3.035 3.245 3.205 3.415 ;
+        RECT 3.515 3.245 3.685 3.415 ;
+        RECT 3.995 3.245 4.165 3.415 ;
+        RECT 4.475 3.245 4.645 3.415 ;
+        RECT 4.955 3.245 5.125 3.415 ;
+        RECT 5.435 3.245 5.605 3.415 ;
+        RECT 1.835 2.820 2.005 2.990 ;
+        RECT 4.715 2.820 4.885 2.990 ;
+    END
+  END VPWR
+  PIN Y
+    ANTENNADIFFAREA 1.661650 ;
+    PORT
+      LAYER met1 ;
+        RECT 3.695 2.410 3.985 2.485 ;
+        RECT 3.695 2.270 4.870 2.410 ;
+        RECT 3.695 2.195 3.985 2.270 ;
+        RECT 3.695 0.655 3.985 0.730 ;
+        RECT 4.730 0.655 4.870 2.270 ;
+        RECT 3.695 0.515 4.870 0.655 ;
+        RECT 3.695 0.440 3.985 0.515 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 3.675 0.420 4.005 0.750 ;
+      LAYER mcon ;
+        RECT 3.755 0.500 3.925 0.670 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 3.675 2.175 4.005 2.505 ;
+      LAYER mcon ;
+        RECT 3.755 2.255 3.925 2.425 ;
+    END
+  END Y
+  OBS
+      LAYER nwell ;
+        RECT 0.000 1.790 5.760 3.330 ;
+      LAYER li1 ;
+        RECT 0.555 2.175 0.885 2.505 ;
+        RECT 2.715 1.760 3.045 2.090 ;
+        RECT 4.175 2.005 4.485 2.090 ;
+        RECT 4.155 1.760 4.485 2.005 ;
+        RECT 1.355 1.155 1.525 1.480 ;
+        RECT 1.275 0.825 1.605 1.155 ;
+        RECT 2.715 0.825 3.045 1.155 ;
+        RECT 4.155 0.920 4.485 1.155 ;
+        RECT 4.175 0.825 4.485 0.920 ;
+        RECT 0.555 0.420 0.885 0.750 ;
+      LAYER mcon ;
+        RECT 0.635 2.255 0.805 2.425 ;
+        RECT 2.795 1.840 2.965 2.010 ;
+        RECT 4.235 1.840 4.405 2.010 ;
+        RECT 1.355 1.310 1.525 1.480 ;
+        RECT 2.795 0.905 2.965 1.075 ;
+        RECT 4.235 0.905 4.405 1.075 ;
+        RECT 0.635 0.500 0.805 0.670 ;
+      LAYER met1 ;
+        RECT 0.575 2.195 0.865 2.485 ;
+        RECT 0.650 1.060 0.790 2.195 ;
+        RECT 2.735 1.780 3.025 2.070 ;
+        RECT 4.175 1.780 4.465 2.070 ;
+        RECT 2.810 1.135 2.950 1.780 ;
+        RECT 4.250 1.135 4.390 1.780 ;
+        RECT 2.735 1.060 3.025 1.135 ;
+        RECT 4.175 1.060 4.465 1.135 ;
+        RECT 0.650 0.920 4.465 1.060 ;
+        RECT 0.650 0.730 0.790 0.920 ;
+        RECT 2.735 0.845 3.025 0.920 ;
+        RECT 4.175 0.845 4.465 0.920 ;
+        RECT 0.575 0.440 0.865 0.730 ;
+  END
+END BUFX4
+END LIBRARY
+
diff --git a/cells/mag/BUFX4.mag b/cells/mag/BUFX4.mag
index 6650390..fbf5150 100644
--- a/cells/mag/BUFX4.mag
+++ b/cells/mag/BUFX4.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1624892578
+timestamp 1624917758
 << checkpaint >>
 rect -1260 -1374 11260 2038
 << nwell >>
@@ -332,13 +332,13 @@
 rect 1121 -17 1152 17
 rect 0 -48 1152 -17
 << labels >>
-rlabel metal1 0 618 1152 714 0 VDD
+rlabel metal1 0 618 1152 714 0 VPWR
 port 2 se
-rlabel metal1 0 618 1152 714 0 VDD
+rlabel metal1 0 618 1152 714 0 VPWR
 port 2 se
-rlabel metal1 0 -48 1152 48 0 GND
+rlabel metal1 0 -48 1152 48 0 VGND
 port 1 se
-rlabel metal1 0 -48 1152 48 0 GND
+rlabel metal1 0 -48 1152 48 0 VGND
 port 1 se
 rlabel metal1 739 88 797 103 0 Y
 port 3 se
@@ -360,14 +360,14 @@
 port 0 se
 rlabel metal1 259 356 317 414 0 A
 port 0 se
-rlabel locali 0 -17 1152 17 4 GND
+rlabel locali 0 -17 1152 17 4 VGND
 port 1 se ground default abutment
-rlabel locali 31 17 1121 48 4 GND
+rlabel locali 31 17 1121 48 4 VGND
 port 1 se ground default abutment
-rlabel locali 0 649 1152 683 4 VDD
+rlabel locali 0 649 1152 683 4 VPWR
 port 2 se power default abutment
-rlabel locali 31 618 1121 649 4 VDD
-port 2 se power default abutment
+rlabel locali 31 618 1121 649 4 VGND
+port 1 se power default abutment
 << properties >>
 string FIXED_BBOX 0 0 1152 666
 << end >>
diff --git a/cells/mag/CLKBUF1.lef b/cells/mag/CLKBUF1.lef
new file mode 100644
index 0000000..2e59128
--- /dev/null
+++ b/cells/mag/CLKBUF1.lef
@@ -0,0 +1,271 @@
+VERSION 5.7 ;
+  NOWIREEXTENSIONATPIN ON ;
+  DIVIDERCHAR "/" ;
+  BUSBITCHARS "[]" ;
+MACRO CLKBUF1
+  CLASS CORE ;
+  FOREIGN CLKBUF1 ;
+  ORIGIN 0.000 0.000 ;
+  SIZE 12.960 BY 3.330 ;
+  SYMMETRY X Y ;
+  SITE unit ;
+  PIN A
+    ANTENNAGATEAREA 0.378000 ;
+    PORT
+      LAYER met1 ;
+        RECT 1.370 2.675 2.950 2.815 ;
+        RECT 1.370 2.070 1.510 2.675 ;
+        RECT 2.810 2.070 2.950 2.675 ;
+        RECT 1.295 1.780 1.585 2.070 ;
+        RECT 2.735 1.780 3.025 2.070 ;
+        RECT 1.370 1.135 1.510 1.780 ;
+        RECT 2.810 1.540 2.950 1.780 ;
+        RECT 2.735 1.250 3.025 1.540 ;
+        RECT 1.295 0.845 1.585 1.135 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 2.795 1.155 2.965 1.480 ;
+        RECT 2.715 0.825 3.045 1.155 ;
+      LAYER mcon ;
+        RECT 2.795 1.310 2.965 1.480 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.275 1.760 1.605 2.090 ;
+      LAYER mcon ;
+        RECT 1.355 1.840 1.525 2.010 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 2.715 1.760 3.045 2.090 ;
+      LAYER mcon ;
+        RECT 2.795 1.840 2.965 2.010 ;
+    END
+  END A
+  PIN VGND
+    ANTENNADIFFAREA 2.289000 ;
+    PORT
+      LAYER met1 ;
+        RECT 0.575 0.240 0.865 0.570 ;
+        RECT 3.215 0.240 3.505 0.570 ;
+        RECT 6.095 0.240 6.385 0.570 ;
+        RECT 8.975 0.240 9.265 0.570 ;
+        RECT 11.855 0.240 12.145 0.570 ;
+        RECT 0.000 -0.240 12.960 0.240 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 0.555 0.240 0.885 0.590 ;
+        RECT 3.195 0.240 3.525 0.590 ;
+        RECT 6.075 0.240 6.405 0.590 ;
+        RECT 8.955 0.240 9.285 0.590 ;
+        RECT 11.835 0.240 12.165 0.590 ;
+        RECT 0.155 0.085 12.805 0.240 ;
+        RECT 0.000 -0.085 12.960 0.085 ;
+      LAYER mcon ;
+        RECT 0.635 0.340 0.805 0.510 ;
+        RECT 3.275 0.340 3.445 0.510 ;
+        RECT 6.155 0.340 6.325 0.510 ;
+        RECT 9.035 0.340 9.205 0.510 ;
+        RECT 11.915 0.340 12.085 0.510 ;
+        RECT 0.155 -0.085 0.325 0.085 ;
+        RECT 0.635 -0.085 0.805 0.085 ;
+        RECT 1.115 -0.085 1.285 0.085 ;
+        RECT 1.595 -0.085 1.765 0.085 ;
+        RECT 2.075 -0.085 2.245 0.085 ;
+        RECT 2.555 -0.085 2.725 0.085 ;
+        RECT 3.035 -0.085 3.205 0.085 ;
+        RECT 3.515 -0.085 3.685 0.085 ;
+        RECT 3.995 -0.085 4.165 0.085 ;
+        RECT 4.475 -0.085 4.645 0.085 ;
+        RECT 4.955 -0.085 5.125 0.085 ;
+        RECT 5.435 -0.085 5.605 0.085 ;
+        RECT 5.915 -0.085 6.085 0.085 ;
+        RECT 6.395 -0.085 6.565 0.085 ;
+        RECT 6.875 -0.085 7.045 0.085 ;
+        RECT 7.355 -0.085 7.525 0.085 ;
+        RECT 7.835 -0.085 8.005 0.085 ;
+        RECT 8.315 -0.085 8.485 0.085 ;
+        RECT 8.795 -0.085 8.965 0.085 ;
+        RECT 9.275 -0.085 9.445 0.085 ;
+        RECT 9.755 -0.085 9.925 0.085 ;
+        RECT 10.235 -0.085 10.405 0.085 ;
+        RECT 10.715 -0.085 10.885 0.085 ;
+        RECT 11.195 -0.085 11.365 0.085 ;
+        RECT 11.675 -0.085 11.845 0.085 ;
+        RECT 12.155 -0.085 12.325 0.085 ;
+        RECT 12.635 -0.085 12.805 0.085 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.000 3.090 12.960 3.570 ;
+        RECT 0.575 2.760 0.865 3.090 ;
+        RECT 3.215 2.760 3.505 3.090 ;
+        RECT 6.095 2.760 6.385 3.090 ;
+        RECT 8.975 2.760 9.265 3.090 ;
+        RECT 11.855 2.760 12.145 3.090 ;
+    END
+  END VGND
+  PIN VPWR
+    ANTENNADIFFAREA 4.578000 ;
+    PORT
+      LAYER li1 ;
+        RECT 0.000 3.245 12.960 3.415 ;
+        RECT 0.155 3.090 12.805 3.245 ;
+        RECT 0.555 2.740 0.885 3.090 ;
+        RECT 3.195 2.740 3.525 3.090 ;
+        RECT 6.075 2.740 6.405 3.090 ;
+        RECT 8.955 2.740 9.285 3.090 ;
+        RECT 11.835 2.740 12.165 3.090 ;
+      LAYER mcon ;
+        RECT 0.155 3.245 0.325 3.415 ;
+        RECT 0.635 3.245 0.805 3.415 ;
+        RECT 1.115 3.245 1.285 3.415 ;
+        RECT 1.595 3.245 1.765 3.415 ;
+        RECT 2.075 3.245 2.245 3.415 ;
+        RECT 2.555 3.245 2.725 3.415 ;
+        RECT 3.035 3.245 3.205 3.415 ;
+        RECT 3.515 3.245 3.685 3.415 ;
+        RECT 3.995 3.245 4.165 3.415 ;
+        RECT 4.475 3.245 4.645 3.415 ;
+        RECT 4.955 3.245 5.125 3.415 ;
+        RECT 5.435 3.245 5.605 3.415 ;
+        RECT 5.915 3.245 6.085 3.415 ;
+        RECT 6.395 3.245 6.565 3.415 ;
+        RECT 6.875 3.245 7.045 3.415 ;
+        RECT 7.355 3.245 7.525 3.415 ;
+        RECT 7.835 3.245 8.005 3.415 ;
+        RECT 8.315 3.245 8.485 3.415 ;
+        RECT 8.795 3.245 8.965 3.415 ;
+        RECT 9.275 3.245 9.445 3.415 ;
+        RECT 9.755 3.245 9.925 3.415 ;
+        RECT 10.235 3.245 10.405 3.415 ;
+        RECT 10.715 3.245 10.885 3.415 ;
+        RECT 11.195 3.245 11.365 3.415 ;
+        RECT 11.675 3.245 11.845 3.415 ;
+        RECT 12.155 3.245 12.325 3.415 ;
+        RECT 12.635 3.245 12.805 3.415 ;
+        RECT 0.635 2.820 0.805 2.990 ;
+        RECT 3.275 2.820 3.445 2.990 ;
+        RECT 6.155 2.820 6.325 2.990 ;
+        RECT 9.035 2.820 9.205 2.990 ;
+        RECT 11.915 2.820 12.085 2.990 ;
+    END
+  END VPWR
+  PIN Y
+    ANTENNADIFFAREA 1.661650 ;
+    PORT
+      LAYER met1 ;
+        RECT 10.415 0.440 10.705 0.730 ;
+    END
+  END Y
+  OBS
+      LAYER nwell ;
+        RECT 0.000 1.790 12.960 3.330 ;
+      LAYER li1 ;
+        RECT 1.755 2.260 2.085 2.505 ;
+        RECT 4.635 2.260 4.965 2.505 ;
+        RECT 1.775 2.175 2.085 2.260 ;
+        RECT 4.655 2.175 4.965 2.260 ;
+        RECT 5.675 2.090 5.845 2.830 ;
+        RECT 7.515 2.175 7.845 2.505 ;
+        RECT 8.555 2.090 8.725 2.830 ;
+        RECT 10.395 2.260 10.725 2.505 ;
+        RECT 10.415 2.175 10.725 2.260 ;
+        RECT 4.155 1.760 4.485 2.090 ;
+        RECT 5.595 1.760 5.925 2.090 ;
+        RECT 7.035 2.005 7.345 2.090 ;
+        RECT 7.035 1.760 7.365 2.005 ;
+        RECT 8.475 1.760 8.805 2.090 ;
+        RECT 9.915 1.760 10.245 2.090 ;
+        RECT 5.675 1.445 5.845 1.760 ;
+        RECT 8.555 1.445 8.725 1.760 ;
+        RECT 1.275 0.825 1.605 1.155 ;
+        RECT 4.155 0.920 4.485 1.155 ;
+        RECT 4.155 0.825 4.465 0.920 ;
+        RECT 5.595 0.825 5.925 1.155 ;
+        RECT 7.035 0.920 7.365 1.155 ;
+        RECT 7.035 0.825 7.345 0.920 ;
+        RECT 8.475 0.825 8.805 1.155 ;
+        RECT 9.915 0.920 10.245 1.155 ;
+        RECT 9.915 0.825 10.225 0.920 ;
+        RECT 10.475 0.750 10.645 2.175 ;
+        RECT 11.355 1.760 11.685 2.090 ;
+        RECT 11.355 0.825 11.685 1.155 ;
+        RECT 1.775 0.655 2.085 0.750 ;
+        RECT 1.755 0.420 2.085 0.655 ;
+        RECT 4.635 0.420 4.965 0.750 ;
+        RECT 7.515 0.420 7.845 0.750 ;
+        RECT 10.395 0.420 10.725 0.750 ;
+      LAYER mcon ;
+        RECT 5.675 2.660 5.845 2.830 ;
+        RECT 1.835 2.255 2.005 2.425 ;
+        RECT 4.715 2.255 4.885 2.425 ;
+        RECT 8.555 2.660 8.725 2.830 ;
+        RECT 7.595 2.255 7.765 2.425 ;
+        RECT 4.235 1.840 4.405 2.010 ;
+        RECT 7.115 1.840 7.285 2.010 ;
+        RECT 9.995 1.840 10.165 2.010 ;
+        RECT 1.355 0.905 1.525 1.075 ;
+        RECT 4.235 0.905 4.405 1.075 ;
+        RECT 5.675 0.905 5.845 1.075 ;
+        RECT 7.115 0.905 7.285 1.075 ;
+        RECT 8.555 0.905 8.725 1.075 ;
+        RECT 9.995 0.905 10.165 1.075 ;
+        RECT 11.435 1.840 11.605 2.010 ;
+        RECT 11.435 0.905 11.605 1.075 ;
+        RECT 1.835 0.500 2.005 0.670 ;
+        RECT 4.715 0.500 4.885 0.670 ;
+        RECT 7.595 0.500 7.765 0.670 ;
+        RECT 10.475 0.500 10.645 0.670 ;
+      LAYER met1 ;
+        RECT 5.615 2.815 5.905 2.890 ;
+        RECT 8.495 2.815 8.785 2.890 ;
+        RECT 4.250 2.675 5.905 2.815 ;
+        RECT 1.775 2.195 2.065 2.485 ;
+        RECT 1.850 1.060 1.990 2.195 ;
+        RECT 4.250 2.070 4.390 2.675 ;
+        RECT 5.615 2.600 5.905 2.675 ;
+        RECT 7.130 2.675 8.785 2.815 ;
+        RECT 4.655 2.195 4.945 2.485 ;
+        RECT 4.175 1.780 4.465 2.070 ;
+        RECT 4.730 1.995 4.870 2.195 ;
+        RECT 7.130 2.070 7.270 2.675 ;
+        RECT 8.495 2.600 8.785 2.675 ;
+        RECT 7.535 2.195 7.825 2.485 ;
+        RECT 7.055 1.995 7.345 2.070 ;
+        RECT 4.730 1.855 7.345 1.995 ;
+        RECT 4.250 1.135 4.390 1.780 ;
+        RECT 4.175 1.060 4.465 1.135 ;
+        RECT 1.850 0.920 4.465 1.060 ;
+        RECT 1.850 0.730 1.990 0.920 ;
+        RECT 4.175 0.845 4.465 0.920 ;
+        RECT 4.730 0.730 4.870 1.855 ;
+        RECT 7.055 1.780 7.345 1.855 ;
+        RECT 7.610 1.995 7.750 2.195 ;
+        RECT 9.935 1.995 10.225 2.070 ;
+        RECT 11.375 1.995 11.665 2.070 ;
+        RECT 7.610 1.855 11.665 1.995 ;
+        RECT 5.615 1.385 5.905 1.675 ;
+        RECT 5.690 1.135 5.830 1.385 ;
+        RECT 7.130 1.135 7.270 1.780 ;
+        RECT 5.615 0.845 5.905 1.135 ;
+        RECT 7.055 0.845 7.345 1.135 ;
+        RECT 7.610 0.730 7.750 1.855 ;
+        RECT 9.935 1.780 10.225 1.855 ;
+        RECT 11.375 1.780 11.665 1.855 ;
+        RECT 8.495 1.385 8.785 1.675 ;
+        RECT 8.570 1.135 8.710 1.385 ;
+        RECT 10.010 1.135 10.150 1.780 ;
+        RECT 11.450 1.135 11.590 1.780 ;
+        RECT 8.495 0.845 8.785 1.135 ;
+        RECT 9.935 0.845 10.225 1.135 ;
+        RECT 11.375 0.845 11.665 1.135 ;
+        RECT 1.775 0.440 2.065 0.730 ;
+        RECT 4.655 0.440 4.945 0.730 ;
+        RECT 7.535 0.440 7.825 0.730 ;
+  END
+END CLKBUF1
+END LIBRARY
+
diff --git a/cells/mag/CLKBUF1.mag b/cells/mag/CLKBUF1.mag
index 4251eaf..59baf3f 100644
--- a/cells/mag/CLKBUF1.mag
+++ b/cells/mag/CLKBUF1.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1624892579
+timestamp 1624917760
 << checkpaint >>
 rect -1260 -1374 11260 2038
 << nwell >>
@@ -777,13 +777,13 @@
 rect 2561 -17 2592 17
 rect 0 -48 2592 -17
 << labels >>
-rlabel metal1 0 618 2592 714 0 VDD
+rlabel metal1 0 618 2592 714 0 VPWR
 port 2 se
-rlabel metal1 0 618 2592 714 0 VDD
+rlabel metal1 0 618 2592 714 0 VPWR
 port 2 se
-rlabel metal1 0 -48 2592 48 0 GND
+rlabel metal1 0 -48 2592 48 0 VGND
 port 1 se
-rlabel metal1 0 -48 2592 48 0 GND
+rlabel metal1 0 -48 2592 48 0 VGND
 port 1 se
 rlabel metal1 2083 88 2141 146 0 Y
 port 3 se
@@ -805,14 +805,14 @@
 port 0 se
 rlabel metal1 274 535 590 563 0 A
 port 0 se
-rlabel locali 0 -17 2592 17 4 GND
+rlabel locali 0 -17 2592 17 4 VGND
 port 1 se ground default abutment
-rlabel locali 31 17 2561 48 4 GND
+rlabel locali 31 17 2561 48 4 VGND
 port 1 se ground default abutment
-rlabel locali 0 649 2592 683 4 VDD
+rlabel locali 0 649 2592 683 4 VPWR
 port 2 se power default abutment
-rlabel locali 31 618 2561 649 4 VDD
-port 2 se power default abutment
+rlabel locali 31 618 2561 649 4 VGND
+port 1 se power default abutment
 << properties >>
 string FIXED_BBOX 0 0 2592 666
 << end >>
diff --git a/cells/mag/INV.lef b/cells/mag/INV.lef
new file mode 100644
index 0000000..d0194d2
--- /dev/null
+++ b/cells/mag/INV.lef
@@ -0,0 +1,98 @@
+VERSION 5.7 ;
+  NOWIREEXTENSIONATPIN ON ;
+  DIVIDERCHAR "/" ;
+  BUSBITCHARS "[]" ;
+MACRO INV
+  CLASS CORE ;
+  FOREIGN INV ;
+  ORIGIN 0.000 0.000 ;
+  SIZE 2.880 BY 3.330 ;
+  SYMMETRY X Y ;
+  SITE unit ;
+  PIN A
+    ANTENNAGATEAREA 0.189000 ;
+    PORT
+      LAYER met1 ;
+        RECT 1.295 1.780 1.585 2.070 ;
+        RECT 1.370 1.135 1.510 1.780 ;
+        RECT 1.295 0.845 1.585 1.135 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.275 1.760 1.605 2.090 ;
+      LAYER mcon ;
+        RECT 1.355 1.840 1.525 2.010 ;
+    END
+  END A
+  PIN VGND
+    ANTENNADIFFAREA 0.331800 ;
+    PORT
+      LAYER met1 ;
+        RECT 1.775 0.240 2.065 0.570 ;
+        RECT 0.000 -0.240 2.880 0.240 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.755 0.240 2.085 0.590 ;
+        RECT 0.155 0.085 2.725 0.240 ;
+        RECT 0.000 -0.085 2.880 0.085 ;
+      LAYER mcon ;
+        RECT 1.835 0.340 2.005 0.510 ;
+        RECT 0.155 -0.085 0.325 0.085 ;
+        RECT 0.635 -0.085 0.805 0.085 ;
+        RECT 1.115 -0.085 1.285 0.085 ;
+        RECT 1.595 -0.085 1.765 0.085 ;
+        RECT 2.075 -0.085 2.245 0.085 ;
+        RECT 2.555 -0.085 2.725 0.085 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.000 3.090 2.880 3.570 ;
+        RECT 1.775 2.760 2.065 3.090 ;
+    END
+  END VGND
+  PIN VPWR
+    ANTENNADIFFAREA 0.663600 ;
+    PORT
+      LAYER li1 ;
+        RECT 0.000 3.245 2.880 3.415 ;
+        RECT 0.155 3.090 2.725 3.245 ;
+        RECT 1.755 2.740 2.085 3.090 ;
+      LAYER mcon ;
+        RECT 0.155 3.245 0.325 3.415 ;
+        RECT 0.635 3.245 0.805 3.415 ;
+        RECT 1.115 3.245 1.285 3.415 ;
+        RECT 1.595 3.245 1.765 3.415 ;
+        RECT 2.075 3.245 2.245 3.415 ;
+        RECT 2.555 3.245 2.725 3.415 ;
+        RECT 1.835 2.820 2.005 2.990 ;
+    END
+  END VPWR
+  PIN Y
+    ANTENNADIFFAREA 1.031650 ;
+    PORT
+      LAYER met1 ;
+        RECT 0.575 2.195 0.865 2.485 ;
+        RECT 0.650 0.730 0.790 2.195 ;
+        RECT 0.575 0.440 0.865 0.730 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 0.555 2.175 0.885 2.505 ;
+      LAYER mcon ;
+        RECT 0.635 2.255 0.805 2.425 ;
+    END
+  END Y
+  OBS
+      LAYER nwell ;
+        RECT 0.000 1.790 2.880 3.330 ;
+      LAYER li1 ;
+        RECT 1.275 0.825 1.605 1.155 ;
+        RECT 0.555 0.420 0.885 0.750 ;
+      LAYER mcon ;
+        RECT 1.355 0.905 1.525 1.075 ;
+        RECT 0.635 0.500 0.805 0.670 ;
+  END
+END INV
+END LIBRARY
+
diff --git a/cells/mag/INV.mag b/cells/mag/INV.mag
index 9497878..7facdab 100644
--- a/cells/mag/INV.mag
+++ b/cells/mag/INV.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1624892580
+timestamp 1624917761
 << checkpaint >>
 rect -1260 -1374 11260 2038
 << nwell >>
@@ -158,13 +158,13 @@
 rect 545 -17 576 17
 rect 0 -48 576 -17
 << labels >>
-rlabel metal1 0 618 576 714 0 VDD
+rlabel metal1 0 618 576 714 0 VPWR
 port 2 se
-rlabel metal1 0 618 576 714 0 VDD
+rlabel metal1 0 618 576 714 0 VPWR
 port 2 se
-rlabel metal1 0 -48 576 48 0 GND
+rlabel metal1 0 -48 576 48 0 VGND
 port 1 se
-rlabel metal1 0 -48 576 48 0 GND
+rlabel metal1 0 -48 576 48 0 VGND
 port 1 se
 rlabel metal1 115 88 173 146 0 Y
 port 3 se
@@ -178,14 +178,14 @@
 port 0 se
 rlabel metal1 259 356 317 414 0 A
 port 0 se
-rlabel locali 0 -17 576 17 4 GND
+rlabel locali 0 -17 576 17 4 VGND
 port 1 se ground default abutment
-rlabel locali 31 17 545 48 4 GND
+rlabel locali 31 17 545 48 4 VGND
 port 1 se ground default abutment
-rlabel locali 0 649 576 683 4 VDD
+rlabel locali 0 649 576 683 4 VPWR
 port 2 se power default abutment
-rlabel locali 31 618 545 649 4 VDD
-port 2 se power default abutment
+rlabel locali 31 618 545 649 4 VGND
+port 1 se power default abutment
 << properties >>
 string FIXED_BBOX 0 0 576 666
 << end >>
diff --git a/cells/mag/INVX1.lef b/cells/mag/INVX1.lef
new file mode 100644
index 0000000..49571c6
--- /dev/null
+++ b/cells/mag/INVX1.lef
@@ -0,0 +1,98 @@
+VERSION 5.7 ;
+  NOWIREEXTENSIONATPIN ON ;
+  DIVIDERCHAR "/" ;
+  BUSBITCHARS "[]" ;
+MACRO INVX1
+  CLASS CORE ;
+  FOREIGN INVX1 ;
+  ORIGIN 0.000 0.000 ;
+  SIZE 2.880 BY 3.330 ;
+  SYMMETRY X Y ;
+  SITE unit ;
+  PIN A
+    ANTENNAGATEAREA 0.189000 ;
+    PORT
+      LAYER met1 ;
+        RECT 1.295 1.780 1.585 2.070 ;
+        RECT 1.370 1.135 1.510 1.780 ;
+        RECT 1.295 0.845 1.585 1.135 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.275 1.760 1.605 2.090 ;
+      LAYER mcon ;
+        RECT 1.355 1.840 1.525 2.010 ;
+    END
+  END A
+  PIN VGND
+    ANTENNADIFFAREA 0.331800 ;
+    PORT
+      LAYER met1 ;
+        RECT 1.775 0.240 2.065 0.570 ;
+        RECT 0.000 -0.240 2.880 0.240 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.755 0.240 2.085 0.590 ;
+        RECT 0.155 0.085 2.725 0.240 ;
+        RECT 0.000 -0.085 2.880 0.085 ;
+      LAYER mcon ;
+        RECT 1.835 0.340 2.005 0.510 ;
+        RECT 0.155 -0.085 0.325 0.085 ;
+        RECT 0.635 -0.085 0.805 0.085 ;
+        RECT 1.115 -0.085 1.285 0.085 ;
+        RECT 1.595 -0.085 1.765 0.085 ;
+        RECT 2.075 -0.085 2.245 0.085 ;
+        RECT 2.555 -0.085 2.725 0.085 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.000 3.090 2.880 3.570 ;
+        RECT 1.775 2.760 2.065 3.090 ;
+    END
+  END VGND
+  PIN VPWR
+    ANTENNADIFFAREA 0.663600 ;
+    PORT
+      LAYER li1 ;
+        RECT 0.000 3.245 2.880 3.415 ;
+        RECT 0.155 3.090 2.725 3.245 ;
+        RECT 1.755 2.740 2.085 3.090 ;
+      LAYER mcon ;
+        RECT 0.155 3.245 0.325 3.415 ;
+        RECT 0.635 3.245 0.805 3.415 ;
+        RECT 1.115 3.245 1.285 3.415 ;
+        RECT 1.595 3.245 1.765 3.415 ;
+        RECT 2.075 3.245 2.245 3.415 ;
+        RECT 2.555 3.245 2.725 3.415 ;
+        RECT 1.835 2.820 2.005 2.990 ;
+    END
+  END VPWR
+  PIN Y
+    ANTENNADIFFAREA 1.031650 ;
+    PORT
+      LAYER met1 ;
+        RECT 0.575 2.195 0.865 2.485 ;
+        RECT 0.650 0.730 0.790 2.195 ;
+        RECT 0.575 0.440 0.865 0.730 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 0.555 2.175 0.885 2.505 ;
+      LAYER mcon ;
+        RECT 0.635 2.255 0.805 2.425 ;
+    END
+  END Y
+  OBS
+      LAYER nwell ;
+        RECT 0.000 1.790 2.880 3.330 ;
+      LAYER li1 ;
+        RECT 1.275 0.825 1.605 1.155 ;
+        RECT 0.555 0.420 0.885 0.750 ;
+      LAYER mcon ;
+        RECT 1.355 0.905 1.525 1.075 ;
+        RECT 0.635 0.500 0.805 0.670 ;
+  END
+END INVX1
+END LIBRARY
+
diff --git a/cells/mag/INVX1.mag b/cells/mag/INVX1.mag
index eb9fad8..48bfc1a 100644
--- a/cells/mag/INVX1.mag
+++ b/cells/mag/INVX1.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1624892581
+timestamp 1624917762
 << checkpaint >>
 rect -1260 -1374 11260 2038
 << nwell >>
@@ -158,13 +158,13 @@
 rect 545 -17 576 17
 rect 0 -48 576 -17
 << labels >>
-rlabel metal1 0 618 576 714 0 VDD
+rlabel metal1 0 618 576 714 0 VPWR
 port 2 se
-rlabel metal1 0 618 576 714 0 VDD
+rlabel metal1 0 618 576 714 0 VPWR
 port 2 se
-rlabel metal1 0 -48 576 48 0 GND
+rlabel metal1 0 -48 576 48 0 VGND
 port 1 se
-rlabel metal1 0 -48 576 48 0 GND
+rlabel metal1 0 -48 576 48 0 VGND
 port 1 se
 rlabel metal1 115 88 173 146 0 Y
 port 3 se
@@ -178,14 +178,14 @@
 port 0 se
 rlabel metal1 259 356 317 414 0 A
 port 0 se
-rlabel locali 0 -17 576 17 4 GND
+rlabel locali 0 -17 576 17 4 VGND
 port 1 se ground default abutment
-rlabel locali 31 17 545 48 4 GND
+rlabel locali 31 17 545 48 4 VGND
 port 1 se ground default abutment
-rlabel locali 0 649 576 683 4 VDD
+rlabel locali 0 649 576 683 4 VPWR
 port 2 se power default abutment
-rlabel locali 31 618 545 649 4 VDD
-port 2 se power default abutment
+rlabel locali 31 618 545 649 4 VGND
+port 1 se power default abutment
 << properties >>
 string FIXED_BBOX 0 0 576 666
 << end >>
diff --git a/cells/mag/INVX2.lef b/cells/mag/INVX2.lef
new file mode 100644
index 0000000..49f7723
--- /dev/null
+++ b/cells/mag/INVX2.lef
@@ -0,0 +1,98 @@
+VERSION 5.7 ;
+  NOWIREEXTENSIONATPIN ON ;
+  DIVIDERCHAR "/" ;
+  BUSBITCHARS "[]" ;
+MACRO INVX2
+  CLASS CORE ;
+  FOREIGN INVX2 ;
+  ORIGIN 0.000 0.000 ;
+  SIZE 2.880 BY 3.330 ;
+  SYMMETRY X Y ;
+  SITE unit ;
+  PIN A
+    ANTENNAGATEAREA 0.189000 ;
+    PORT
+      LAYER met1 ;
+        RECT 1.295 1.780 1.585 2.070 ;
+        RECT 1.370 1.135 1.510 1.780 ;
+        RECT 1.295 0.845 1.585 1.135 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.275 1.760 1.605 2.090 ;
+      LAYER mcon ;
+        RECT 1.355 1.840 1.525 2.010 ;
+    END
+  END A
+  PIN VGND
+    ANTENNADIFFAREA 0.331800 ;
+    PORT
+      LAYER met1 ;
+        RECT 1.775 0.240 2.065 0.570 ;
+        RECT 0.000 -0.240 2.880 0.240 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.755 0.240 2.085 0.590 ;
+        RECT 0.155 0.085 2.725 0.240 ;
+        RECT 0.000 -0.085 2.880 0.085 ;
+      LAYER mcon ;
+        RECT 1.835 0.340 2.005 0.510 ;
+        RECT 0.155 -0.085 0.325 0.085 ;
+        RECT 0.635 -0.085 0.805 0.085 ;
+        RECT 1.115 -0.085 1.285 0.085 ;
+        RECT 1.595 -0.085 1.765 0.085 ;
+        RECT 2.075 -0.085 2.245 0.085 ;
+        RECT 2.555 -0.085 2.725 0.085 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.000 3.090 2.880 3.570 ;
+        RECT 1.775 2.760 2.065 3.090 ;
+    END
+  END VGND
+  PIN VPWR
+    ANTENNADIFFAREA 0.663600 ;
+    PORT
+      LAYER li1 ;
+        RECT 0.000 3.245 2.880 3.415 ;
+        RECT 0.155 3.090 2.725 3.245 ;
+        RECT 1.755 2.740 2.085 3.090 ;
+      LAYER mcon ;
+        RECT 0.155 3.245 0.325 3.415 ;
+        RECT 0.635 3.245 0.805 3.415 ;
+        RECT 1.115 3.245 1.285 3.415 ;
+        RECT 1.595 3.245 1.765 3.415 ;
+        RECT 2.075 3.245 2.245 3.415 ;
+        RECT 2.555 3.245 2.725 3.415 ;
+        RECT 1.835 2.820 2.005 2.990 ;
+    END
+  END VPWR
+  PIN Y
+    ANTENNADIFFAREA 1.031650 ;
+    PORT
+      LAYER met1 ;
+        RECT 0.575 2.195 0.865 2.485 ;
+        RECT 0.650 0.730 0.790 2.195 ;
+        RECT 0.575 0.440 0.865 0.730 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 0.555 2.175 0.885 2.505 ;
+      LAYER mcon ;
+        RECT 0.635 2.255 0.805 2.425 ;
+    END
+  END Y
+  OBS
+      LAYER nwell ;
+        RECT 0.000 1.790 2.880 3.330 ;
+      LAYER li1 ;
+        RECT 1.275 0.825 1.605 1.155 ;
+        RECT 0.555 0.420 0.885 0.750 ;
+      LAYER mcon ;
+        RECT 1.355 0.905 1.525 1.075 ;
+        RECT 0.635 0.500 0.805 0.670 ;
+  END
+END INVX2
+END LIBRARY
+
diff --git a/cells/mag/INVX2.mag b/cells/mag/INVX2.mag
index be1aac2..2e62c23 100644
--- a/cells/mag/INVX2.mag
+++ b/cells/mag/INVX2.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1624892582
+timestamp 1624917763
 << checkpaint >>
 rect -1260 -1374 11260 2038
 << nwell >>
@@ -158,13 +158,13 @@
 rect 545 -17 576 17
 rect 0 -48 576 -17
 << labels >>
-rlabel metal1 0 618 576 714 0 VDD
+rlabel metal1 0 618 576 714 0 VPWR
 port 2 se
-rlabel metal1 0 618 576 714 0 VDD
+rlabel metal1 0 618 576 714 0 VPWR
 port 2 se
-rlabel metal1 0 -48 576 48 0 GND
+rlabel metal1 0 -48 576 48 0 VGND
 port 1 se
-rlabel metal1 0 -48 576 48 0 GND
+rlabel metal1 0 -48 576 48 0 VGND
 port 1 se
 rlabel metal1 115 88 173 146 0 Y
 port 3 se
@@ -178,14 +178,14 @@
 port 0 se
 rlabel metal1 259 356 317 414 0 A
 port 0 se
-rlabel locali 0 -17 576 17 4 GND
+rlabel locali 0 -17 576 17 4 VGND
 port 1 se ground default abutment
-rlabel locali 31 17 545 48 4 GND
+rlabel locali 31 17 545 48 4 VGND
 port 1 se ground default abutment
-rlabel locali 0 649 576 683 4 VDD
+rlabel locali 0 649 576 683 4 VPWR
 port 2 se power default abutment
-rlabel locali 31 618 545 649 4 VDD
-port 2 se power default abutment
+rlabel locali 31 618 545 649 4 VGND
+port 1 se power default abutment
 << properties >>
 string FIXED_BBOX 0 0 576 666
 << end >>
diff --git a/cells/mag/INVX4.lef b/cells/mag/INVX4.lef
new file mode 100644
index 0000000..be0eef9
--- /dev/null
+++ b/cells/mag/INVX4.lef
@@ -0,0 +1,142 @@
+VERSION 5.7 ;
+  NOWIREEXTENSIONATPIN ON ;
+  DIVIDERCHAR "/" ;
+  BUSBITCHARS "[]" ;
+MACRO INVX4
+  CLASS CORE ;
+  FOREIGN INVX4 ;
+  ORIGIN 0.000 0.000 ;
+  SIZE 4.320 BY 3.330 ;
+  SYMMETRY X Y ;
+  SITE unit ;
+  PIN A
+    ANTENNAGATEAREA 0.378000 ;
+    PORT
+      LAYER met1 ;
+        RECT 1.295 1.995 1.585 2.070 ;
+        RECT 2.735 1.995 3.025 2.070 ;
+        RECT 1.295 1.855 3.025 1.995 ;
+        RECT 1.295 1.780 1.585 1.855 ;
+        RECT 2.735 1.780 3.025 1.855 ;
+        RECT 1.370 1.135 1.510 1.780 ;
+        RECT 2.810 1.135 2.950 1.780 ;
+        RECT 1.295 0.845 1.585 1.135 ;
+        RECT 2.735 0.845 3.025 1.135 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 2.715 0.920 3.045 1.155 ;
+        RECT 2.715 0.825 3.025 0.920 ;
+      LAYER mcon ;
+        RECT 2.795 0.905 2.965 1.075 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.275 1.760 1.605 2.090 ;
+      LAYER mcon ;
+        RECT 1.355 1.840 1.525 2.010 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 2.715 2.005 3.025 2.090 ;
+        RECT 2.715 1.760 3.045 2.005 ;
+      LAYER mcon ;
+        RECT 2.795 1.840 2.965 2.010 ;
+    END
+  END A
+  PIN VGND
+    ANTENNADIFFAREA 0.541800 ;
+    PORT
+      LAYER met1 ;
+        RECT 1.775 0.240 2.065 0.570 ;
+        RECT 0.000 -0.240 4.320 0.240 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.755 0.240 2.085 0.590 ;
+        RECT 0.155 0.085 4.165 0.240 ;
+        RECT 0.000 -0.085 4.320 0.085 ;
+      LAYER mcon ;
+        RECT 1.835 0.340 2.005 0.510 ;
+        RECT 0.155 -0.085 0.325 0.085 ;
+        RECT 0.635 -0.085 0.805 0.085 ;
+        RECT 1.115 -0.085 1.285 0.085 ;
+        RECT 1.595 -0.085 1.765 0.085 ;
+        RECT 2.075 -0.085 2.245 0.085 ;
+        RECT 2.555 -0.085 2.725 0.085 ;
+        RECT 3.035 -0.085 3.205 0.085 ;
+        RECT 3.515 -0.085 3.685 0.085 ;
+        RECT 3.995 -0.085 4.165 0.085 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.000 3.090 4.320 3.570 ;
+        RECT 1.775 2.760 2.065 3.090 ;
+    END
+  END VGND
+  PIN VPWR
+    ANTENNADIFFAREA 1.083600 ;
+    PORT
+      LAYER li1 ;
+        RECT 0.000 3.245 4.320 3.415 ;
+        RECT 0.155 3.090 4.165 3.245 ;
+        RECT 1.755 2.740 2.085 3.090 ;
+      LAYER mcon ;
+        RECT 0.155 3.245 0.325 3.415 ;
+        RECT 0.635 3.245 0.805 3.415 ;
+        RECT 1.115 3.245 1.285 3.415 ;
+        RECT 1.595 3.245 1.765 3.415 ;
+        RECT 2.075 3.245 2.245 3.415 ;
+        RECT 2.555 3.245 2.725 3.415 ;
+        RECT 3.035 3.245 3.205 3.415 ;
+        RECT 3.515 3.245 3.685 3.415 ;
+        RECT 3.995 3.245 4.165 3.415 ;
+        RECT 1.835 2.820 2.005 2.990 ;
+    END
+  END VPWR
+  PIN Y
+    ANTENNADIFFAREA 2.063300 ;
+    PORT
+      LAYER met1 ;
+        RECT 0.575 2.410 0.865 2.485 ;
+        RECT 3.215 2.410 3.505 2.485 ;
+        RECT 0.575 2.270 3.505 2.410 ;
+        RECT 0.575 2.195 0.865 2.270 ;
+        RECT 3.215 2.195 3.505 2.270 ;
+        RECT 0.650 0.730 0.790 2.195 ;
+        RECT 3.290 0.730 3.430 2.195 ;
+        RECT 0.575 0.440 0.865 0.730 ;
+        RECT 3.215 0.440 3.505 0.730 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 3.195 0.420 3.525 0.750 ;
+      LAYER mcon ;
+        RECT 3.275 0.500 3.445 0.670 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 0.555 2.175 0.885 2.505 ;
+      LAYER mcon ;
+        RECT 0.635 2.255 0.805 2.425 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 3.195 2.175 3.525 2.505 ;
+      LAYER mcon ;
+        RECT 3.275 2.255 3.445 2.425 ;
+    END
+  END Y
+  OBS
+      LAYER nwell ;
+        RECT 0.000 1.790 4.320 3.330 ;
+      LAYER li1 ;
+        RECT 1.275 0.825 1.605 1.155 ;
+        RECT 0.555 0.420 0.885 0.750 ;
+      LAYER mcon ;
+        RECT 1.355 0.905 1.525 1.075 ;
+        RECT 0.635 0.500 0.805 0.670 ;
+  END
+END INVX4
+END LIBRARY
+
diff --git a/cells/mag/INVX4.mag b/cells/mag/INVX4.mag
index cad585d..a9bee16 100644
--- a/cells/mag/INVX4.mag
+++ b/cells/mag/INVX4.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1624892583
+timestamp 1624917765
 << checkpaint >>
 rect -1260 -1374 11260 2038
 << nwell >>
@@ -251,13 +251,13 @@
 rect 833 -17 864 17
 rect 0 -48 864 -17
 << labels >>
-rlabel metal1 0 618 864 714 0 VDD
+rlabel metal1 0 618 864 714 0 VPWR
 port 2 se
-rlabel metal1 0 618 864 714 0 VDD
+rlabel metal1 0 618 864 714 0 VPWR
 port 2 se
-rlabel metal1 0 -48 864 48 0 GND
+rlabel metal1 0 -48 864 48 0 VGND
 port 1 se
-rlabel metal1 0 -48 864 48 0 GND
+rlabel metal1 0 -48 864 48 0 VGND
 port 1 se
 rlabel metal1 115 88 173 146 0 Y
 port 3 se
@@ -295,14 +295,14 @@
 port 0 se
 rlabel metal1 547 399 605 414 0 A
 port 0 se
-rlabel locali 0 -17 864 17 4 GND
+rlabel locali 0 -17 864 17 4 VGND
 port 1 se ground default abutment
-rlabel locali 31 17 833 48 4 GND
+rlabel locali 31 17 833 48 4 VGND
 port 1 se ground default abutment
-rlabel locali 0 649 864 683 4 VDD
+rlabel locali 0 649 864 683 4 VPWR
 port 2 se power default abutment
-rlabel locali 31 618 833 649 4 VDD
-port 2 se power default abutment
+rlabel locali 31 618 833 649 4 VGND
+port 1 se power default abutment
 << properties >>
 string FIXED_BBOX 0 0 864 666
 << end >>
diff --git a/cells/mag/INVX8.lef b/cells/mag/INVX8.lef
new file mode 100644
index 0000000..f50dc35
--- /dev/null
+++ b/cells/mag/INVX8.lef
@@ -0,0 +1,216 @@
+VERSION 5.7 ;
+  NOWIREEXTENSIONATPIN ON ;
+  DIVIDERCHAR "/" ;
+  BUSBITCHARS "[]" ;
+MACRO INVX8
+  CLASS CORE ;
+  FOREIGN INVX8 ;
+  ORIGIN 0.000 0.000 ;
+  SIZE 7.200 BY 3.330 ;
+  SYMMETRY X Y ;
+  SITE unit ;
+  PIN A
+    ANTENNAGATEAREA 0.756000 ;
+    PORT
+      LAYER met1 ;
+        RECT 1.295 1.780 1.585 2.070 ;
+        RECT 2.735 1.780 3.025 2.070 ;
+        RECT 4.175 1.780 4.465 2.070 ;
+        RECT 5.615 1.780 5.905 2.070 ;
+        RECT 1.370 1.135 1.510 1.780 ;
+        RECT 2.810 1.135 2.950 1.780 ;
+        RECT 4.250 1.135 4.390 1.780 ;
+        RECT 5.690 1.135 5.830 1.780 ;
+        RECT 1.295 1.060 1.585 1.135 ;
+        RECT 2.735 1.060 3.025 1.135 ;
+        RECT 4.175 1.060 4.465 1.135 ;
+        RECT 5.615 1.060 5.905 1.135 ;
+        RECT 1.295 0.920 5.905 1.060 ;
+        RECT 1.295 0.845 1.585 0.920 ;
+        RECT 2.735 0.845 3.025 0.920 ;
+        RECT 4.175 0.845 4.465 0.920 ;
+        RECT 5.615 0.845 5.905 0.920 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 2.715 0.825 3.045 1.155 ;
+      LAYER mcon ;
+        RECT 2.795 0.905 2.965 1.075 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 4.155 0.920 4.485 1.155 ;
+        RECT 4.175 0.825 4.485 0.920 ;
+      LAYER mcon ;
+        RECT 4.235 0.905 4.405 1.075 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 5.595 0.825 5.925 1.155 ;
+      LAYER mcon ;
+        RECT 5.675 0.905 5.845 1.075 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.275 0.825 1.605 1.155 ;
+      LAYER mcon ;
+        RECT 1.355 0.905 1.525 1.075 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.295 2.005 1.605 2.090 ;
+        RECT 1.275 1.760 1.605 2.005 ;
+      LAYER mcon ;
+        RECT 1.355 1.840 1.525 2.010 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 2.715 1.760 3.045 2.090 ;
+      LAYER mcon ;
+        RECT 2.795 1.840 2.965 2.010 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 4.155 1.760 4.485 2.090 ;
+      LAYER mcon ;
+        RECT 4.235 1.840 4.405 2.010 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 5.595 1.760 5.925 2.090 ;
+      LAYER mcon ;
+        RECT 5.675 1.840 5.845 2.010 ;
+    END
+  END A
+  PIN VGND
+    ANTENNADIFFAREA 1.083600 ;
+    PORT
+      LAYER met1 ;
+        RECT 0.000 -0.240 7.200 0.240 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.755 0.240 2.085 0.590 ;
+        RECT 4.635 0.240 4.965 0.590 ;
+        RECT 0.155 0.085 7.045 0.240 ;
+        RECT 0.000 -0.085 7.200 0.085 ;
+      LAYER mcon ;
+        RECT 0.155 -0.085 0.325 0.085 ;
+        RECT 0.635 -0.085 0.805 0.085 ;
+        RECT 1.115 -0.085 1.285 0.085 ;
+        RECT 1.595 -0.085 1.765 0.085 ;
+        RECT 2.075 -0.085 2.245 0.085 ;
+        RECT 2.555 -0.085 2.725 0.085 ;
+        RECT 3.035 -0.085 3.205 0.085 ;
+        RECT 3.515 -0.085 3.685 0.085 ;
+        RECT 3.995 -0.085 4.165 0.085 ;
+        RECT 4.475 -0.085 4.645 0.085 ;
+        RECT 4.955 -0.085 5.125 0.085 ;
+        RECT 5.435 -0.085 5.605 0.085 ;
+        RECT 5.915 -0.085 6.085 0.085 ;
+        RECT 6.395 -0.085 6.565 0.085 ;
+        RECT 6.875 -0.085 7.045 0.085 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.000 3.090 7.200 3.570 ;
+        RECT 1.775 2.760 2.065 3.090 ;
+        RECT 4.655 2.760 4.945 3.090 ;
+    END
+  END VGND
+  PIN VPWR
+    ANTENNADIFFAREA 2.167200 ;
+    PORT
+      LAYER li1 ;
+        RECT 0.000 3.245 7.200 3.415 ;
+        RECT 0.155 3.090 7.045 3.245 ;
+        RECT 1.755 2.740 2.085 3.090 ;
+        RECT 4.635 2.740 4.965 3.090 ;
+      LAYER mcon ;
+        RECT 0.155 3.245 0.325 3.415 ;
+        RECT 0.635 3.245 0.805 3.415 ;
+        RECT 1.115 3.245 1.285 3.415 ;
+        RECT 1.595 3.245 1.765 3.415 ;
+        RECT 2.075 3.245 2.245 3.415 ;
+        RECT 2.555 3.245 2.725 3.415 ;
+        RECT 3.035 3.245 3.205 3.415 ;
+        RECT 3.515 3.245 3.685 3.415 ;
+        RECT 3.995 3.245 4.165 3.415 ;
+        RECT 4.475 3.245 4.645 3.415 ;
+        RECT 4.955 3.245 5.125 3.415 ;
+        RECT 5.435 3.245 5.605 3.415 ;
+        RECT 5.915 3.245 6.085 3.415 ;
+        RECT 6.395 3.245 6.565 3.415 ;
+        RECT 6.875 3.245 7.045 3.415 ;
+        RECT 1.835 2.820 2.005 2.990 ;
+        RECT 4.715 2.820 4.885 2.990 ;
+    END
+  END VPWR
+  PIN Y
+    ANTENNADIFFAREA 3.724950 ;
+    PORT
+      LAYER met1 ;
+        RECT 0.815 2.410 1.105 2.485 ;
+        RECT 3.695 2.410 3.985 2.485 ;
+        RECT 6.095 2.410 6.385 2.485 ;
+        RECT 0.815 2.270 6.385 2.410 ;
+        RECT 0.815 2.195 1.105 2.270 ;
+        RECT 3.695 2.195 3.985 2.270 ;
+        RECT 6.095 2.195 6.385 2.270 ;
+        RECT 0.890 0.730 1.030 2.195 ;
+        RECT 6.170 0.730 6.310 2.195 ;
+        RECT 0.815 0.440 1.105 0.730 ;
+        RECT 3.695 0.655 3.985 0.730 ;
+        RECT 6.095 0.655 6.385 0.730 ;
+        RECT 3.695 0.515 6.385 0.655 ;
+        RECT 3.695 0.440 3.985 0.515 ;
+        RECT 6.095 0.440 6.385 0.515 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 6.095 0.655 6.405 0.750 ;
+        RECT 6.075 0.420 6.405 0.655 ;
+      LAYER mcon ;
+        RECT 6.155 0.500 6.325 0.670 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 3.675 0.420 4.005 0.750 ;
+      LAYER mcon ;
+        RECT 3.755 0.500 3.925 0.670 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 0.795 0.655 1.105 0.750 ;
+        RECT 0.795 0.420 1.125 0.655 ;
+      LAYER mcon ;
+        RECT 0.875 0.500 1.045 0.670 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 0.795 2.175 1.125 2.505 ;
+      LAYER mcon ;
+        RECT 0.875 2.255 1.045 2.425 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 3.675 2.260 4.005 2.505 ;
+        RECT 3.675 2.175 3.985 2.260 ;
+      LAYER mcon ;
+        RECT 3.755 2.255 3.925 2.425 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 6.075 2.260 6.405 2.505 ;
+        RECT 6.095 2.175 6.405 2.260 ;
+      LAYER mcon ;
+        RECT 6.155 2.255 6.325 2.425 ;
+    END
+  END Y
+  OBS
+      LAYER nwell ;
+        RECT 0.000 1.790 7.200 3.330 ;
+  END
+END INVX8
+END LIBRARY
+
diff --git a/cells/mag/INVX8.mag b/cells/mag/INVX8.mag
index 42389fa..7de7fc1 100644
--- a/cells/mag/INVX8.mag
+++ b/cells/mag/INVX8.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1624892584
+timestamp 1624917766
 << checkpaint >>
 rect -1260 -1374 11260 2038
 << nwell >>
@@ -423,13 +423,13 @@
 rect 1409 -17 1440 17
 rect 0 -48 1440 -17
 << labels >>
-rlabel metal1 0 618 1440 714 0 VDD
+rlabel metal1 0 618 1440 714 0 VPWR
 port 2 se
-rlabel metal1 0 618 1440 714 0 VDD
+rlabel metal1 0 618 1440 714 0 VPWR
 port 2 se
-rlabel metal1 0 -48 1440 48 0 GND
+rlabel metal1 0 -48 1440 48 0 VGND
 port 1 se
-rlabel metal1 0 -48 1440 48 0 GND
+rlabel metal1 0 -48 1440 48 0 VGND
 port 1 se
 rlabel metal1 739 88 797 103 0 Y
 port 3 se
@@ -495,14 +495,14 @@
 port 0 se
 rlabel metal1 1123 356 1181 414 0 A
 port 0 se
-rlabel locali 0 -17 1440 17 4 GND
+rlabel locali 0 -17 1440 17 4 VGND
 port 1 se ground default abutment
-rlabel locali 31 17 1409 48 4 GND
+rlabel locali 31 17 1409 48 4 VGND
 port 1 se ground default abutment
-rlabel locali 0 649 1440 683 4 VDD
+rlabel locali 0 649 1440 683 4 VPWR
 port 2 se power default abutment
-rlabel locali 31 618 1409 649 4 VDD
-port 2 se power default abutment
+rlabel locali 31 618 1409 649 4 VGND
+port 1 se power default abutment
 << properties >>
 string FIXED_BBOX 0 0 1440 666
 << end >>
diff --git a/cells/mag/MUX2X1.lef b/cells/mag/MUX2X1.lef
new file mode 100644
index 0000000..6cdfb49
--- /dev/null
+++ b/cells/mag/MUX2X1.lef
@@ -0,0 +1,211 @@
+VERSION 5.7 ;
+  NOWIREEXTENSIONATPIN ON ;
+  DIVIDERCHAR "/" ;
+  BUSBITCHARS "[]" ;
+MACRO MUX2X1
+  CLASS CORE ;
+  FOREIGN MUX2X1 ;
+  ORIGIN 0.000 0.000 ;
+  SIZE 8.640 BY 3.330 ;
+  SYMMETRY X Y ;
+  SITE unit ;
+  PIN A
+    ANTENNAGATEAREA 0.189000 ;
+    PORT
+      LAYER met1 ;
+        RECT 2.735 1.250 3.025 1.540 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA 0.189000 ;
+    PORT
+      LAYER met1 ;
+        RECT 7.055 1.780 7.345 2.070 ;
+        RECT 7.130 1.135 7.270 1.780 ;
+        RECT 7.055 0.845 7.345 1.135 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 7.035 1.760 7.365 2.090 ;
+      LAYER mcon ;
+        RECT 7.115 1.840 7.285 2.010 ;
+    END
+  END B
+  PIN S
+    ANTENNAGATEAREA 0.378000 ;
+    PORT
+      LAYER met1 ;
+        RECT 1.295 2.410 1.585 2.485 ;
+        RECT 1.295 2.270 4.870 2.410 ;
+        RECT 1.295 2.195 1.585 2.270 ;
+        RECT 4.730 1.995 4.870 2.270 ;
+        RECT 5.615 1.995 5.905 2.070 ;
+        RECT 4.730 1.855 5.905 1.995 ;
+        RECT 5.615 1.780 5.905 1.855 ;
+        RECT 1.295 1.385 1.585 1.675 ;
+        RECT 1.370 1.135 1.510 1.385 ;
+        RECT 1.295 1.060 1.585 1.135 ;
+        RECT 3.215 1.060 3.505 1.135 ;
+        RECT 1.295 0.920 3.505 1.060 ;
+        RECT 1.295 0.845 1.585 0.920 ;
+        RECT 3.215 0.845 3.505 0.920 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 4.155 1.075 4.485 1.155 ;
+        RECT 3.275 0.905 4.485 1.075 ;
+        RECT 4.155 0.825 4.485 0.905 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.275 0.825 1.605 1.155 ;
+      LAYER mcon ;
+        RECT 1.355 0.905 1.525 1.075 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.355 2.090 1.525 2.425 ;
+        RECT 1.275 1.760 1.605 2.090 ;
+        RECT 1.355 1.445 1.525 1.760 ;
+      LAYER mcon ;
+        RECT 1.355 2.255 1.525 2.425 ;
+    END
+  END S
+  PIN VGND
+    ANTENNADIFFAREA 0.873600 ;
+    PORT
+      LAYER met1 ;
+        RECT 1.775 0.240 2.065 0.570 ;
+        RECT 7.535 0.240 7.825 0.570 ;
+        RECT 0.000 -0.240 8.640 0.240 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.755 0.240 2.085 0.590 ;
+        RECT 7.515 0.240 7.845 0.590 ;
+        RECT 0.155 0.085 8.485 0.240 ;
+        RECT 0.000 -0.085 8.640 0.085 ;
+      LAYER mcon ;
+        RECT 1.835 0.340 2.005 0.510 ;
+        RECT 7.595 0.340 7.765 0.510 ;
+        RECT 0.155 -0.085 0.325 0.085 ;
+        RECT 0.635 -0.085 0.805 0.085 ;
+        RECT 1.115 -0.085 1.285 0.085 ;
+        RECT 1.595 -0.085 1.765 0.085 ;
+        RECT 2.075 -0.085 2.245 0.085 ;
+        RECT 2.555 -0.085 2.725 0.085 ;
+        RECT 3.035 -0.085 3.205 0.085 ;
+        RECT 3.515 -0.085 3.685 0.085 ;
+        RECT 3.995 -0.085 4.165 0.085 ;
+        RECT 4.475 -0.085 4.645 0.085 ;
+        RECT 4.955 -0.085 5.125 0.085 ;
+        RECT 5.435 -0.085 5.605 0.085 ;
+        RECT 5.915 -0.085 6.085 0.085 ;
+        RECT 6.395 -0.085 6.565 0.085 ;
+        RECT 6.875 -0.085 7.045 0.085 ;
+        RECT 7.355 -0.085 7.525 0.085 ;
+        RECT 7.835 -0.085 8.005 0.085 ;
+        RECT 8.315 -0.085 8.485 0.085 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.000 3.090 8.640 3.570 ;
+        RECT 1.775 2.760 2.065 3.090 ;
+        RECT 7.535 2.760 7.825 3.090 ;
+    END
+  END VGND
+  PIN VPWR
+    ANTENNADIFFAREA 1.747200 ;
+    PORT
+      LAYER li1 ;
+        RECT 0.000 3.245 8.640 3.415 ;
+        RECT 0.155 3.090 8.485 3.245 ;
+        RECT 1.755 2.740 2.085 3.090 ;
+        RECT 7.515 2.740 7.845 3.090 ;
+      LAYER mcon ;
+        RECT 0.155 3.245 0.325 3.415 ;
+        RECT 0.635 3.245 0.805 3.415 ;
+        RECT 1.115 3.245 1.285 3.415 ;
+        RECT 1.595 3.245 1.765 3.415 ;
+        RECT 2.075 3.245 2.245 3.415 ;
+        RECT 2.555 3.245 2.725 3.415 ;
+        RECT 3.035 3.245 3.205 3.415 ;
+        RECT 3.515 3.245 3.685 3.415 ;
+        RECT 3.995 3.245 4.165 3.415 ;
+        RECT 4.475 3.245 4.645 3.415 ;
+        RECT 4.955 3.245 5.125 3.415 ;
+        RECT 5.435 3.245 5.605 3.415 ;
+        RECT 5.915 3.245 6.085 3.415 ;
+        RECT 6.395 3.245 6.565 3.415 ;
+        RECT 6.875 3.245 7.045 3.415 ;
+        RECT 7.355 3.245 7.525 3.415 ;
+        RECT 7.835 3.245 8.005 3.415 ;
+        RECT 8.315 3.245 8.485 3.415 ;
+        RECT 1.835 2.820 2.005 2.990 ;
+        RECT 7.595 2.820 7.765 2.990 ;
+    END
+  END VPWR
+  PIN Y
+    ANTENNADIFFAREA 1.661650 ;
+    PORT
+      LAYER met1 ;
+        RECT 5.135 2.410 5.425 2.485 ;
+        RECT 5.135 2.270 6.310 2.410 ;
+        RECT 5.135 2.195 5.425 2.270 ;
+        RECT 5.135 0.655 5.425 0.730 ;
+        RECT 6.170 0.655 6.310 2.270 ;
+        RECT 5.135 0.515 6.310 0.655 ;
+        RECT 5.135 0.440 5.425 0.515 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 5.115 0.420 5.445 0.750 ;
+      LAYER mcon ;
+        RECT 5.195 0.500 5.365 0.670 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 5.115 2.175 5.445 2.505 ;
+      LAYER mcon ;
+        RECT 5.195 2.255 5.365 2.425 ;
+    END
+  END Y
+  OBS
+      LAYER nwell ;
+        RECT 0.000 1.790 8.640 3.330 ;
+      LAYER li1 ;
+        RECT 0.555 2.175 0.885 2.505 ;
+        RECT 2.715 1.760 3.045 2.090 ;
+        RECT 4.155 1.760 4.485 2.090 ;
+        RECT 5.615 2.005 5.925 2.090 ;
+        RECT 5.595 1.760 5.925 2.005 ;
+        RECT 2.795 1.155 2.965 1.760 ;
+        RECT 2.715 0.825 3.045 1.155 ;
+        RECT 5.595 0.920 5.925 1.155 ;
+        RECT 5.615 0.825 5.925 0.920 ;
+        RECT 7.035 0.825 7.365 1.155 ;
+        RECT 0.555 0.420 0.885 0.750 ;
+      LAYER mcon ;
+        RECT 0.635 2.255 0.805 2.425 ;
+        RECT 4.235 1.840 4.405 2.010 ;
+        RECT 5.675 1.840 5.845 2.010 ;
+        RECT 2.795 1.310 2.965 1.480 ;
+        RECT 5.675 0.905 5.845 1.075 ;
+        RECT 7.115 0.905 7.285 1.075 ;
+        RECT 0.635 0.500 0.805 0.670 ;
+      LAYER met1 ;
+        RECT 0.575 2.195 0.865 2.485 ;
+        RECT 0.650 1.995 0.790 2.195 ;
+        RECT 4.175 1.995 4.465 2.070 ;
+        RECT 0.650 1.855 4.465 1.995 ;
+        RECT 0.650 0.730 0.790 1.855 ;
+        RECT 4.175 1.780 4.465 1.855 ;
+        RECT 4.250 1.060 4.390 1.780 ;
+        RECT 5.615 1.060 5.905 1.135 ;
+        RECT 4.250 0.920 5.905 1.060 ;
+        RECT 5.615 0.845 5.905 0.920 ;
+        RECT 0.575 0.440 0.865 0.730 ;
+  END
+END MUX2X1
+END LIBRARY
+
diff --git a/cells/mag/MUX2X1.mag b/cells/mag/MUX2X1.mag
index 3e163d5..473197f 100644
--- a/cells/mag/MUX2X1.mag
+++ b/cells/mag/MUX2X1.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1624892585
+timestamp 1624917767
 << checkpaint >>
 rect -1260 -1374 11260 2038
 << nwell >>
@@ -455,14 +455,14 @@
 rect 1697 -17 1728 17
 rect 0 -48 1728 -17
 << labels >>
-rlabel metal1 0 618 1728 714 0 VDD
+rlabel metal1 0 618 1728 714 0 VPWR
 port 4 se
-rlabel metal1 0 618 1728 714 0 VDD
+rlabel metal1 0 618 1728 714 0 VPWR
 port 4 se
-rlabel metal1 0 -48 1728 48 0 GND
-port 2 se
-rlabel metal1 0 -48 1728 48 0 GND
-port 2 se
+rlabel metal1 0 -48 1728 48 0 VGND
+port 3 se
+rlabel metal1 0 -48 1728 48 0 VGND
+port 3 se
 rlabel metal1 1027 88 1085 103 0 Y
 port 5 se
 rlabel metal1 1027 103 1262 131 0 Y
@@ -478,19 +478,19 @@
 rlabel metal1 1027 482 1085 497 0 Y
 port 5 se
 rlabel metal1 259 169 317 184 0 S
-port 3 se
+port 2 se
 rlabel metal1 643 169 701 184 0 S
-port 3 se
+port 2 se
 rlabel metal1 259 184 701 212 0 S
-port 3 se
+port 2 se
 rlabel metal1 259 212 317 227 0 S
-port 3 se
+port 2 se
 rlabel metal1 643 212 701 227 0 S
-port 3 se
+port 2 se
 rlabel metal1 274 227 302 277 0 S
-port 3 se
+port 2 se
 rlabel metal1 259 277 317 335 0 S
-port 3 se
+port 2 se
 rlabel metal1 547 250 605 308 0 A
 port 0 se
 rlabel metal1 1411 169 1469 227 0 B
@@ -499,14 +499,14 @@
 port 1 se
 rlabel metal1 1411 356 1469 414 0 B
 port 1 se
-rlabel locali 0 -17 1728 17 4 GND
-port 2 se ground default abutment
-rlabel locali 31 17 1697 48 4 GND
-port 2 se ground default abutment
-rlabel locali 0 649 1728 683 4 VDD
+rlabel locali 0 -17 1728 17 4 VGND
+port 3 se ground default abutment
+rlabel locali 31 17 1697 48 4 VGND
+port 3 se ground default abutment
+rlabel locali 0 649 1728 683 4 VPWR
 port 4 se power default abutment
-rlabel locali 31 618 1697 649 4 VDD
-port 4 se power default abutment
+rlabel locali 31 618 1697 649 4 VGND
+port 3 se power default abutment
 << properties >>
 string FIXED_BBOX 0 0 1728 666
 << end >>
diff --git a/cells/mag/NAND2X1.lef b/cells/mag/NAND2X1.lef
new file mode 100644
index 0000000..9df1269
--- /dev/null
+++ b/cells/mag/NAND2X1.lef
@@ -0,0 +1,132 @@
+VERSION 5.7 ;
+  NOWIREEXTENSIONATPIN ON ;
+  DIVIDERCHAR "/" ;
+  BUSBITCHARS "[]" ;
+MACRO NAND2X1
+  CLASS CORE ;
+  FOREIGN NAND2X1 ;
+  ORIGIN 0.000 0.000 ;
+  SIZE 4.320 BY 3.330 ;
+  SYMMETRY X Y ;
+  SITE unit ;
+  PIN A
+    ANTENNAGATEAREA 0.189000 ;
+    PORT
+      LAYER met1 ;
+        RECT 2.735 1.780 3.025 2.070 ;
+        RECT 2.810 1.135 2.950 1.780 ;
+        RECT 2.735 0.845 3.025 1.135 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 2.715 2.005 3.025 2.090 ;
+        RECT 2.715 1.760 3.045 2.005 ;
+      LAYER mcon ;
+        RECT 2.795 1.840 2.965 2.010 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA 0.189000 ;
+    PORT
+      LAYER met1 ;
+        RECT 1.295 1.780 1.585 2.070 ;
+        RECT 1.370 1.135 1.510 1.780 ;
+        RECT 1.295 0.845 1.585 1.135 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.275 1.760 1.605 2.090 ;
+      LAYER mcon ;
+        RECT 1.355 1.840 1.525 2.010 ;
+    END
+  END B
+  PIN VGND
+    ANTENNADIFFAREA 0.331800 ;
+    PORT
+      LAYER met1 ;
+        RECT 3.215 0.240 3.505 0.570 ;
+        RECT 0.000 -0.240 4.320 0.240 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 3.195 0.240 3.525 0.590 ;
+        RECT 0.155 0.085 4.165 0.240 ;
+        RECT 0.000 -0.085 4.320 0.085 ;
+      LAYER mcon ;
+        RECT 3.275 0.340 3.445 0.510 ;
+        RECT 0.155 -0.085 0.325 0.085 ;
+        RECT 0.635 -0.085 0.805 0.085 ;
+        RECT 1.115 -0.085 1.285 0.085 ;
+        RECT 1.595 -0.085 1.765 0.085 ;
+        RECT 2.075 -0.085 2.245 0.085 ;
+        RECT 2.555 -0.085 2.725 0.085 ;
+        RECT 3.035 -0.085 3.205 0.085 ;
+        RECT 3.515 -0.085 3.685 0.085 ;
+        RECT 3.995 -0.085 4.165 0.085 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.000 3.090 4.320 3.570 ;
+        RECT 1.775 2.760 2.065 3.090 ;
+    END
+  END VGND
+  PIN VPWR
+    ANTENNADIFFAREA 1.083600 ;
+    PORT
+      LAYER li1 ;
+        RECT 0.000 3.245 4.320 3.415 ;
+        RECT 0.155 3.090 4.165 3.245 ;
+        RECT 1.755 2.740 2.085 3.090 ;
+      LAYER mcon ;
+        RECT 0.155 3.245 0.325 3.415 ;
+        RECT 0.635 3.245 0.805 3.415 ;
+        RECT 1.115 3.245 1.285 3.415 ;
+        RECT 1.595 3.245 1.765 3.415 ;
+        RECT 2.075 3.245 2.245 3.415 ;
+        RECT 2.555 3.245 2.725 3.415 ;
+        RECT 3.035 3.245 3.205 3.415 ;
+        RECT 3.515 3.245 3.685 3.415 ;
+        RECT 3.995 3.245 4.165 3.415 ;
+        RECT 1.835 2.820 2.005 2.990 ;
+    END
+  END VPWR
+  PIN Y
+    ANTENNADIFFAREA 1.711200 ;
+    PORT
+      LAYER met1 ;
+        RECT 0.575 2.410 0.865 2.485 ;
+        RECT 3.215 2.410 3.505 2.485 ;
+        RECT 0.575 2.270 3.505 2.410 ;
+        RECT 0.575 2.195 0.865 2.270 ;
+        RECT 3.215 2.195 3.505 2.270 ;
+        RECT 0.650 0.730 0.790 2.195 ;
+        RECT 0.575 0.440 0.865 0.730 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 0.555 2.175 0.885 2.505 ;
+      LAYER mcon ;
+        RECT 0.635 2.255 0.805 2.425 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 3.195 2.175 3.525 2.505 ;
+      LAYER mcon ;
+        RECT 3.275 2.255 3.445 2.425 ;
+    END
+  END Y
+  OBS
+      LAYER nwell ;
+        RECT 0.000 1.790 4.320 3.330 ;
+      LAYER li1 ;
+        RECT 1.275 0.825 1.605 1.155 ;
+        RECT 2.715 0.825 3.045 1.155 ;
+        RECT 0.555 0.420 0.885 0.750 ;
+      LAYER mcon ;
+        RECT 1.355 0.905 1.525 1.075 ;
+        RECT 2.795 0.905 2.965 1.075 ;
+        RECT 0.635 0.500 0.805 0.670 ;
+  END
+END NAND2X1
+END LIBRARY
+
diff --git a/cells/mag/NAND2X1.mag b/cells/mag/NAND2X1.mag
index 8bf34ae..69cef78 100644
--- a/cells/mag/NAND2X1.mag
+++ b/cells/mag/NAND2X1.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1624892586
+timestamp 1624917768
 << checkpaint >>
 rect -1260 -1374 11260 2038
 << nwell >>
@@ -231,13 +231,13 @@
 rect 833 -17 864 17
 rect 0 -48 864 -17
 << labels >>
-rlabel metal1 0 618 864 714 0 VDD
+rlabel metal1 0 618 864 714 0 VPWR
 port 3 se
-rlabel metal1 0 618 864 714 0 VDD
+rlabel metal1 0 618 864 714 0 VPWR
 port 3 se
-rlabel metal1 0 -48 864 48 0 GND
+rlabel metal1 0 -48 864 48 0 VGND
 port 2 se
-rlabel metal1 0 -48 864 48 0 GND
+rlabel metal1 0 -48 864 48 0 VGND
 port 2 se
 rlabel metal1 115 88 173 146 0 Y
 port 4 se
@@ -265,14 +265,14 @@
 port 0 se
 rlabel metal1 547 356 605 414 0 A
 port 0 se
-rlabel locali 0 -17 864 17 4 GND
+rlabel locali 0 -17 864 17 4 VGND
 port 2 se ground default abutment
-rlabel locali 31 17 833 48 4 GND
+rlabel locali 31 17 833 48 4 VGND
 port 2 se ground default abutment
-rlabel locali 0 649 864 683 4 VDD
+rlabel locali 0 649 864 683 4 VPWR
 port 3 se power default abutment
-rlabel locali 31 618 833 649 4 VDD
-port 3 se power default abutment
+rlabel locali 31 618 833 649 4 VGND
+port 2 se power default abutment
 << properties >>
 string FIXED_BBOX 0 0 864 666
 << end >>
diff --git a/cells/mag/NAND3X1.lef b/cells/mag/NAND3X1.lef
new file mode 100644
index 0000000..aaef15b
--- /dev/null
+++ b/cells/mag/NAND3X1.lef
@@ -0,0 +1,158 @@
+VERSION 5.7 ;
+  NOWIREEXTENSIONATPIN ON ;
+  DIVIDERCHAR "/" ;
+  BUSBITCHARS "[]" ;
+MACRO NAND3X1
+  CLASS CORE ;
+  FOREIGN NAND3X1 ;
+  ORIGIN 0.000 0.000 ;
+  SIZE 5.760 BY 3.330 ;
+  SYMMETRY X Y ;
+  SITE unit ;
+  PIN A
+    ANTENNAGATEAREA 0.189000 ;
+    PORT
+      LAYER met1 ;
+        RECT 4.175 1.780 4.465 2.070 ;
+        RECT 4.250 1.135 4.390 1.780 ;
+        RECT 4.175 0.845 4.465 1.135 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 4.155 1.760 4.485 2.090 ;
+      LAYER mcon ;
+        RECT 4.235 1.840 4.405 2.010 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA 0.189000 ;
+    PORT
+      LAYER met1 ;
+        RECT 2.735 1.780 3.025 2.070 ;
+        RECT 2.810 1.135 2.950 1.780 ;
+        RECT 2.735 0.845 3.025 1.135 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 2.715 2.005 3.025 2.090 ;
+        RECT 2.715 1.760 3.045 2.005 ;
+      LAYER mcon ;
+        RECT 2.795 1.840 2.965 2.010 ;
+    END
+  END B
+  PIN C
+    ANTENNAGATEAREA 0.189000 ;
+    PORT
+      LAYER met1 ;
+        RECT 1.295 1.780 1.585 2.070 ;
+        RECT 1.370 1.135 1.510 1.780 ;
+        RECT 1.295 0.845 1.585 1.135 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.275 1.760 1.605 2.090 ;
+      LAYER mcon ;
+        RECT 1.355 1.840 1.525 2.010 ;
+    END
+  END C
+  PIN VGND
+    ANTENNADIFFAREA 0.331800 ;
+    PORT
+      LAYER met1 ;
+        RECT 4.655 0.240 4.945 0.570 ;
+        RECT 0.000 -0.240 5.760 0.240 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 4.635 0.240 4.965 0.590 ;
+        RECT 0.155 0.085 5.605 0.240 ;
+        RECT 0.000 -0.085 5.760 0.085 ;
+      LAYER mcon ;
+        RECT 4.715 0.340 4.885 0.510 ;
+        RECT 0.155 -0.085 0.325 0.085 ;
+        RECT 0.635 -0.085 0.805 0.085 ;
+        RECT 1.115 -0.085 1.285 0.085 ;
+        RECT 1.595 -0.085 1.765 0.085 ;
+        RECT 2.075 -0.085 2.245 0.085 ;
+        RECT 2.555 -0.085 2.725 0.085 ;
+        RECT 3.035 -0.085 3.205 0.085 ;
+        RECT 3.515 -0.085 3.685 0.085 ;
+        RECT 3.995 -0.085 4.165 0.085 ;
+        RECT 4.475 -0.085 4.645 0.085 ;
+        RECT 4.955 -0.085 5.125 0.085 ;
+        RECT 5.435 -0.085 5.605 0.085 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.000 3.090 5.760 3.570 ;
+        RECT 1.775 2.760 2.065 3.090 ;
+        RECT 4.655 2.760 4.945 3.090 ;
+    END
+  END VGND
+  PIN VPWR
+    ANTENNADIFFAREA 1.747200 ;
+    PORT
+      LAYER li1 ;
+        RECT 0.000 3.245 5.760 3.415 ;
+        RECT 0.155 3.090 5.605 3.245 ;
+        RECT 1.755 2.740 2.085 3.090 ;
+        RECT 4.635 2.740 4.965 3.090 ;
+      LAYER mcon ;
+        RECT 0.155 3.245 0.325 3.415 ;
+        RECT 0.635 3.245 0.805 3.415 ;
+        RECT 1.115 3.245 1.285 3.415 ;
+        RECT 1.595 3.245 1.765 3.415 ;
+        RECT 2.075 3.245 2.245 3.415 ;
+        RECT 2.555 3.245 2.725 3.415 ;
+        RECT 3.035 3.245 3.205 3.415 ;
+        RECT 3.515 3.245 3.685 3.415 ;
+        RECT 3.995 3.245 4.165 3.415 ;
+        RECT 4.475 3.245 4.645 3.415 ;
+        RECT 4.955 3.245 5.125 3.415 ;
+        RECT 5.435 3.245 5.605 3.415 ;
+        RECT 1.835 2.820 2.005 2.990 ;
+        RECT 4.715 2.820 4.885 2.990 ;
+    END
+  END VPWR
+  PIN Y
+    ANTENNADIFFAREA 2.131200 ;
+    PORT
+      LAYER met1 ;
+        RECT 0.575 2.410 0.865 2.485 ;
+        RECT 3.215 2.410 3.505 2.485 ;
+        RECT 0.575 2.270 3.505 2.410 ;
+        RECT 0.575 2.195 0.865 2.270 ;
+        RECT 3.215 2.195 3.505 2.270 ;
+        RECT 0.650 0.730 0.790 2.195 ;
+        RECT 0.575 0.440 0.865 0.730 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 0.555 2.175 0.885 2.505 ;
+      LAYER mcon ;
+        RECT 0.635 2.255 0.805 2.425 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 3.195 2.175 3.525 2.505 ;
+      LAYER mcon ;
+        RECT 3.275 2.255 3.445 2.425 ;
+    END
+  END Y
+  OBS
+      LAYER nwell ;
+        RECT 0.000 1.790 5.760 3.330 ;
+      LAYER li1 ;
+        RECT 1.275 0.825 1.605 1.155 ;
+        RECT 2.715 0.825 3.045 1.155 ;
+        RECT 4.155 0.825 4.485 1.155 ;
+        RECT 0.555 0.420 0.885 0.750 ;
+      LAYER mcon ;
+        RECT 1.355 0.905 1.525 1.075 ;
+        RECT 2.795 0.905 2.965 1.075 ;
+        RECT 4.235 0.905 4.405 1.075 ;
+        RECT 0.635 0.500 0.805 0.670 ;
+  END
+END NAND3X1
+END LIBRARY
+
diff --git a/cells/mag/NAND3X1.mag b/cells/mag/NAND3X1.mag
index c36ea5f..59fc67d 100644
--- a/cells/mag/NAND3X1.mag
+++ b/cells/mag/NAND3X1.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1624892587
+timestamp 1624917769
 << checkpaint >>
 rect -1260 -1374 11260 2038
 << nwell >>
@@ -299,13 +299,13 @@
 rect 1121 -17 1152 17
 rect 0 -48 1152 -17
 << labels >>
-rlabel metal1 0 618 1152 714 0 VDD
+rlabel metal1 0 618 1152 714 0 VPWR
 port 4 se
-rlabel metal1 0 618 1152 714 0 VDD
+rlabel metal1 0 618 1152 714 0 VPWR
 port 4 se
-rlabel metal1 0 -48 1152 48 0 GND
+rlabel metal1 0 -48 1152 48 0 VGND
 port 3 se
-rlabel metal1 0 -48 1152 48 0 GND
+rlabel metal1 0 -48 1152 48 0 VGND
 port 3 se
 rlabel metal1 115 88 173 146 0 Y
 port 5 se
@@ -339,14 +339,14 @@
 port 0 se
 rlabel metal1 835 356 893 414 0 A
 port 0 se
-rlabel locali 0 -17 1152 17 4 GND
+rlabel locali 0 -17 1152 17 4 VGND
 port 3 se ground default abutment
-rlabel locali 31 17 1121 48 4 GND
+rlabel locali 31 17 1121 48 4 VGND
 port 3 se ground default abutment
-rlabel locali 0 649 1152 683 4 VDD
+rlabel locali 0 649 1152 683 4 VPWR
 port 4 se power default abutment
-rlabel locali 31 618 1121 649 4 VDD
-port 4 se power default abutment
+rlabel locali 31 618 1121 649 4 VGND
+port 3 se power default abutment
 << properties >>
 string FIXED_BBOX 0 0 1152 666
 << end >>
diff --git a/cells/mag/OR2X1.lef b/cells/mag/OR2X1.lef
new file mode 100644
index 0000000..8c486d4
--- /dev/null
+++ b/cells/mag/OR2X1.lef
@@ -0,0 +1,147 @@
+VERSION 5.7 ;
+  NOWIREEXTENSIONATPIN ON ;
+  DIVIDERCHAR "/" ;
+  BUSBITCHARS "[]" ;
+MACRO OR2X1
+  CLASS CORE ;
+  FOREIGN OR2X1 ;
+  ORIGIN 0.000 0.000 ;
+  SIZE 5.760 BY 3.330 ;
+  SYMMETRY X Y ;
+  SITE unit ;
+  PIN A
+    ANTENNAGATEAREA 0.189000 ;
+    PORT
+      LAYER met1 ;
+        RECT 1.295 1.780 1.585 2.070 ;
+        RECT 1.370 1.540 1.510 1.780 ;
+        RECT 1.295 1.250 1.585 1.540 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.275 1.760 1.605 2.090 ;
+      LAYER mcon ;
+        RECT 1.355 1.840 1.525 2.010 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA 0.189000 ;
+    PORT
+      LAYER met1 ;
+        RECT 2.735 1.780 3.025 2.070 ;
+    END
+  END B
+  PIN VGND
+    ANTENNADIFFAREA 0.873600 ;
+    PORT
+      LAYER met1 ;
+        RECT 0.575 0.240 0.865 0.570 ;
+        RECT 3.215 0.240 3.505 0.570 ;
+        RECT 0.000 -0.240 5.760 0.240 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 0.555 0.240 0.885 0.590 ;
+        RECT 3.195 0.240 3.525 0.590 ;
+        RECT 0.155 0.085 5.605 0.240 ;
+        RECT 0.000 -0.085 5.760 0.085 ;
+      LAYER mcon ;
+        RECT 0.635 0.340 0.805 0.510 ;
+        RECT 3.275 0.340 3.445 0.510 ;
+        RECT 0.155 -0.085 0.325 0.085 ;
+        RECT 0.635 -0.085 0.805 0.085 ;
+        RECT 1.115 -0.085 1.285 0.085 ;
+        RECT 1.595 -0.085 1.765 0.085 ;
+        RECT 2.075 -0.085 2.245 0.085 ;
+        RECT 2.555 -0.085 2.725 0.085 ;
+        RECT 3.035 -0.085 3.205 0.085 ;
+        RECT 3.515 -0.085 3.685 0.085 ;
+        RECT 3.995 -0.085 4.165 0.085 ;
+        RECT 4.475 -0.085 4.645 0.085 ;
+        RECT 4.955 -0.085 5.125 0.085 ;
+        RECT 5.435 -0.085 5.605 0.085 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.000 3.090 5.760 3.570 ;
+        RECT 3.215 2.760 3.505 3.090 ;
+    END
+  END VGND
+  PIN VPWR
+    ANTENNADIFFAREA 1.083600 ;
+    PORT
+      LAYER li1 ;
+        RECT 0.000 3.245 5.760 3.415 ;
+        RECT 0.155 3.090 5.605 3.245 ;
+        RECT 3.195 2.740 3.525 3.090 ;
+      LAYER mcon ;
+        RECT 0.155 3.245 0.325 3.415 ;
+        RECT 0.635 3.245 0.805 3.415 ;
+        RECT 1.115 3.245 1.285 3.415 ;
+        RECT 1.595 3.245 1.765 3.415 ;
+        RECT 2.075 3.245 2.245 3.415 ;
+        RECT 2.555 3.245 2.725 3.415 ;
+        RECT 3.035 3.245 3.205 3.415 ;
+        RECT 3.515 3.245 3.685 3.415 ;
+        RECT 3.995 3.245 4.165 3.415 ;
+        RECT 4.475 3.245 4.645 3.415 ;
+        RECT 4.955 3.245 5.125 3.415 ;
+        RECT 5.435 3.245 5.605 3.415 ;
+        RECT 3.275 2.820 3.445 2.990 ;
+    END
+  END VPWR
+  PIN Y
+    ANTENNADIFFAREA 1.031650 ;
+    PORT
+      LAYER met1 ;
+        RECT 4.655 2.195 4.945 2.485 ;
+        RECT 4.730 0.730 4.870 2.195 ;
+        RECT 4.655 0.440 4.945 0.730 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 4.635 2.260 4.965 2.505 ;
+        RECT 4.655 2.175 4.965 2.260 ;
+      LAYER mcon ;
+        RECT 4.715 2.255 4.885 2.425 ;
+    END
+  END Y
+  OBS
+      LAYER nwell ;
+        RECT 0.000 1.790 5.760 3.330 ;
+      LAYER li1 ;
+        RECT 0.795 2.260 1.125 2.505 ;
+        RECT 0.795 2.175 1.105 2.260 ;
+        RECT 2.715 1.760 3.045 2.090 ;
+        RECT 4.155 1.760 4.485 2.090 ;
+        RECT 1.355 1.155 1.525 1.480 ;
+        RECT 2.795 1.155 2.965 1.760 ;
+        RECT 1.275 0.825 1.605 1.155 ;
+        RECT 2.715 0.920 3.045 1.155 ;
+        RECT 2.735 0.825 3.045 0.920 ;
+        RECT 4.155 0.825 4.485 1.155 ;
+        RECT 2.235 0.420 2.565 0.750 ;
+        RECT 4.655 0.655 4.965 0.750 ;
+        RECT 4.635 0.420 4.965 0.655 ;
+      LAYER mcon ;
+        RECT 0.875 2.255 1.045 2.425 ;
+        RECT 2.795 1.840 2.965 2.010 ;
+        RECT 4.235 1.840 4.405 2.010 ;
+        RECT 1.355 1.310 1.525 1.480 ;
+        RECT 4.235 0.905 4.405 1.075 ;
+        RECT 2.315 0.500 2.485 0.670 ;
+        RECT 4.715 0.500 4.885 0.670 ;
+      LAYER met1 ;
+        RECT 0.815 2.195 1.105 2.485 ;
+        RECT 0.890 1.060 1.030 2.195 ;
+        RECT 4.175 1.780 4.465 2.070 ;
+        RECT 4.250 1.135 4.390 1.780 ;
+        RECT 4.175 1.060 4.465 1.135 ;
+        RECT 0.890 0.920 4.465 1.060 ;
+        RECT 2.330 0.730 2.470 0.920 ;
+        RECT 4.175 0.845 4.465 0.920 ;
+        RECT 2.255 0.440 2.545 0.730 ;
+  END
+END OR2X1
+END LIBRARY
+
diff --git a/cells/mag/OR2X1.mag b/cells/mag/OR2X1.mag
index a9290e4..cebab9e 100644
--- a/cells/mag/OR2X1.mag
+++ b/cells/mag/OR2X1.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1624892587
+timestamp 1624917770
 << checkpaint >>
 rect -1260 -1374 11260 2038
 << nwell >>
@@ -315,13 +315,13 @@
 rect 1121 -17 1152 17
 rect 0 -48 1152 -17
 << labels >>
-rlabel metal1 0 618 1152 714 0 VDD
+rlabel metal1 0 618 1152 714 0 VPWR
 port 3 se
-rlabel metal1 0 618 1152 714 0 VDD
+rlabel metal1 0 618 1152 714 0 VPWR
 port 3 se
-rlabel metal1 0 -48 1152 48 0 GND
+rlabel metal1 0 -48 1152 48 0 VGND
 port 2 se
-rlabel metal1 0 -48 1152 48 0 GND
+rlabel metal1 0 -48 1152 48 0 VGND
 port 2 se
 rlabel metal1 931 88 989 146 0 Y
 port 4 se
@@ -337,14 +337,14 @@
 port 0 se
 rlabel metal1 547 356 605 414 0 B
 port 1 se
-rlabel locali 0 -17 1152 17 4 GND
+rlabel locali 0 -17 1152 17 4 VGND
 port 2 se ground default abutment
-rlabel locali 31 17 1121 48 4 GND
+rlabel locali 31 17 1121 48 4 VGND
 port 2 se ground default abutment
-rlabel locali 0 649 1152 683 4 VDD
+rlabel locali 0 649 1152 683 4 VPWR
 port 3 se power default abutment
-rlabel locali 31 618 1121 649 4 VDD
-port 3 se power default abutment
+rlabel locali 31 618 1121 649 4 VGND
+port 2 se power default abutment
 << properties >>
 string FIXED_BBOX 0 0 1152 666
 << end >>
diff --git a/cells/mag/OR2X2.lef b/cells/mag/OR2X2.lef
new file mode 100644
index 0000000..7247db0
--- /dev/null
+++ b/cells/mag/OR2X2.lef
@@ -0,0 +1,147 @@
+VERSION 5.7 ;
+  NOWIREEXTENSIONATPIN ON ;
+  DIVIDERCHAR "/" ;
+  BUSBITCHARS "[]" ;
+MACRO OR2X2
+  CLASS CORE ;
+  FOREIGN OR2X2 ;
+  ORIGIN 0.000 0.000 ;
+  SIZE 5.760 BY 3.330 ;
+  SYMMETRY X Y ;
+  SITE unit ;
+  PIN A
+    ANTENNAGATEAREA 0.189000 ;
+    PORT
+      LAYER met1 ;
+        RECT 1.295 1.780 1.585 2.070 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA 0.189000 ;
+    PORT
+      LAYER met1 ;
+        RECT 2.735 1.780 3.025 2.070 ;
+        RECT 2.810 1.540 2.950 1.780 ;
+        RECT 2.735 1.250 3.025 1.540 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 2.715 1.760 3.045 2.090 ;
+      LAYER mcon ;
+        RECT 2.795 1.840 2.965 2.010 ;
+    END
+  END B
+  PIN VGND
+    ANTENNADIFFAREA 0.873600 ;
+    PORT
+      LAYER met1 ;
+        RECT 0.575 0.240 0.865 0.570 ;
+        RECT 3.215 0.240 3.505 0.570 ;
+        RECT 0.000 -0.240 5.760 0.240 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 0.555 0.240 0.885 0.590 ;
+        RECT 3.195 0.240 3.525 0.590 ;
+        RECT 0.155 0.085 5.605 0.240 ;
+        RECT 0.000 -0.085 5.760 0.085 ;
+      LAYER mcon ;
+        RECT 0.635 0.340 0.805 0.510 ;
+        RECT 3.275 0.340 3.445 0.510 ;
+        RECT 0.155 -0.085 0.325 0.085 ;
+        RECT 0.635 -0.085 0.805 0.085 ;
+        RECT 1.115 -0.085 1.285 0.085 ;
+        RECT 1.595 -0.085 1.765 0.085 ;
+        RECT 2.075 -0.085 2.245 0.085 ;
+        RECT 2.555 -0.085 2.725 0.085 ;
+        RECT 3.035 -0.085 3.205 0.085 ;
+        RECT 3.515 -0.085 3.685 0.085 ;
+        RECT 3.995 -0.085 4.165 0.085 ;
+        RECT 4.475 -0.085 4.645 0.085 ;
+        RECT 4.955 -0.085 5.125 0.085 ;
+        RECT 5.435 -0.085 5.605 0.085 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.000 3.090 5.760 3.570 ;
+        RECT 3.215 2.760 3.505 3.090 ;
+    END
+  END VGND
+  PIN VPWR
+    ANTENNADIFFAREA 1.083600 ;
+    PORT
+      LAYER li1 ;
+        RECT 0.000 3.245 5.760 3.415 ;
+        RECT 0.155 3.090 5.605 3.245 ;
+        RECT 3.195 2.740 3.525 3.090 ;
+      LAYER mcon ;
+        RECT 0.155 3.245 0.325 3.415 ;
+        RECT 0.635 3.245 0.805 3.415 ;
+        RECT 1.115 3.245 1.285 3.415 ;
+        RECT 1.595 3.245 1.765 3.415 ;
+        RECT 2.075 3.245 2.245 3.415 ;
+        RECT 2.555 3.245 2.725 3.415 ;
+        RECT 3.035 3.245 3.205 3.415 ;
+        RECT 3.515 3.245 3.685 3.415 ;
+        RECT 3.995 3.245 4.165 3.415 ;
+        RECT 4.475 3.245 4.645 3.415 ;
+        RECT 4.955 3.245 5.125 3.415 ;
+        RECT 5.435 3.245 5.605 3.415 ;
+        RECT 3.275 2.820 3.445 2.990 ;
+    END
+  END VPWR
+  PIN Y
+    ANTENNADIFFAREA 1.031650 ;
+    PORT
+      LAYER met1 ;
+        RECT 4.655 2.195 4.945 2.485 ;
+        RECT 4.730 0.730 4.870 2.195 ;
+        RECT 4.655 0.440 4.945 0.730 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 4.635 2.260 4.965 2.505 ;
+        RECT 4.655 2.175 4.965 2.260 ;
+      LAYER mcon ;
+        RECT 4.715 2.255 4.885 2.425 ;
+    END
+  END Y
+  OBS
+      LAYER nwell ;
+        RECT 0.000 1.790 5.760 3.330 ;
+      LAYER li1 ;
+        RECT 0.795 2.260 1.125 2.505 ;
+        RECT 0.795 2.175 1.105 2.260 ;
+        RECT 1.275 1.760 1.605 2.090 ;
+        RECT 4.155 1.760 4.485 2.090 ;
+        RECT 1.355 1.155 1.525 1.760 ;
+        RECT 2.795 1.155 2.965 1.480 ;
+        RECT 1.275 0.825 1.605 1.155 ;
+        RECT 2.715 0.920 3.045 1.155 ;
+        RECT 2.735 0.825 3.045 0.920 ;
+        RECT 4.155 0.825 4.485 1.155 ;
+        RECT 2.235 0.420 2.565 0.750 ;
+        RECT 4.655 0.655 4.965 0.750 ;
+        RECT 4.635 0.420 4.965 0.655 ;
+      LAYER mcon ;
+        RECT 0.875 2.255 1.045 2.425 ;
+        RECT 1.355 1.840 1.525 2.010 ;
+        RECT 4.235 1.840 4.405 2.010 ;
+        RECT 2.795 1.310 2.965 1.480 ;
+        RECT 4.235 0.905 4.405 1.075 ;
+        RECT 2.315 0.500 2.485 0.670 ;
+        RECT 4.715 0.500 4.885 0.670 ;
+      LAYER met1 ;
+        RECT 0.815 2.195 1.105 2.485 ;
+        RECT 0.890 1.060 1.030 2.195 ;
+        RECT 4.175 1.780 4.465 2.070 ;
+        RECT 4.250 1.135 4.390 1.780 ;
+        RECT 4.175 1.060 4.465 1.135 ;
+        RECT 0.890 0.920 4.465 1.060 ;
+        RECT 2.330 0.730 2.470 0.920 ;
+        RECT 4.175 0.845 4.465 0.920 ;
+        RECT 2.255 0.440 2.545 0.730 ;
+  END
+END OR2X2
+END LIBRARY
+
diff --git a/cells/mag/OR2X2.mag b/cells/mag/OR2X2.mag
index 304b5c4..24973ed 100644
--- a/cells/mag/OR2X2.mag
+++ b/cells/mag/OR2X2.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1624892588
+timestamp 1624917771
 << checkpaint >>
 rect -1260 -1374 11260 2038
 << nwell >>
@@ -315,13 +315,13 @@
 rect 1121 -17 1152 17
 rect 0 -48 1152 -17
 << labels >>
-rlabel metal1 0 618 1152 714 0 VDD
+rlabel metal1 0 618 1152 714 0 VPWR
 port 3 se
-rlabel metal1 0 618 1152 714 0 VDD
+rlabel metal1 0 618 1152 714 0 VPWR
 port 3 se
-rlabel metal1 0 -48 1152 48 0 GND
+rlabel metal1 0 -48 1152 48 0 VGND
 port 2 se
-rlabel metal1 0 -48 1152 48 0 GND
+rlabel metal1 0 -48 1152 48 0 VGND
 port 2 se
 rlabel metal1 931 88 989 146 0 Y
 port 4 se
@@ -337,14 +337,14 @@
 port 1 se
 rlabel metal1 547 356 605 414 0 B
 port 1 se
-rlabel locali 0 -17 1152 17 4 GND
+rlabel locali 0 -17 1152 17 4 VGND
 port 2 se ground default abutment
-rlabel locali 31 17 1121 48 4 GND
+rlabel locali 31 17 1121 48 4 VGND
 port 2 se ground default abutment
-rlabel locali 0 649 1152 683 4 VDD
+rlabel locali 0 649 1152 683 4 VPWR
 port 3 se power default abutment
-rlabel locali 31 618 1121 649 4 VDD
-port 3 se power default abutment
+rlabel locali 31 618 1121 649 4 VGND
+port 2 se power default abutment
 << properties >>
 string FIXED_BBOX 0 0 1152 666
 << end >>
diff --git a/cells/mag/XNOR2X1.lef b/cells/mag/XNOR2X1.lef
new file mode 100644
index 0000000..8cff1cf
--- /dev/null
+++ b/cells/mag/XNOR2X1.lef
@@ -0,0 +1,229 @@
+VERSION 5.7 ;
+  NOWIREEXTENSIONATPIN ON ;
+  DIVIDERCHAR "/" ;
+  BUSBITCHARS "[]" ;
+MACRO XNOR2X1
+  CLASS CORE ;
+  FOREIGN XNOR2X1 ;
+  ORIGIN 0.000 0.000 ;
+  SIZE 10.080 BY 3.330 ;
+  SYMMETRY X Y ;
+  SITE unit ;
+  PIN A
+    ANTENNAGATEAREA 0.378000 ;
+    PORT
+      LAYER met1 ;
+        RECT 4.175 1.995 4.465 2.070 ;
+        RECT 4.175 1.855 5.350 1.995 ;
+        RECT 4.175 1.780 4.465 1.855 ;
+        RECT 5.210 1.060 5.350 1.855 ;
+        RECT 8.495 1.780 8.785 2.070 ;
+        RECT 8.570 1.135 8.710 1.780 ;
+        RECT 5.615 1.060 5.905 1.135 ;
+        RECT 8.495 1.060 8.785 1.135 ;
+        RECT 5.210 0.920 8.785 1.060 ;
+        RECT 5.615 0.845 5.905 0.920 ;
+        RECT 8.495 0.845 8.785 0.920 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 8.475 0.825 8.805 1.155 ;
+      LAYER mcon ;
+        RECT 8.555 0.905 8.725 1.075 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 5.595 0.825 5.925 1.155 ;
+      LAYER mcon ;
+        RECT 5.675 0.905 5.845 1.075 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 4.155 1.760 4.485 2.090 ;
+      LAYER mcon ;
+        RECT 4.235 1.840 4.405 2.010 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 8.475 1.760 8.805 2.090 ;
+      LAYER mcon ;
+        RECT 8.555 1.840 8.725 2.010 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA 0.378000 ;
+    PORT
+      LAYER met1 ;
+        RECT 1.295 1.780 1.585 2.070 ;
+        RECT 2.735 1.780 3.025 2.070 ;
+        RECT 1.370 1.135 1.510 1.780 ;
+        RECT 2.810 1.135 2.950 1.780 ;
+        RECT 1.295 1.060 1.585 1.135 ;
+        RECT 2.735 1.060 3.025 1.135 ;
+        RECT 1.295 0.920 3.025 1.060 ;
+        RECT 1.295 0.845 1.585 0.920 ;
+        RECT 2.735 0.845 3.025 0.920 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 2.715 0.825 3.045 1.155 ;
+      LAYER mcon ;
+        RECT 2.795 0.905 2.965 1.075 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.275 0.825 1.605 1.155 ;
+      LAYER mcon ;
+        RECT 1.355 0.905 1.525 1.075 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.275 1.760 1.605 2.090 ;
+      LAYER mcon ;
+        RECT 1.355 1.840 1.525 2.010 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 2.715 1.760 3.045 2.090 ;
+      LAYER mcon ;
+        RECT 2.795 1.840 2.965 2.010 ;
+    END
+  END B
+  PIN VGND
+    ANTENNADIFFAREA 1.083600 ;
+    PORT
+      LAYER met1 ;
+        RECT 1.775 0.240 2.065 0.570 ;
+        RECT 7.535 0.240 7.825 0.570 ;
+        RECT 0.000 -0.240 10.080 0.240 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.755 0.240 2.085 0.590 ;
+        RECT 7.515 0.240 7.845 0.590 ;
+        RECT 0.155 0.085 9.925 0.240 ;
+        RECT 0.000 -0.085 10.080 0.085 ;
+      LAYER mcon ;
+        RECT 1.835 0.340 2.005 0.510 ;
+        RECT 7.595 0.340 7.765 0.510 ;
+        RECT 0.155 -0.085 0.325 0.085 ;
+        RECT 0.635 -0.085 0.805 0.085 ;
+        RECT 1.115 -0.085 1.285 0.085 ;
+        RECT 1.595 -0.085 1.765 0.085 ;
+        RECT 2.075 -0.085 2.245 0.085 ;
+        RECT 2.555 -0.085 2.725 0.085 ;
+        RECT 3.035 -0.085 3.205 0.085 ;
+        RECT 3.515 -0.085 3.685 0.085 ;
+        RECT 3.995 -0.085 4.165 0.085 ;
+        RECT 4.475 -0.085 4.645 0.085 ;
+        RECT 4.955 -0.085 5.125 0.085 ;
+        RECT 5.435 -0.085 5.605 0.085 ;
+        RECT 5.915 -0.085 6.085 0.085 ;
+        RECT 6.395 -0.085 6.565 0.085 ;
+        RECT 6.875 -0.085 7.045 0.085 ;
+        RECT 7.355 -0.085 7.525 0.085 ;
+        RECT 7.835 -0.085 8.005 0.085 ;
+        RECT 8.315 -0.085 8.485 0.085 ;
+        RECT 8.795 -0.085 8.965 0.085 ;
+        RECT 9.275 -0.085 9.445 0.085 ;
+        RECT 9.755 -0.085 9.925 0.085 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.000 3.090 10.080 3.570 ;
+        RECT 7.535 2.760 7.825 3.090 ;
+    END
+  END VGND
+  PIN VPWR
+    ANTENNADIFFAREA 2.167200 ;
+    PORT
+      LAYER li1 ;
+        RECT 0.000 3.245 10.080 3.415 ;
+        RECT 0.155 3.090 9.925 3.245 ;
+        RECT 1.755 2.740 2.085 3.090 ;
+        RECT 7.515 2.740 7.845 3.090 ;
+      LAYER mcon ;
+        RECT 0.155 3.245 0.325 3.415 ;
+        RECT 0.635 3.245 0.805 3.415 ;
+        RECT 1.115 3.245 1.285 3.415 ;
+        RECT 1.595 3.245 1.765 3.415 ;
+        RECT 2.075 3.245 2.245 3.415 ;
+        RECT 2.555 3.245 2.725 3.415 ;
+        RECT 3.035 3.245 3.205 3.415 ;
+        RECT 3.515 3.245 3.685 3.415 ;
+        RECT 3.995 3.245 4.165 3.415 ;
+        RECT 4.475 3.245 4.645 3.415 ;
+        RECT 4.955 3.245 5.125 3.415 ;
+        RECT 5.435 3.245 5.605 3.415 ;
+        RECT 5.915 3.245 6.085 3.415 ;
+        RECT 6.395 3.245 6.565 3.415 ;
+        RECT 6.875 3.245 7.045 3.415 ;
+        RECT 7.355 3.245 7.525 3.415 ;
+        RECT 7.835 3.245 8.005 3.415 ;
+        RECT 8.315 3.245 8.485 3.415 ;
+        RECT 8.795 3.245 8.965 3.415 ;
+        RECT 9.275 3.245 9.445 3.415 ;
+        RECT 9.755 3.245 9.925 3.415 ;
+        RECT 7.595 2.820 7.765 2.990 ;
+    END
+  END VPWR
+  PIN Y
+    ANTENNADIFFAREA 1.661650 ;
+    PORT
+      LAYER met1 ;
+        RECT 4.655 0.440 4.945 0.730 ;
+    END
+  END Y
+  OBS
+      LAYER nwell ;
+        RECT 0.000 1.790 10.080 3.330 ;
+      LAYER li1 ;
+        RECT 0.555 2.175 0.885 2.505 ;
+        RECT 4.635 2.260 4.965 2.505 ;
+        RECT 4.655 2.175 4.965 2.260 ;
+        RECT 4.155 0.920 4.485 1.155 ;
+        RECT 4.155 0.825 4.465 0.920 ;
+        RECT 4.715 0.750 4.885 2.175 ;
+        RECT 7.115 2.090 7.285 2.830 ;
+        RECT 8.955 2.260 9.285 2.505 ;
+        RECT 8.975 2.175 9.285 2.260 ;
+        RECT 5.595 1.760 5.925 2.090 ;
+        RECT 7.035 1.760 7.365 2.090 ;
+        RECT 7.115 1.155 7.285 1.760 ;
+        RECT 7.035 0.825 7.365 1.155 ;
+        RECT 0.555 0.420 0.885 0.750 ;
+        RECT 4.635 0.420 4.965 0.750 ;
+        RECT 8.975 0.655 9.285 0.750 ;
+        RECT 8.955 0.420 9.285 0.655 ;
+      LAYER mcon ;
+        RECT 7.115 2.660 7.285 2.830 ;
+        RECT 0.635 2.255 0.805 2.425 ;
+        RECT 4.235 0.905 4.405 1.075 ;
+        RECT 9.035 2.255 9.205 2.425 ;
+        RECT 5.675 1.840 5.845 2.010 ;
+        RECT 0.635 0.500 0.805 0.670 ;
+        RECT 4.715 0.500 4.885 0.670 ;
+        RECT 9.035 0.500 9.205 0.670 ;
+      LAYER met1 ;
+        RECT 7.055 2.815 7.345 2.890 ;
+        RECT 0.650 2.675 7.345 2.815 ;
+        RECT 0.650 2.485 0.790 2.675 ;
+        RECT 7.055 2.600 7.345 2.675 ;
+        RECT 0.575 2.195 0.865 2.485 ;
+        RECT 8.975 2.410 9.265 2.485 ;
+        RECT 3.770 2.270 9.265 2.410 ;
+        RECT 0.650 0.730 0.790 2.195 ;
+        RECT 3.770 1.060 3.910 2.270 ;
+        RECT 5.690 2.070 5.830 2.270 ;
+        RECT 8.975 2.195 9.265 2.270 ;
+        RECT 5.615 1.780 5.905 2.070 ;
+        RECT 4.175 1.060 4.465 1.135 ;
+        RECT 3.770 0.920 4.465 1.060 ;
+        RECT 4.175 0.845 4.465 0.920 ;
+        RECT 9.050 0.730 9.190 2.195 ;
+        RECT 0.575 0.440 0.865 0.730 ;
+        RECT 8.975 0.440 9.265 0.730 ;
+  END
+END XNOR2X1
+END LIBRARY
+
diff --git a/cells/mag/XNOR2X1.mag b/cells/mag/XNOR2X1.mag
index 8a505a6..e277c1a 100644
--- a/cells/mag/XNOR2X1.mag
+++ b/cells/mag/XNOR2X1.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1624892589
+timestamp 1624917772
 << checkpaint >>
 rect -1260 -1374 11260 2038
 << nwell >>
@@ -527,13 +527,13 @@
 rect 1985 -17 2016 17
 rect 0 -48 2016 -17
 << labels >>
-rlabel metal1 0 618 2016 714 0 VDD
+rlabel metal1 0 618 2016 714 0 VPWR
 port 3 se
-rlabel metal1 0 618 2016 714 0 VDD
+rlabel metal1 0 618 2016 714 0 VPWR
 port 3 se
-rlabel metal1 0 -48 2016 48 0 GND
+rlabel metal1 0 -48 2016 48 0 VGND
 port 2 se
-rlabel metal1 0 -48 2016 48 0 GND
+rlabel metal1 0 -48 2016 48 0 VGND
 port 2 se
 rlabel metal1 931 88 989 146 0 Y
 port 4 se
@@ -577,14 +577,14 @@
 port 0 se
 rlabel metal1 1699 356 1757 414 0 A
 port 0 se
-rlabel locali 0 -17 2016 17 4 GND
+rlabel locali 0 -17 2016 17 4 VGND
 port 2 se ground default abutment
-rlabel locali 31 17 1985 48 4 GND
+rlabel locali 31 17 1985 48 4 VGND
 port 2 se ground default abutment
-rlabel locali 0 649 2016 683 4 VDD
+rlabel locali 0 649 2016 683 4 VPWR
 port 3 se power default abutment
-rlabel locali 31 618 1985 649 4 VDD
-port 3 se power default abutment
+rlabel locali 31 618 1985 649 4 VGND
+port 2 se power default abutment
 << properties >>
 string FIXED_BBOX 0 0 2016 666
 << end >>
diff --git a/cells/mag/XOR2X1.lef b/cells/mag/XOR2X1.lef
new file mode 100644
index 0000000..3293112
--- /dev/null
+++ b/cells/mag/XOR2X1.lef
@@ -0,0 +1,214 @@
+VERSION 5.7 ;
+  NOWIREEXTENSIONATPIN ON ;
+  DIVIDERCHAR "/" ;
+  BUSBITCHARS "[]" ;
+MACRO XOR2X1
+  CLASS CORE ;
+  FOREIGN XOR2X1 ;
+  ORIGIN 0.000 0.000 ;
+  SIZE 10.080 BY 3.330 ;
+  SYMMETRY X Y ;
+  SITE unit ;
+  PIN A
+    ANTENNAGATEAREA 0.378000 ;
+    PORT
+      LAYER met1 ;
+        RECT 1.295 1.995 1.585 2.070 ;
+        RECT 5.615 1.995 5.905 2.070 ;
+        RECT 1.295 1.855 5.905 1.995 ;
+        RECT 1.295 1.780 1.585 1.855 ;
+        RECT 1.370 1.135 1.510 1.780 ;
+        RECT 4.250 1.135 4.390 1.855 ;
+        RECT 5.615 1.780 5.905 1.855 ;
+        RECT 1.295 0.845 1.585 1.135 ;
+        RECT 4.175 0.845 4.465 1.135 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 4.155 0.920 4.485 1.155 ;
+        RECT 4.155 0.825 4.465 0.920 ;
+      LAYER mcon ;
+        RECT 4.235 0.905 4.405 1.075 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.275 1.760 1.605 2.090 ;
+      LAYER mcon ;
+        RECT 1.355 1.840 1.525 2.010 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 5.595 1.760 5.925 2.090 ;
+      LAYER mcon ;
+        RECT 5.675 1.840 5.845 2.010 ;
+    END
+  END A
+  PIN B
+    ANTENNAGATEAREA 0.378000 ;
+    PORT
+      LAYER met1 ;
+        RECT 2.735 2.815 3.025 2.890 ;
+        RECT 2.735 2.675 8.710 2.815 ;
+        RECT 2.735 2.600 3.025 2.675 ;
+        RECT 8.570 2.070 8.710 2.675 ;
+        RECT 8.495 1.780 8.785 2.070 ;
+        RECT 2.735 1.385 3.025 1.675 ;
+        RECT 2.810 1.135 2.950 1.385 ;
+        RECT 2.735 0.845 3.025 1.135 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 2.795 2.090 2.965 2.830 ;
+        RECT 2.715 1.760 3.045 2.090 ;
+        RECT 2.795 1.445 2.965 1.760 ;
+      LAYER mcon ;
+        RECT 2.795 2.660 2.965 2.830 ;
+    END
+  END B
+  PIN VGND
+    ANTENNADIFFAREA 1.083600 ;
+    PORT
+      LAYER met1 ;
+        RECT 1.775 0.240 2.065 0.570 ;
+        RECT 7.535 0.240 7.825 0.570 ;
+        RECT 0.000 -0.240 10.080 0.240 ;
+    END
+    PORT
+      LAYER li1 ;
+        RECT 1.755 0.240 2.085 0.590 ;
+        RECT 7.515 0.240 7.845 0.590 ;
+        RECT 0.155 0.085 9.925 0.240 ;
+        RECT 0.000 -0.085 10.080 0.085 ;
+      LAYER mcon ;
+        RECT 1.835 0.340 2.005 0.510 ;
+        RECT 7.595 0.340 7.765 0.510 ;
+        RECT 0.155 -0.085 0.325 0.085 ;
+        RECT 0.635 -0.085 0.805 0.085 ;
+        RECT 1.115 -0.085 1.285 0.085 ;
+        RECT 1.595 -0.085 1.765 0.085 ;
+        RECT 2.075 -0.085 2.245 0.085 ;
+        RECT 2.555 -0.085 2.725 0.085 ;
+        RECT 3.035 -0.085 3.205 0.085 ;
+        RECT 3.515 -0.085 3.685 0.085 ;
+        RECT 3.995 -0.085 4.165 0.085 ;
+        RECT 4.475 -0.085 4.645 0.085 ;
+        RECT 4.955 -0.085 5.125 0.085 ;
+        RECT 5.435 -0.085 5.605 0.085 ;
+        RECT 5.915 -0.085 6.085 0.085 ;
+        RECT 6.395 -0.085 6.565 0.085 ;
+        RECT 6.875 -0.085 7.045 0.085 ;
+        RECT 7.355 -0.085 7.525 0.085 ;
+        RECT 7.835 -0.085 8.005 0.085 ;
+        RECT 8.315 -0.085 8.485 0.085 ;
+        RECT 8.795 -0.085 8.965 0.085 ;
+        RECT 9.275 -0.085 9.445 0.085 ;
+        RECT 9.755 -0.085 9.925 0.085 ;
+    END
+    PORT
+      LAYER met1 ;
+        RECT 0.000 3.090 10.080 3.570 ;
+        RECT 1.775 2.760 2.065 3.090 ;
+    END
+  END VGND
+  PIN VPWR
+    ANTENNADIFFAREA 2.167200 ;
+    PORT
+      LAYER li1 ;
+        RECT 0.000 3.245 10.080 3.415 ;
+        RECT 0.155 3.090 9.925 3.245 ;
+        RECT 1.755 2.740 2.085 3.090 ;
+        RECT 7.515 2.740 7.845 3.090 ;
+      LAYER mcon ;
+        RECT 0.155 3.245 0.325 3.415 ;
+        RECT 0.635 3.245 0.805 3.415 ;
+        RECT 1.115 3.245 1.285 3.415 ;
+        RECT 1.595 3.245 1.765 3.415 ;
+        RECT 2.075 3.245 2.245 3.415 ;
+        RECT 2.555 3.245 2.725 3.415 ;
+        RECT 3.035 3.245 3.205 3.415 ;
+        RECT 3.515 3.245 3.685 3.415 ;
+        RECT 3.995 3.245 4.165 3.415 ;
+        RECT 4.475 3.245 4.645 3.415 ;
+        RECT 4.955 3.245 5.125 3.415 ;
+        RECT 5.435 3.245 5.605 3.415 ;
+        RECT 5.915 3.245 6.085 3.415 ;
+        RECT 6.395 3.245 6.565 3.415 ;
+        RECT 6.875 3.245 7.045 3.415 ;
+        RECT 7.355 3.245 7.525 3.415 ;
+        RECT 7.835 3.245 8.005 3.415 ;
+        RECT 8.315 3.245 8.485 3.415 ;
+        RECT 8.795 3.245 8.965 3.415 ;
+        RECT 9.275 3.245 9.445 3.415 ;
+        RECT 9.755 3.245 9.925 3.415 ;
+        RECT 1.835 2.820 2.005 2.990 ;
+    END
+  END VPWR
+  PIN Y
+    ANTENNADIFFAREA 1.661650 ;
+    PORT
+      LAYER met1 ;
+        RECT 4.655 0.440 4.945 0.730 ;
+    END
+  END Y
+  OBS
+      LAYER nwell ;
+        RECT 0.000 1.790 10.080 3.330 ;
+      LAYER li1 ;
+        RECT 0.555 2.175 0.885 2.505 ;
+        RECT 4.235 2.090 4.405 2.425 ;
+        RECT 4.635 2.260 4.965 2.505 ;
+        RECT 8.955 2.260 9.285 2.505 ;
+        RECT 4.655 2.175 4.965 2.260 ;
+        RECT 8.975 2.175 9.285 2.260 ;
+        RECT 4.155 1.760 4.485 2.090 ;
+        RECT 1.275 0.825 1.605 1.155 ;
+        RECT 2.715 0.825 3.045 1.155 ;
+        RECT 4.715 0.750 4.885 2.175 ;
+        RECT 7.035 1.760 7.365 2.090 ;
+        RECT 8.475 1.760 8.805 2.090 ;
+        RECT 8.555 1.155 8.725 1.760 ;
+        RECT 5.595 0.825 5.925 1.155 ;
+        RECT 7.035 0.825 7.365 1.155 ;
+        RECT 8.475 0.825 8.805 1.155 ;
+        RECT 0.555 0.420 0.885 0.750 ;
+        RECT 4.635 0.420 4.965 0.750 ;
+        RECT 8.975 0.655 9.285 0.750 ;
+        RECT 8.955 0.420 9.285 0.655 ;
+      LAYER mcon ;
+        RECT 0.635 2.255 0.805 2.425 ;
+        RECT 4.235 2.255 4.405 2.425 ;
+        RECT 9.035 2.255 9.205 2.425 ;
+        RECT 1.355 0.905 1.525 1.075 ;
+        RECT 2.795 0.905 2.965 1.075 ;
+        RECT 7.115 1.840 7.285 2.010 ;
+        RECT 8.555 1.840 8.725 2.010 ;
+        RECT 5.675 0.905 5.845 1.075 ;
+        RECT 7.115 0.905 7.285 1.075 ;
+        RECT 0.635 0.500 0.805 0.670 ;
+        RECT 4.715 0.500 4.885 0.670 ;
+        RECT 9.035 0.500 9.205 0.670 ;
+      LAYER met1 ;
+        RECT 0.575 2.410 0.865 2.485 ;
+        RECT 4.175 2.410 4.465 2.485 ;
+        RECT 0.575 2.270 6.310 2.410 ;
+        RECT 0.575 2.195 0.865 2.270 ;
+        RECT 4.175 2.195 4.465 2.270 ;
+        RECT 0.650 0.730 0.790 2.195 ;
+        RECT 5.615 1.060 5.905 1.135 ;
+        RECT 6.170 1.060 6.310 2.270 ;
+        RECT 8.975 2.195 9.265 2.485 ;
+        RECT 7.055 1.780 7.345 2.070 ;
+        RECT 7.130 1.135 7.270 1.780 ;
+        RECT 5.615 0.920 6.310 1.060 ;
+        RECT 7.055 1.060 7.345 1.135 ;
+        RECT 9.050 1.060 9.190 2.195 ;
+        RECT 7.055 0.920 9.190 1.060 ;
+        RECT 5.615 0.845 5.905 0.920 ;
+        RECT 7.055 0.845 7.345 0.920 ;
+        RECT 9.050 0.730 9.190 0.920 ;
+        RECT 0.575 0.440 0.865 0.730 ;
+        RECT 8.975 0.440 9.265 0.730 ;
+  END
+END XOR2X1
+END LIBRARY
+
diff --git a/cells/mag/XOR2X1.mag b/cells/mag/XOR2X1.mag
index b2483dd..60f41f5 100644
--- a/cells/mag/XOR2X1.mag
+++ b/cells/mag/XOR2X1.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1624892590
+timestamp 1624917773
 << checkpaint >>
 rect -1260 -1374 11260 2038
 << nwell >>
@@ -532,11 +532,11 @@
 rect 1985 -17 2016 17
 rect 0 -48 2016 -17
 << labels >>
-rlabel metal1 0 618 2016 714 0 VDD
+rlabel metal1 0 618 2016 714 0 VPWR
 port 3 se
-rlabel metal1 0 618 2016 714 0 VDD
+rlabel metal1 0 618 2016 714 0 VPWR
 port 3 se
-rlabel metal1 0 -48 2016 48 0 GND
+rlabel metal1 0 -48 2016 48 0 VGND
 port 2 se
 rlabel metal1 931 88 989 146 0 Y
 port 4 se
@@ -564,14 +564,14 @@
 port 1 se
 rlabel metal1 547 277 605 335 0 B
 port 1 se
-rlabel locali 0 -17 2016 17 4 GND
+rlabel locali 0 -17 2016 17 4 VGND
 port 2 se ground default abutment
-rlabel locali 31 17 1985 48 4 GND
+rlabel locali 31 17 1985 48 4 VGND
 port 2 se ground default abutment
-rlabel locali 0 649 2016 683 4 VDD
+rlabel locali 0 649 2016 683 4 VPWR
 port 3 se power default abutment
-rlabel locali 31 618 1985 649 4 VDD
-port 3 se power default abutment
+rlabel locali 31 618 1985 649 4 VGND
+port 2 se power default abutment
 << properties >>
 string FIXED_BBOX 0 0 2016 666
 << end >>
diff --git a/cells/mag/fixup.pl b/cells/mag/fixup.pl
index fd90dc2..503061a 100755
--- a/cells/mag/fixup.pl
+++ b/cells/mag/fixup.pl
@@ -10,7 +10,8 @@
   my $min=100;
   my $max=200;
 
-  open IN,"<$mag";
+  open IN,"<$mag.beforemagic";
+  open OUT,">$mag";
   while(<IN>)
   {
     if(m/string FIXED_BBOX 0 0 (\d+) (\d+)/)
@@ -18,7 +19,12 @@
       $width=$1; $min=$1-31; $max=$min+31;
       print "min: $min max: $max\nmagic $mag\nbox $min 17 $max 649\n";
     }
+    s/\bVDD\b/VPWR/g;
+    s/\bGND\b/VGND/g;
+    print OUT $_;
   }
+  close IN;
+  close OUT;
 
 my $cmd=<<EOF
 snap internal
@@ -36,25 +42,25 @@
 erase locali
 
 box 0 -17 $width 17
-label GND se locali
+label VGND se locali
 port make
 port use ground
 port shape abutment
 
 box 31 17 $min 48
-label GND se locali
+label VGND se locali
 port make
 port use ground
 port shape abutment
 
 box 0 649 $width 683
-label VDD se locali
+label VPWR se locali
 port make
 port use power
 port shape abutment
 
 box 31 618 $min 649
-label VDD se locali
+label VGND se locali
 port make
 port use power
 port shape abutment
@@ -62,6 +68,10 @@
 port renumber
 save
 gds
+property LEFsite unit
+property LEFsymmetry "X Y"
+property LEFclass CORE
+lef write -toplayer
 quit
 EOF
 ;
@@ -70,5 +80,6 @@
   print MAGIC $cmd;
   close MAGIC;
   system "mv $name.gds ../gds/$name.gds";
+  #system "mv $name.lef ../lef/$name.lef";
 }
 
diff --git a/cells/sp/AND2X1.sp b/cells/sp/AND2X1.sp
index 1a2cb76..b8b4676 100644
--- a/cells/sp/AND2X1.sp
+++ b/cells/sp/AND2X1.sp
@@ -1,11 +1,11 @@
 *** Spice netlist generated by cell2spice.pl from cell file AND2X1.cell ***
 
-.subckt AND2X1 vdd gnd B A Y
-M0 1 A vdd vdd pmos w=0.840u l=0.150u
-M1 1 B vdd vdd pmos w=0.840u l=0.150u
-M2 Y 1 vdd vdd pmos w=0.840u l=0.150u
-M3 2 A 1 gnd nmos w=0.420u l=0.150u
-M4 2 B gnd gnd nmos w=0.420u l=0.150u
-M5 Y 1 gnd gnd nmos w=0.420u l=0.150u
+.subckt AND2X1 VPWR VGND B A Y
+M0 1 A VPWR VPWR pmos w=0.840u l=0.150u
+M1 1 B VPWR VPWR pmos w=0.840u l=0.150u
+M2 Y 1 VPWR VPWR pmos w=0.840u l=0.150u
+M3 2 A 1 VGND nmos w=0.420u l=0.150u
+M4 2 B VGND VGND nmos w=0.420u l=0.150u
+M5 Y 1 VGND VGND nmos w=0.420u l=0.150u
 .ends AND2X1
 
diff --git a/cells/sp/AND2X2.sp b/cells/sp/AND2X2.sp
index 614c321..52e491f 100644
--- a/cells/sp/AND2X2.sp
+++ b/cells/sp/AND2X2.sp
@@ -1,11 +1,11 @@
 *** Spice netlist generated by cell2spice.pl from cell file AND2X2.cell ***
 
-.subckt AND2X2 vdd gnd B A Y
-M0 1 A vdd vdd pmos w=0.840u l=0.150u
-M1 1 B vdd vdd pmos w=0.840u l=0.150u
-M2 Y 1 vdd vdd pmos w=0.840u l=0.150u
-M3 2 A 1 gnd nmos w=0.420u l=0.150u
-M4 2 B gnd gnd nmos w=0.420u l=0.150u
-M5 Y 1 gnd gnd nmos w=0.420u l=0.150u
+.subckt AND2X2 VPWR VGND B A Y
+M0 1 A VPWR VPWR pmos w=0.840u l=0.150u
+M1 1 B VPWR VPWR pmos w=0.840u l=0.150u
+M2 Y 1 VPWR VPWR pmos w=0.840u l=0.150u
+M3 2 A 1 VGND nmos w=0.420u l=0.150u
+M4 2 B VGND VGND nmos w=0.420u l=0.150u
+M5 Y 1 VGND VGND nmos w=0.420u l=0.150u
 .ends AND2X2
 
diff --git a/cells/sp/AOI21X1.sp b/cells/sp/AOI21X1.sp
index fbaf6e3..d89d52c 100644
--- a/cells/sp/AOI21X1.sp
+++ b/cells/sp/AOI21X1.sp
@@ -1,11 +1,11 @@
 *** Spice netlist generated by cell2spice.pl from cell file AOI21X1.cell ***
 
-.subckt AOI21X1 vdd gnd C B A Y
-M0 1 A vdd vdd pmos w=0.840u l=0.150u
-M1 1 B vdd vdd pmos w=0.840u l=0.150u
-M2 Y C 1 vdd pmos w=0.840u l=0.150u
-M3 2 A gnd gnd nmos w=0.420u l=0.150u
-M4 Y B 2 gnd nmos w=0.420u l=0.150u
-M5 Y C gnd gnd nmos w=0.420u l=0.150u
+.subckt AOI21X1 VPWR VGND C B A Y
+M0 1 A VPWR VPWR pmos w=0.840u l=0.150u
+M1 1 B VPWR VPWR pmos w=0.840u l=0.150u
+M2 Y C 1 VPWR pmos w=0.840u l=0.150u
+M3 2 A VGND VGND nmos w=0.420u l=0.150u
+M4 Y B 2 VGND nmos w=0.420u l=0.150u
+M5 Y C VGND VGND nmos w=0.420u l=0.150u
 .ends AOI21X1
 
diff --git a/cells/sp/AOI22X1.sp b/cells/sp/AOI22X1.sp
index 540c247..fa17705 100644
--- a/cells/sp/AOI22X1.sp
+++ b/cells/sp/AOI22X1.sp
@@ -1,13 +1,13 @@
 *** Spice netlist generated by cell2spice.pl from cell file AOI22X1.cell ***
 
-.subckt AOI22X1 vdd gnd D C B A Y
-M0 1 A vdd vdd pmos w=0.840u l=0.150u
-M1 1 B vdd vdd pmos w=0.840u l=0.150u
-M2 Y D 1 vdd pmos w=0.840u l=0.150u
-M3 1 C Y vdd pmos w=0.840u l=0.150u
-M4 2 A gnd gnd nmos w=0.420u l=0.150u
-M5 Y B 2 gnd nmos w=0.420u l=0.150u
-M6 3 D Y gnd nmos w=0.420u l=0.150u
-M7 3 C gnd gnd nmos w=0.420u l=0.150u
+.subckt AOI22X1 VPWR VGND D C B A Y
+M0 1 A VPWR VPWR pmos w=0.840u l=0.150u
+M1 1 B VPWR VPWR pmos w=0.840u l=0.150u
+M2 Y D 1 VPWR pmos w=0.840u l=0.150u
+M3 1 C Y VPWR pmos w=0.840u l=0.150u
+M4 2 A VGND VGND nmos w=0.420u l=0.150u
+M5 Y B 2 VGND nmos w=0.420u l=0.150u
+M6 3 D Y VGND nmos w=0.420u l=0.150u
+M7 3 C VGND VGND nmos w=0.420u l=0.150u
 .ends AOI22X1
 
diff --git a/cells/sp/BUFX2.sp b/cells/sp/BUFX2.sp
index 0e94efb..ceda5b0 100644
--- a/cells/sp/BUFX2.sp
+++ b/cells/sp/BUFX2.sp
@@ -1,9 +1,9 @@
 *** Spice netlist generated by cell2spice.pl from cell file BUFX2.cell ***
 
-.subckt BUFX2 vdd gnd A Y
-M0 1 A vdd vdd pmos w=0.840u l=0.150u
-M1 Y 1 vdd vdd pmos w=0.840u l=0.150u
-M2 1 A gnd gnd nmos w=0.420u l=0.150u
-M3 Y 1 gnd gnd nmos w=0.420u l=0.150u
+.subckt BUFX2 VPWR VGND A Y
+M0 1 A VPWR VPWR pmos w=0.840u l=0.150u
+M1 Y 1 VPWR VPWR pmos w=0.840u l=0.150u
+M2 1 A VGND VGND nmos w=0.420u l=0.150u
+M3 Y 1 VGND VGND nmos w=0.420u l=0.150u
 .ends BUFX2
 
diff --git a/cells/sp/BUFX4.sp b/cells/sp/BUFX4.sp
index 362a55f..8833460 100644
--- a/cells/sp/BUFX4.sp
+++ b/cells/sp/BUFX4.sp
@@ -1,11 +1,11 @@
 *** Spice netlist generated by cell2spice.pl from cell file BUFX4.cell ***
 
-.subckt BUFX4 vdd gnd A Y
-M0 1 A vdd vdd pmos w=0.840u l=0.150u
-M1 Y 1 vdd vdd pmos w=0.840u l=0.150u
-M2 Y 1 vdd vdd pmos w=0.840u l=0.150u
-M3 1 A gnd gnd nmos w=0.420u l=0.150u
-M4 Y 1 gnd gnd nmos w=0.420u l=0.150u
-M5 Y 1 gnd gnd nmos w=0.420u l=0.150u
+.subckt BUFX4 VPWR VGND A Y
+M0 1 A VPWR VPWR pmos w=0.840u l=0.150u
+M1 Y 1 VPWR VPWR pmos w=0.840u l=0.150u
+M2 Y 1 VPWR VPWR pmos w=0.840u l=0.150u
+M3 1 A VGND VGND nmos w=0.420u l=0.150u
+M4 Y 1 VGND VGND nmos w=0.420u l=0.150u
+M5 Y 1 VGND VGND nmos w=0.420u l=0.150u
 .ends BUFX4
 
diff --git a/cells/sp/CLKBUF1.sp b/cells/sp/CLKBUF1.sp
index 6148a61..4a5dd4f 100644
--- a/cells/sp/CLKBUF1.sp
+++ b/cells/sp/CLKBUF1.sp
@@ -1,21 +1,21 @@
 *** Spice netlist generated by cell2spice.pl from cell file CLKBUF1.cell ***
 
-.subckt CLKBUF1 vdd gnd A Y
-M0 1 A vdd vdd pmos w=0.840u l=0.150u
-M1 1 A vdd vdd pmos w=0.840u l=0.150u
-M2 2 1 vdd vdd pmos w=0.840u l=0.150u
-M3 2 1 vdd vdd pmos w=0.840u l=0.150u
-M4 3 2 vdd vdd pmos w=0.840u l=0.150u
-M5 3 2 vdd vdd pmos w=0.840u l=0.150u
-M6 Y 3 vdd vdd pmos w=0.840u l=0.150u
-M7 Y 3 vdd vdd pmos w=0.840u l=0.150u
-M8 1 A gnd gnd nmos w=0.420u l=0.150u
-M9 1 A gnd gnd nmos w=0.420u l=0.150u
-M10 2 1 gnd gnd nmos w=0.420u l=0.150u
-M11 2 1 gnd gnd nmos w=0.420u l=0.150u
-M12 3 2 gnd gnd nmos w=0.420u l=0.150u
-M13 3 2 gnd gnd nmos w=0.420u l=0.150u
-M14 Y 3 gnd gnd nmos w=0.420u l=0.150u
-M15 Y 3 gnd gnd nmos w=0.420u l=0.150u
+.subckt CLKBUF1 VPWR VGND A Y
+M0 1 A VPWR VPWR pmos w=0.840u l=0.150u
+M1 1 A VPWR VPWR pmos w=0.840u l=0.150u
+M2 2 1 VPWR VPWR pmos w=0.840u l=0.150u
+M3 2 1 VPWR VPWR pmos w=0.840u l=0.150u
+M4 3 2 VPWR VPWR pmos w=0.840u l=0.150u
+M5 3 2 VPWR VPWR pmos w=0.840u l=0.150u
+M6 Y 3 VPWR VPWR pmos w=0.840u l=0.150u
+M7 Y 3 VPWR VPWR pmos w=0.840u l=0.150u
+M8 1 A VGND VGND nmos w=0.420u l=0.150u
+M9 1 A VGND VGND nmos w=0.420u l=0.150u
+M10 2 1 VGND VGND nmos w=0.420u l=0.150u
+M11 2 1 VGND VGND nmos w=0.420u l=0.150u
+M12 3 2 VGND VGND nmos w=0.420u l=0.150u
+M13 3 2 VGND VGND nmos w=0.420u l=0.150u
+M14 Y 3 VGND VGND nmos w=0.420u l=0.150u
+M15 Y 3 VGND VGND nmos w=0.420u l=0.150u
 .ends CLKBUF1
 
diff --git a/cells/sp/INV.sp b/cells/sp/INV.sp
index 77e56d3..4e1b147 100644
--- a/cells/sp/INV.sp
+++ b/cells/sp/INV.sp
@@ -1,7 +1,7 @@
 *** Spice netlist generated by cell2spice.pl from cell file INV.cell ***
 
-.subckt INV vdd gnd A Y
-M0 Y A vdd vdd pmos w=0.840u l=0.150u
-M1 Y A gnd gnd nmos w=0.420u l=0.150u
+.subckt INV VPWR VGND A Y
+M0 Y A VPWR VPWR pmos w=0.840u l=0.150u
+M1 Y A VGND VGND nmos w=0.420u l=0.150u
 .ends INV
 
diff --git a/cells/sp/INVX1.sp b/cells/sp/INVX1.sp
index f555d89..ba02db5 100644
--- a/cells/sp/INVX1.sp
+++ b/cells/sp/INVX1.sp
@@ -1,7 +1,7 @@
 *** Spice netlist generated by cell2spice.pl from cell file INVX1.cell ***
 
-.subckt INVX1 vdd gnd A Y
-M0 Y A vdd vdd pmos w=0.840u l=0.150u
-M1 Y A gnd gnd nmos w=0.420u l=0.150u
+.subckt INVX1 VPWR VGND A Y
+M0 Y A VPWR VPWR pmos w=0.840u l=0.150u
+M1 Y A VGND VGND nmos w=0.420u l=0.150u
 .ends INVX1
 
diff --git a/cells/sp/INVX2.sp b/cells/sp/INVX2.sp
index b41a0f9..34f6635 100644
--- a/cells/sp/INVX2.sp
+++ b/cells/sp/INVX2.sp
@@ -1,7 +1,7 @@
 *** Spice netlist generated by cell2spice.pl from cell file INVX2.cell ***
 
-.subckt INVX2 vdd gnd A Y
-M0 Y A vdd vdd pmos w=0.840u l=0.150u
-M1 Y A gnd gnd nmos w=0.420u l=0.150u
+.subckt INVX2 VPWR VGND A Y
+M0 Y A VPWR VPWR pmos w=0.840u l=0.150u
+M1 Y A VGND VGND nmos w=0.420u l=0.150u
 .ends INVX2
 
diff --git a/cells/sp/INVX4.sp b/cells/sp/INVX4.sp
index 855e63e..e14c489 100644
--- a/cells/sp/INVX4.sp
+++ b/cells/sp/INVX4.sp
@@ -1,9 +1,9 @@
 *** Spice netlist generated by cell2spice.pl from cell file INVX4.cell ***
 
-.subckt INVX4 vdd gnd A Y
-M0 Y A vdd vdd pmos w=0.840u l=0.150u
-M1 Y A vdd vdd pmos w=0.840u l=0.150u
-M2 Y A gnd gnd nmos w=0.420u l=0.150u
-M3 Y A gnd gnd nmos w=0.420u l=0.150u
+.subckt INVX4 VPWR VGND A Y
+M0 Y A VPWR VPWR pmos w=0.840u l=0.150u
+M1 Y A VPWR VPWR pmos w=0.840u l=0.150u
+M2 Y A VGND VGND nmos w=0.420u l=0.150u
+M3 Y A VGND VGND nmos w=0.420u l=0.150u
 .ends INVX4
 
diff --git a/cells/sp/INVX8.sp b/cells/sp/INVX8.sp
index 2831028..25df2f9 100644
--- a/cells/sp/INVX8.sp
+++ b/cells/sp/INVX8.sp
@@ -1,13 +1,13 @@
 *** Spice netlist generated by cell2spice.pl from cell file INVX8.cell ***
 
-.subckt INVX8 vdd gnd A Y
-M0 Y A vdd vdd pmos w=0.840u l=0.150u
-M1 Y A vdd vdd pmos w=0.840u l=0.150u
-M2 Y A vdd vdd pmos w=0.840u l=0.150u
-M3 Y A vdd vdd pmos w=0.840u l=0.150u
-M4 Y A gnd gnd nmos w=0.420u l=0.150u
-M5 Y A gnd gnd nmos w=0.420u l=0.150u
-M6 Y A gnd gnd nmos w=0.420u l=0.150u
-M7 Y A gnd gnd nmos w=0.420u l=0.150u
+.subckt INVX8 VPWR VGND A Y
+M0 Y A VPWR VPWR pmos w=0.840u l=0.150u
+M1 Y A VPWR VPWR pmos w=0.840u l=0.150u
+M2 Y A VPWR VPWR pmos w=0.840u l=0.150u
+M3 Y A VPWR VPWR pmos w=0.840u l=0.150u
+M4 Y A VGND VGND nmos w=0.420u l=0.150u
+M5 Y A VGND VGND nmos w=0.420u l=0.150u
+M6 Y A VGND VGND nmos w=0.420u l=0.150u
+M7 Y A VGND VGND nmos w=0.420u l=0.150u
 .ends INVX8
 
diff --git a/cells/sp/MUX2X1.sp b/cells/sp/MUX2X1.sp
index 6604a4b..9108e11 100644
--- a/cells/sp/MUX2X1.sp
+++ b/cells/sp/MUX2X1.sp
@@ -1,15 +1,15 @@
 *** Spice netlist generated by cell2spice.pl from cell file MUX2X1.cell ***
 
-.subckt MUX2X1 vdd gnd S B A Y
-M0 1 S vdd vdd pmos w=0.840u l=0.150u
-M1 2 B vdd vdd pmos w=0.840u l=0.150u
-M2 Y S 2 vdd pmos w=0.840u l=0.150u
-M3 3 1 Y vdd pmos w=0.840u l=0.150u
-M4 3 A vdd vdd pmos w=0.840u l=0.150u
-M5 1 S gnd gnd nmos w=0.420u l=0.150u
-M6 4 B gnd gnd nmos w=0.420u l=0.150u
-M7 Y 1 4 gnd nmos w=0.420u l=0.150u
-M8 5 S Y gnd nmos w=0.420u l=0.150u
-M9 5 A gnd gnd nmos w=0.420u l=0.150u
+.subckt MUX2X1 VPWR VGND S B A Y
+M0 1 S VPWR VPWR pmos w=0.840u l=0.150u
+M1 2 B VPWR VPWR pmos w=0.840u l=0.150u
+M2 Y S 2 VPWR pmos w=0.840u l=0.150u
+M3 3 1 Y VPWR pmos w=0.840u l=0.150u
+M4 3 A VPWR VPWR pmos w=0.840u l=0.150u
+M5 1 S VGND VGND nmos w=0.420u l=0.150u
+M6 4 B VGND VGND nmos w=0.420u l=0.150u
+M7 Y 1 4 VGND nmos w=0.420u l=0.150u
+M8 5 S Y VGND nmos w=0.420u l=0.150u
+M9 5 A VGND VGND nmos w=0.420u l=0.150u
 .ends MUX2X1
 
diff --git a/cells/sp/NAND2X1.sp b/cells/sp/NAND2X1.sp
index 644ff27..ff3aae1 100644
--- a/cells/sp/NAND2X1.sp
+++ b/cells/sp/NAND2X1.sp
@@ -1,9 +1,9 @@
 *** Spice netlist generated by cell2spice.pl from cell file NAND2X1.cell ***
 
-.subckt NAND2X1 vdd gnd B A Y
-M0 Y A vdd vdd pmos w=0.840u l=0.150u
-M1 Y B vdd vdd pmos w=0.840u l=0.150u
-M2 1 A gnd gnd nmos w=0.420u l=0.150u
-M3 Y B 1 gnd nmos w=0.420u l=0.150u
+.subckt NAND2X1 VPWR VGND B A Y
+M0 Y A VPWR VPWR pmos w=0.840u l=0.150u
+M1 Y B VPWR VPWR pmos w=0.840u l=0.150u
+M2 1 A VGND VGND nmos w=0.420u l=0.150u
+M3 Y B 1 VGND nmos w=0.420u l=0.150u
 .ends NAND2X1
 
diff --git a/cells/sp/NAND3X1.sp b/cells/sp/NAND3X1.sp
index 5897d5c..007528c 100644
--- a/cells/sp/NAND3X1.sp
+++ b/cells/sp/NAND3X1.sp
@@ -1,11 +1,11 @@
 *** Spice netlist generated by cell2spice.pl from cell file NAND3X1.cell ***
 
-.subckt NAND3X1 vdd gnd C B A Y
-M0 Y A vdd vdd pmos w=0.840u l=0.150u
-M1 Y B vdd vdd pmos w=0.840u l=0.150u
-M2 Y C vdd vdd pmos w=0.840u l=0.150u
-M3 1 A gnd gnd nmos w=0.420u l=0.150u
-M4 2 B 1 gnd nmos w=0.420u l=0.150u
-M5 Y C 2 gnd nmos w=0.420u l=0.150u
+.subckt NAND3X1 VPWR VGND C B A Y
+M0 Y A VPWR VPWR pmos w=0.840u l=0.150u
+M1 Y B VPWR VPWR pmos w=0.840u l=0.150u
+M2 Y C VPWR VPWR pmos w=0.840u l=0.150u
+M3 1 A VGND VGND nmos w=0.420u l=0.150u
+M4 2 B 1 VGND nmos w=0.420u l=0.150u
+M5 Y C 2 VGND nmos w=0.420u l=0.150u
 .ends NAND3X1
 
diff --git a/cells/sp/OR2X1.sp b/cells/sp/OR2X1.sp
index 8096a42..dca4905 100644
--- a/cells/sp/OR2X1.sp
+++ b/cells/sp/OR2X1.sp
@@ -1,11 +1,11 @@
 *** Spice netlist generated by cell2spice.pl from cell file OR2X1.cell ***
 
-.subckt OR2X1 vdd gnd B A Y
-M0 1 A 2 vdd pmos w=0.840u l=0.150u
-M1 1 B vdd vdd pmos w=0.840u l=0.150u
-M2 Y 2 vdd vdd pmos w=0.840u l=0.150u
-M3 2 A gnd gnd nmos w=0.420u l=0.150u
-M4 2 B gnd gnd nmos w=0.420u l=0.150u
-M5 Y 2 gnd gnd nmos w=0.420u l=0.150u
+.subckt OR2X1 VPWR VGND B A Y
+M0 1 A 2 VPWR pmos w=0.840u l=0.150u
+M1 1 B VPWR VPWR pmos w=0.840u l=0.150u
+M2 Y 2 VPWR VPWR pmos w=0.840u l=0.150u
+M3 2 A VGND VGND nmos w=0.420u l=0.150u
+M4 2 B VGND VGND nmos w=0.420u l=0.150u
+M5 Y 2 VGND VGND nmos w=0.420u l=0.150u
 .ends OR2X1
 
diff --git a/cells/sp/OR2X2.sp b/cells/sp/OR2X2.sp
index 691aa80..4b733a9 100644
--- a/cells/sp/OR2X2.sp
+++ b/cells/sp/OR2X2.sp
@@ -1,11 +1,11 @@
 *** Spice netlist generated by cell2spice.pl from cell file OR2X2.cell ***
 
-.subckt OR2X2 vdd gnd B A Y
-M0 1 A 2 vdd pmos w=0.840u l=0.150u
-M1 1 B vdd vdd pmos w=0.840u l=0.150u
-M2 Y 2 vdd vdd pmos w=0.840u l=0.150u
-M3 2 A gnd gnd nmos w=0.420u l=0.150u
-M4 2 B gnd gnd nmos w=0.420u l=0.150u
-M5 Y 2 gnd gnd nmos w=0.420u l=0.150u
+.subckt OR2X2 VPWR VGND B A Y
+M0 1 A 2 VPWR pmos w=0.840u l=0.150u
+M1 1 B VPWR VPWR pmos w=0.840u l=0.150u
+M2 Y 2 VPWR VPWR pmos w=0.840u l=0.150u
+M3 2 A VGND VGND nmos w=0.420u l=0.150u
+M4 2 B VGND VGND nmos w=0.420u l=0.150u
+M5 Y 2 VGND VGND nmos w=0.420u l=0.150u
 .ends OR2X2
 
diff --git a/cells/sp/XNOR2X1.sp b/cells/sp/XNOR2X1.sp
index 8feb48c..1f04d15 100644
--- a/cells/sp/XNOR2X1.sp
+++ b/cells/sp/XNOR2X1.sp
@@ -1,17 +1,17 @@
 *** Spice netlist generated by cell2spice.pl from cell file XNOR2X1.cell ***
 
-.subckt XNOR2X1 vdd gnd B A Y
-M0 1 A vdd vdd pmos w=0.840u l=0.150u
-M1 3 2 vdd vdd pmos w=0.840u l=0.150u
-M2 Y 1 3 vdd pmos w=0.840u l=0.150u
-M3 4 A Y vdd pmos w=0.840u l=0.150u
-M4 4 B vdd vdd pmos w=0.840u l=0.150u
-M5 2 B vdd vdd pmos w=0.840u l=0.150u
-M6 1 A gnd gnd nmos w=0.420u l=0.150u
-M7 5 2 gnd gnd nmos w=0.420u l=0.150u
-M8 Y A 5 gnd nmos w=0.420u l=0.150u
-M9 6 1 Y gnd nmos w=0.420u l=0.150u
-M10 6 B gnd gnd nmos w=0.420u l=0.150u
-M11 2 B gnd gnd nmos w=0.420u l=0.150u
+.subckt XNOR2X1 VPWR VGND B A Y
+M0 1 A VPWR VPWR pmos w=0.840u l=0.150u
+M1 3 2 VPWR VPWR pmos w=0.840u l=0.150u
+M2 Y 1 3 VPWR pmos w=0.840u l=0.150u
+M3 4 A Y VPWR pmos w=0.840u l=0.150u
+M4 4 B VPWR VPWR pmos w=0.840u l=0.150u
+M5 2 B VPWR VPWR pmos w=0.840u l=0.150u
+M6 1 A VGND VGND nmos w=0.420u l=0.150u
+M7 5 2 VGND VGND nmos w=0.420u l=0.150u
+M8 Y A 5 VGND nmos w=0.420u l=0.150u
+M9 6 1 Y VGND nmos w=0.420u l=0.150u
+M10 6 B VGND VGND nmos w=0.420u l=0.150u
+M11 2 B VGND VGND nmos w=0.420u l=0.150u
 .ends XNOR2X1
 
diff --git a/cells/sp/XOR2X1.sp b/cells/sp/XOR2X1.sp
index b33f108..4099227 100644
--- a/cells/sp/XOR2X1.sp
+++ b/cells/sp/XOR2X1.sp
@@ -1,17 +1,17 @@
 *** Spice netlist generated by cell2spice.pl from cell file XOR2X1.cell ***
 
-.subckt XOR2X1 vdd gnd B A Y
-M0 1 A vdd vdd pmos w=0.840u l=0.150u
-M1 3 2 vdd vdd pmos w=0.840u l=0.150u
-M2 Y A 3 vdd pmos w=0.840u l=0.150u
-M3 4 1 Y vdd pmos w=0.840u l=0.150u
-M4 4 B vdd vdd pmos w=0.840u l=0.150u
-M5 2 B vdd vdd pmos w=0.840u l=0.150u
-M6 1 A gnd gnd nmos w=0.420u l=0.150u
-M7 5 2 gnd gnd nmos w=0.420u l=0.150u
-M8 Y 1 5 gnd nmos w=0.420u l=0.150u
-M9 6 A Y gnd nmos w=0.420u l=0.150u
-M10 6 B gnd gnd nmos w=0.420u l=0.150u
-M11 2 B gnd gnd nmos w=0.420u l=0.150u
+.subckt XOR2X1 VPWR VGND B A Y
+M0 1 A VPWR VPWR pmos w=0.840u l=0.150u
+M1 3 2 VPWR VPWR pmos w=0.840u l=0.150u
+M2 Y A 3 VPWR pmos w=0.840u l=0.150u
+M3 4 1 Y VPWR pmos w=0.840u l=0.150u
+M4 4 B VPWR VPWR pmos w=0.840u l=0.150u
+M5 2 B VPWR VPWR pmos w=0.840u l=0.150u
+M6 1 A VGND VGND nmos w=0.420u l=0.150u
+M7 5 2 VGND VGND nmos w=0.420u l=0.150u
+M8 Y 1 5 VGND nmos w=0.420u l=0.150u
+M9 6 A Y VGND nmos w=0.420u l=0.150u
+M10 6 B VGND VGND nmos w=0.420u l=0.150u
+M11 2 B VGND VGND nmos w=0.420u l=0.150u
 .ends XOR2X1
 
diff --git a/cells/sp/fixup.pl b/cells/sp/fixup.pl
new file mode 100644
index 0000000..df2af34
--- /dev/null
+++ b/cells/sp/fixup.pl
@@ -0,0 +1,26 @@
+#!/usr/bin/perl -w
+use strict;
+
+sub readfile($)
+{
+  if(open MYRIN,"<$_[0]")
+  {
+    my $old=$/;
+    undef $/;
+    my $content=<MYRIN>;
+    close MYRIN;
+    $/=$old;
+    return $content;
+  }
+  return undef;
+}
+
+foreach my $sp (<*.sp>)
+{
+  my $content=readfile($sp);
+  $content=~s/\bvdd\b/VPWR/g;
+  $content=~s/\bgnd\b/VGND/g;
+  open OUT,">$sp";
+  print OUT $content;
+  close OUT;
+}
diff --git a/cells/sp/libresilicon.sp b/cells/sp/libresilicon.sp
index 5a9dc62..1254c34 100644
--- a/cells/sp/libresilicon.sp
+++ b/cells/sp/libresilicon.sp
@@ -1,777 +1,777 @@
 *** Spice netlist generated by cell2spice.pl ***
 
-.subckt AND2X1 vdd gnd B A Y
-M0 1 A vdd vdd pmos w=0.840u l=0.150u
-M1 1 B vdd vdd pmos w=0.840u l=0.150u
-M2 Y 1 vdd vdd pmos w=0.840u l=0.150u
-M3 2 A 1 gnd nmos w=0.420u l=0.150u
-M4 2 B gnd gnd nmos w=0.420u l=0.150u
-M5 Y 1 gnd gnd nmos w=0.420u l=0.150u
+.subckt AND2X1 VPWR VGND B A Y
+M0 1 A VPWR VPWR pmos w=0.840u l=0.150u
+M1 1 B VPWR VPWR pmos w=0.840u l=0.150u
+M2 Y 1 VPWR VPWR pmos w=0.840u l=0.150u
+M3 2 A 1 VGND nmos w=0.420u l=0.150u
+M4 2 B VGND VGND nmos w=0.420u l=0.150u
+M5 Y 1 VGND VGND nmos w=0.420u l=0.150u
 .ends AND2X1
 
-.subckt AND2X2 vdd gnd B A Y
-M0 1 A vdd vdd pmos w=0.840u l=0.150u
-M1 1 B vdd vdd pmos w=0.840u l=0.150u
-M2 Y 1 vdd vdd pmos w=0.840u l=0.150u
-M3 2 A 1 gnd nmos w=0.420u l=0.150u
-M4 2 B gnd gnd nmos w=0.420u l=0.150u
-M5 Y 1 gnd gnd nmos w=0.420u l=0.150u
+.subckt AND2X2 VPWR VGND B A Y
+M0 1 A VPWR VPWR pmos w=0.840u l=0.150u
+M1 1 B VPWR VPWR pmos w=0.840u l=0.150u
+M2 Y 1 VPWR VPWR pmos w=0.840u l=0.150u
+M3 2 A 1 VGND nmos w=0.420u l=0.150u
+M4 2 B VGND VGND nmos w=0.420u l=0.150u
+M5 Y 1 VGND VGND nmos w=0.420u l=0.150u
 .ends AND2X2
 
-.subckt AOI21X1 vdd gnd C B A Y
-M0 1 A vdd vdd pmos w=0.840u l=0.150u
-M1 1 B vdd vdd pmos w=0.840u l=0.150u
-M2 Y C 1 vdd pmos w=0.840u l=0.150u
-M3 2 A gnd gnd nmos w=0.420u l=0.150u
-M4 Y B 2 gnd nmos w=0.420u l=0.150u
-M5 Y C gnd gnd nmos w=0.420u l=0.150u
+.subckt AOI21X1 VPWR VGND C B A Y
+M0 1 A VPWR VPWR pmos w=0.840u l=0.150u
+M1 1 B VPWR VPWR pmos w=0.840u l=0.150u
+M2 Y C 1 VPWR pmos w=0.840u l=0.150u
+M3 2 A VGND VGND nmos w=0.420u l=0.150u
+M4 Y B 2 VGND nmos w=0.420u l=0.150u
+M5 Y C VGND VGND nmos w=0.420u l=0.150u
 .ends AOI21X1
 
-.subckt AOI22X1 vdd gnd D C B A Y
-M0 1 A vdd vdd pmos w=0.840u l=0.150u
-M1 1 B vdd vdd pmos w=0.840u l=0.150u
-M2 Y D 1 vdd pmos w=0.840u l=0.150u
-M3 1 C Y vdd pmos w=0.840u l=0.150u
-M4 2 A gnd gnd nmos w=0.420u l=0.150u
-M5 Y B 2 gnd nmos w=0.420u l=0.150u
-M6 3 D Y gnd nmos w=0.420u l=0.150u
-M7 3 C gnd gnd nmos w=0.420u l=0.150u
+.subckt AOI22X1 VPWR VGND D C B A Y
+M0 1 A VPWR VPWR pmos w=0.840u l=0.150u
+M1 1 B VPWR VPWR pmos w=0.840u l=0.150u
+M2 Y D 1 VPWR pmos w=0.840u l=0.150u
+M3 1 C Y VPWR pmos w=0.840u l=0.150u
+M4 2 A VGND VGND nmos w=0.420u l=0.150u
+M5 Y B 2 VGND nmos w=0.420u l=0.150u
+M6 3 D Y VGND nmos w=0.420u l=0.150u
+M7 3 C VGND VGND nmos w=0.420u l=0.150u
 .ends AOI22X1
 
-.subckt BUFX2 vdd gnd A Y
-M0 1 A vdd vdd pmos w=0.840u l=0.150u
-M1 Y 1 vdd vdd pmos w=0.840u l=0.150u
-M2 1 A gnd gnd nmos w=0.420u l=0.150u
-M3 Y 1 gnd gnd nmos w=0.420u l=0.150u
+.subckt BUFX2 VPWR VGND A Y
+M0 1 A VPWR VPWR pmos w=0.840u l=0.150u
+M1 Y 1 VPWR VPWR pmos w=0.840u l=0.150u
+M2 1 A VGND VGND nmos w=0.420u l=0.150u
+M3 Y 1 VGND VGND nmos w=0.420u l=0.150u
 .ends BUFX2
 
-.subckt BUFX4 vdd gnd A Y
-M0 1 A vdd vdd pmos w=0.840u l=0.150u
-M1 Y 1 vdd vdd pmos w=0.840u l=0.150u
-M2 Y 1 vdd vdd pmos w=0.840u l=0.150u
-M3 1 A gnd gnd nmos w=0.420u l=0.150u
-M4 Y 1 gnd gnd nmos w=0.420u l=0.150u
-M5 Y 1 gnd gnd nmos w=0.420u l=0.150u
+.subckt BUFX4 VPWR VGND A Y
+M0 1 A VPWR VPWR pmos w=0.840u l=0.150u
+M1 Y 1 VPWR VPWR pmos w=0.840u l=0.150u
+M2 Y 1 VPWR VPWR pmos w=0.840u l=0.150u
+M3 1 A VGND VGND nmos w=0.420u l=0.150u
+M4 Y 1 VGND VGND nmos w=0.420u l=0.150u
+M5 Y 1 VGND VGND nmos w=0.420u l=0.150u
 .ends BUFX4
 
-.subckt CLKBUF1 vdd gnd A Y
-M0 1 A vdd vdd pmos w=0.840u l=0.150u
-M1 1 A vdd vdd pmos w=0.840u l=0.150u
-M2 2 1 vdd vdd pmos w=0.840u l=0.150u
-M3 2 1 vdd vdd pmos w=0.840u l=0.150u
-M4 3 2 vdd vdd pmos w=0.840u l=0.150u
-M5 3 2 vdd vdd pmos w=0.840u l=0.150u
-M6 Y 3 vdd vdd pmos w=0.840u l=0.150u
-M7 Y 3 vdd vdd pmos w=0.840u l=0.150u
-M8 1 A gnd gnd nmos w=0.420u l=0.150u
-M9 1 A gnd gnd nmos w=0.420u l=0.150u
-M10 2 1 gnd gnd nmos w=0.420u l=0.150u
-M11 2 1 gnd gnd nmos w=0.420u l=0.150u
-M12 3 2 gnd gnd nmos w=0.420u l=0.150u
-M13 3 2 gnd gnd nmos w=0.420u l=0.150u
-M14 Y 3 gnd gnd nmos w=0.420u l=0.150u
-M15 Y 3 gnd gnd nmos w=0.420u l=0.150u
+.subckt CLKBUF1 VPWR VGND A Y
+M0 1 A VPWR VPWR pmos w=0.840u l=0.150u
+M1 1 A VPWR VPWR pmos w=0.840u l=0.150u
+M2 2 1 VPWR VPWR pmos w=0.840u l=0.150u
+M3 2 1 VPWR VPWR pmos w=0.840u l=0.150u
+M4 3 2 VPWR VPWR pmos w=0.840u l=0.150u
+M5 3 2 VPWR VPWR pmos w=0.840u l=0.150u
+M6 Y 3 VPWR VPWR pmos w=0.840u l=0.150u
+M7 Y 3 VPWR VPWR pmos w=0.840u l=0.150u
+M8 1 A VGND VGND nmos w=0.420u l=0.150u
+M9 1 A VGND VGND nmos w=0.420u l=0.150u
+M10 2 1 VGND VGND nmos w=0.420u l=0.150u
+M11 2 1 VGND VGND nmos w=0.420u l=0.150u
+M12 3 2 VGND VGND nmos w=0.420u l=0.150u
+M13 3 2 VGND VGND nmos w=0.420u l=0.150u
+M14 Y 3 VGND VGND nmos w=0.420u l=0.150u
+M15 Y 3 VGND VGND nmos w=0.420u l=0.150u
 .ends CLKBUF1
 
-.subckt CLKBUF2 vdd gnd A Y
-M0 1 A vdd vdd pmos w=0.840u l=0.150u
-M1 1 A vdd vdd pmos w=0.840u l=0.150u
-M2 2 1 vdd vdd pmos w=0.840u l=0.150u
-M3 2 1 vdd vdd pmos w=0.840u l=0.150u
-M4 3 2 vdd vdd pmos w=0.840u l=0.150u
-M5 3 2 vdd vdd pmos w=0.840u l=0.150u
-M6 4 3 vdd vdd pmos w=0.840u l=0.150u
-M7 4 3 vdd vdd pmos w=0.840u l=0.150u
-M8 5 4 vdd vdd pmos w=0.840u l=0.150u
-M9 5 4 vdd vdd pmos w=0.840u l=0.150u
-M10 Y 5 vdd vdd pmos w=0.840u l=0.150u
-M11 Y 5 vdd vdd pmos w=0.840u l=0.150u
-M12 1 A gnd gnd nmos w=0.420u l=0.150u
-M13 1 A gnd gnd nmos w=0.420u l=0.150u
-M14 2 1 gnd gnd nmos w=0.420u l=0.150u
-M15 2 1 gnd gnd nmos w=0.420u l=0.150u
-M16 3 2 gnd gnd nmos w=0.420u l=0.150u
-M17 3 2 gnd gnd nmos w=0.420u l=0.150u
-M18 4 3 gnd gnd nmos w=0.420u l=0.150u
-M19 4 3 gnd gnd nmos w=0.420u l=0.150u
-M20 5 4 gnd gnd nmos w=0.420u l=0.150u
-M21 5 4 gnd gnd nmos w=0.420u l=0.150u
-M22 Y 5 gnd gnd nmos w=0.420u l=0.150u
-M23 Y 5 gnd gnd nmos w=0.420u l=0.150u
+.subckt CLKBUF2 VPWR VGND A Y
+M0 1 A VPWR VPWR pmos w=0.840u l=0.150u
+M1 1 A VPWR VPWR pmos w=0.840u l=0.150u
+M2 2 1 VPWR VPWR pmos w=0.840u l=0.150u
+M3 2 1 VPWR VPWR pmos w=0.840u l=0.150u
+M4 3 2 VPWR VPWR pmos w=0.840u l=0.150u
+M5 3 2 VPWR VPWR pmos w=0.840u l=0.150u
+M6 4 3 VPWR VPWR pmos w=0.840u l=0.150u
+M7 4 3 VPWR VPWR pmos w=0.840u l=0.150u
+M8 5 4 VPWR VPWR pmos w=0.840u l=0.150u
+M9 5 4 VPWR VPWR pmos w=0.840u l=0.150u
+M10 Y 5 VPWR VPWR pmos w=0.840u l=0.150u
+M11 Y 5 VPWR VPWR pmos w=0.840u l=0.150u
+M12 1 A VGND VGND nmos w=0.420u l=0.150u
+M13 1 A VGND VGND nmos w=0.420u l=0.150u
+M14 2 1 VGND VGND nmos w=0.420u l=0.150u
+M15 2 1 VGND VGND nmos w=0.420u l=0.150u
+M16 3 2 VGND VGND nmos w=0.420u l=0.150u
+M17 3 2 VGND VGND nmos w=0.420u l=0.150u
+M18 4 3 VGND VGND nmos w=0.420u l=0.150u
+M19 4 3 VGND VGND nmos w=0.420u l=0.150u
+M20 5 4 VGND VGND nmos w=0.420u l=0.150u
+M21 5 4 VGND VGND nmos w=0.420u l=0.150u
+M22 Y 5 VGND VGND nmos w=0.420u l=0.150u
+M23 Y 5 VGND VGND nmos w=0.420u l=0.150u
 .ends CLKBUF2
 
-.subckt CLKBUF3 vdd gnd A Y
-M0 1 A vdd vdd pmos w=0.840u l=0.150u
-M1 1 A vdd vdd pmos w=0.840u l=0.150u
-M2 2 1 vdd vdd pmos w=0.840u l=0.150u
-M3 2 1 vdd vdd pmos w=0.840u l=0.150u
-M4 3 2 vdd vdd pmos w=0.840u l=0.150u
-M5 3 2 vdd vdd pmos w=0.840u l=0.150u
-M6 4 3 vdd vdd pmos w=0.840u l=0.150u
-M7 4 3 vdd vdd pmos w=0.840u l=0.150u
-M8 5 4 vdd vdd pmos w=0.840u l=0.150u
-M9 5 4 vdd vdd pmos w=0.840u l=0.150u
-M10 6 5 vdd vdd pmos w=0.840u l=0.150u
-M11 6 5 vdd vdd pmos w=0.840u l=0.150u
-M12 7 6 vdd vdd pmos w=0.840u l=0.150u
-M13 7 6 vdd vdd pmos w=0.840u l=0.150u
-M14 Y 7 vdd vdd pmos w=0.840u l=0.150u
-M15 Y 7 vdd vdd pmos w=0.840u l=0.150u
-M16 1 A gnd gnd nmos w=0.420u l=0.150u
-M17 1 A gnd gnd nmos w=0.420u l=0.150u
-M18 2 1 gnd gnd nmos w=0.420u l=0.150u
-M19 2 1 gnd gnd nmos w=0.420u l=0.150u
-M20 3 2 gnd gnd nmos w=0.420u l=0.150u
-M21 3 2 gnd gnd nmos w=0.420u l=0.150u
-M22 4 3 gnd gnd nmos w=0.420u l=0.150u
-M23 4 3 gnd gnd nmos w=0.420u l=0.150u
-M24 5 4 gnd gnd nmos w=0.420u l=0.150u
-M25 5 4 gnd gnd nmos w=0.420u l=0.150u
-M26 6 5 gnd gnd nmos w=0.420u l=0.150u
-M27 6 5 gnd gnd nmos w=0.420u l=0.150u
-M28 7 6 gnd gnd nmos w=0.420u l=0.150u
-M29 7 6 gnd gnd nmos w=0.420u l=0.150u
-M30 Y 7 gnd gnd nmos w=0.420u l=0.150u
-M31 Y 7 gnd gnd nmos w=0.420u l=0.150u
+.subckt CLKBUF3 VPWR VGND A Y
+M0 1 A VPWR VPWR pmos w=0.840u l=0.150u
+M1 1 A VPWR VPWR pmos w=0.840u l=0.150u
+M2 2 1 VPWR VPWR pmos w=0.840u l=0.150u
+M3 2 1 VPWR VPWR pmos w=0.840u l=0.150u
+M4 3 2 VPWR VPWR pmos w=0.840u l=0.150u
+M5 3 2 VPWR VPWR pmos w=0.840u l=0.150u
+M6 4 3 VPWR VPWR pmos w=0.840u l=0.150u
+M7 4 3 VPWR VPWR pmos w=0.840u l=0.150u
+M8 5 4 VPWR VPWR pmos w=0.840u l=0.150u
+M9 5 4 VPWR VPWR pmos w=0.840u l=0.150u
+M10 6 5 VPWR VPWR pmos w=0.840u l=0.150u
+M11 6 5 VPWR VPWR pmos w=0.840u l=0.150u
+M12 7 6 VPWR VPWR pmos w=0.840u l=0.150u
+M13 7 6 VPWR VPWR pmos w=0.840u l=0.150u
+M14 Y 7 VPWR VPWR pmos w=0.840u l=0.150u
+M15 Y 7 VPWR VPWR pmos w=0.840u l=0.150u
+M16 1 A VGND VGND nmos w=0.420u l=0.150u
+M17 1 A VGND VGND nmos w=0.420u l=0.150u
+M18 2 1 VGND VGND nmos w=0.420u l=0.150u
+M19 2 1 VGND VGND nmos w=0.420u l=0.150u
+M20 3 2 VGND VGND nmos w=0.420u l=0.150u
+M21 3 2 VGND VGND nmos w=0.420u l=0.150u
+M22 4 3 VGND VGND nmos w=0.420u l=0.150u
+M23 4 3 VGND VGND nmos w=0.420u l=0.150u
+M24 5 4 VGND VGND nmos w=0.420u l=0.150u
+M25 5 4 VGND VGND nmos w=0.420u l=0.150u
+M26 6 5 VGND VGND nmos w=0.420u l=0.150u
+M27 6 5 VGND VGND nmos w=0.420u l=0.150u
+M28 7 6 VGND VGND nmos w=0.420u l=0.150u
+M29 7 6 VGND VGND nmos w=0.420u l=0.150u
+M30 Y 7 VGND VGND nmos w=0.420u l=0.150u
+M31 Y 7 VGND VGND nmos w=0.420u l=0.150u
 .ends CLKBUF3
 
-.subckt DFFNEGX1 vdd gnd D CLK Q
-M0 1 CLK vdd vdd pmos w=0.840u l=0.150u
-M1 2 D vdd vdd pmos w=0.840u l=0.150u
-M2 3 1 2 vdd pmos w=0.840u l=0.150u
-M3 4 CLK 3 vdd pmos w=0.840u l=0.150u
-M4 4 5 vdd vdd pmos w=0.840u l=0.150u
-M5 5 3 vdd vdd pmos w=0.840u l=0.150u
-M6 6 5 vdd vdd pmos w=0.840u l=0.150u
-M7 7 CLK 6 vdd pmos w=0.840u l=0.150u
-M8 8 1 7 vdd pmos w=0.840u l=0.150u
-M9 8 Q vdd vdd pmos w=0.840u l=0.150u
-M10 1 CLK gnd gnd nmos w=0.420u l=0.150u
-M11 Q 7 vdd vdd pmos w=0.840u l=0.150u
-M12 9 D gnd gnd nmos w=0.420u l=0.150u
-M13 3 CLK 9 gnd nmos w=0.420u l=0.150u
-M14 10 1 3 gnd nmos w=0.420u l=0.150u
-M15 10 5 gnd gnd nmos w=0.420u l=0.150u
-M16 5 3 gnd gnd nmos w=0.420u l=0.150u
-M17 11 5 gnd gnd nmos w=0.420u l=0.150u
-M18 7 1 11 gnd nmos w=0.420u l=0.150u
-M19 12 CLK 7 gnd nmos w=0.420u l=0.150u
-M20 12 Q gnd gnd nmos w=0.420u l=0.150u
-M21 Q 7 gnd gnd nmos w=0.420u l=0.150u
+.subckt DFFNEGX1 VPWR VGND D CLK Q
+M0 1 CLK VPWR VPWR pmos w=0.840u l=0.150u
+M1 2 D VPWR VPWR pmos w=0.840u l=0.150u
+M2 3 1 2 VPWR pmos w=0.840u l=0.150u
+M3 4 CLK 3 VPWR pmos w=0.840u l=0.150u
+M4 4 5 VPWR VPWR pmos w=0.840u l=0.150u
+M5 5 3 VPWR VPWR pmos w=0.840u l=0.150u
+M6 6 5 VPWR VPWR pmos w=0.840u l=0.150u
+M7 7 CLK 6 VPWR pmos w=0.840u l=0.150u
+M8 8 1 7 VPWR pmos w=0.840u l=0.150u
+M9 8 Q VPWR VPWR pmos w=0.840u l=0.150u
+M10 1 CLK VGND VGND nmos w=0.420u l=0.150u
+M11 Q 7 VPWR VPWR pmos w=0.840u l=0.150u
+M12 9 D VGND VGND nmos w=0.420u l=0.150u
+M13 3 CLK 9 VGND nmos w=0.420u l=0.150u
+M14 10 1 3 VGND nmos w=0.420u l=0.150u
+M15 10 5 VGND VGND nmos w=0.420u l=0.150u
+M16 5 3 VGND VGND nmos w=0.420u l=0.150u
+M17 11 5 VGND VGND nmos w=0.420u l=0.150u
+M18 7 1 11 VGND nmos w=0.420u l=0.150u
+M19 12 CLK 7 VGND nmos w=0.420u l=0.150u
+M20 12 Q VGND VGND nmos w=0.420u l=0.150u
+M21 Q 7 VGND VGND nmos w=0.420u l=0.150u
 .ends DFFNEGX1
 
-.subckt DFFPOSX1 vdd gnd D CLK Q
-M0 1 CLK vdd vdd pmos w=0.840u l=0.150u
-M1 2 D vdd vdd pmos w=0.840u l=0.150u
-M2 3 CLK 2 vdd pmos w=0.840u l=0.150u
-M3 4 1 3 vdd pmos w=0.840u l=0.150u
-M4 4 5 vdd vdd pmos w=0.840u l=0.150u
-M5 5 3 vdd vdd pmos w=0.840u l=0.150u
-M6 6 5 vdd vdd pmos w=0.840u l=0.150u
-M7 7 1 6 vdd pmos w=0.840u l=0.150u
-M8 8 CLK 7 vdd pmos w=0.840u l=0.150u
-M9 8 Q vdd vdd pmos w=0.840u l=0.150u
-M10 1 CLK gnd gnd nmos w=0.420u l=0.150u
-M11 Q 7 vdd vdd pmos w=0.840u l=0.150u
-M12 9 D gnd gnd nmos w=0.420u l=0.150u
-M13 3 1 9 gnd nmos w=0.420u l=0.150u
-M14 10 CLK 3 gnd nmos w=0.420u l=0.150u
-M15 10 5 gnd gnd nmos w=0.420u l=0.150u
-M16 5 3 gnd gnd nmos w=0.420u l=0.150u
-M17 11 5 gnd gnd nmos w=0.420u l=0.150u
-M18 7 CLK 11 gnd nmos w=0.420u l=0.150u
-M19 12 1 7 gnd nmos w=0.420u l=0.150u
-M20 12 Q gnd gnd nmos w=0.420u l=0.150u
-M21 Q 7 gnd gnd nmos w=0.420u l=0.150u
+.subckt DFFPOSX1 VPWR VGND D CLK Q
+M0 1 CLK VPWR VPWR pmos w=0.840u l=0.150u
+M1 2 D VPWR VPWR pmos w=0.840u l=0.150u
+M2 3 CLK 2 VPWR pmos w=0.840u l=0.150u
+M3 4 1 3 VPWR pmos w=0.840u l=0.150u
+M4 4 5 VPWR VPWR pmos w=0.840u l=0.150u
+M5 5 3 VPWR VPWR pmos w=0.840u l=0.150u
+M6 6 5 VPWR VPWR pmos w=0.840u l=0.150u
+M7 7 1 6 VPWR pmos w=0.840u l=0.150u
+M8 8 CLK 7 VPWR pmos w=0.840u l=0.150u
+M9 8 Q VPWR VPWR pmos w=0.840u l=0.150u
+M10 1 CLK VGND VGND nmos w=0.420u l=0.150u
+M11 Q 7 VPWR VPWR pmos w=0.840u l=0.150u
+M12 9 D VGND VGND nmos w=0.420u l=0.150u
+M13 3 1 9 VGND nmos w=0.420u l=0.150u
+M14 10 CLK 3 VGND nmos w=0.420u l=0.150u
+M15 10 5 VGND VGND nmos w=0.420u l=0.150u
+M16 5 3 VGND VGND nmos w=0.420u l=0.150u
+M17 11 5 VGND VGND nmos w=0.420u l=0.150u
+M18 7 CLK 11 VGND nmos w=0.420u l=0.150u
+M19 12 1 7 VGND nmos w=0.420u l=0.150u
+M20 12 Q VGND VGND nmos w=0.420u l=0.150u
+M21 Q 7 VGND VGND nmos w=0.420u l=0.150u
 .ends DFFPOSX1
 
-.subckt DFFSR vdd gnd S R D CLK Q
-M0 1 R vdd vdd pmos w=0.840u l=0.150u
-M1 1 2 vdd vdd pmos w=0.840u l=0.150u
-M2 2 3 vdd vdd pmos w=0.840u l=0.150u
-M3 2 S vdd vdd pmos w=0.840u l=0.150u
-M4 3 4 1 vdd pmos w=0.840u l=0.150u
-M5 6 5 3 vdd pmos w=0.840u l=0.150u
-M6 6 D vdd vdd pmos w=0.840u l=0.150u
-M7 5 4 vdd vdd pmos w=0.840u l=0.150u
-M8 4 CLK vdd vdd pmos w=0.840u l=0.150u
-M9 7 4 2 vdd pmos w=0.840u l=0.150u
-M10 8 5 7 vdd pmos w=0.840u l=0.150u
-M11 9 7 vdd vdd pmos w=0.840u l=0.150u
-M12 9 R vdd vdd pmos w=0.840u l=0.150u
-M13 8 9 vdd vdd pmos w=0.840u l=0.150u
-M14 8 S vdd vdd pmos w=0.840u l=0.150u
-M15 Q 9 vdd vdd pmos w=0.840u l=0.150u
-M16 10 R 1 gnd nmos w=0.420u l=0.150u
-M17 10 2 gnd gnd nmos w=0.420u l=0.150u
-M18 11 3 gnd gnd nmos w=0.420u l=0.150u
-M19 2 S 11 gnd nmos w=0.420u l=0.150u
-M20 3 5 1 gnd nmos w=0.420u l=0.150u
-M21 6 4 3 gnd nmos w=0.420u l=0.150u
-M22 6 D gnd gnd nmos w=0.420u l=0.150u
-M23 5 4 gnd gnd nmos w=0.420u l=0.150u
-M24 4 CLK gnd gnd nmos w=0.420u l=0.150u
-M25 7 5 2 gnd nmos w=0.420u l=0.150u
-M26 8 4 7 gnd nmos w=0.420u l=0.150u
-M27 12 7 9 gnd nmos w=0.420u l=0.150u
-M28 12 R gnd gnd nmos w=0.420u l=0.150u
-M29 13 9 gnd gnd nmos w=0.420u l=0.150u
-M30 8 S 13 gnd nmos w=0.420u l=0.150u
-M31 Q 9 gnd gnd nmos w=0.420u l=0.150u
+.subckt DFFSR VPWR VGND S R D CLK Q
+M0 1 R VPWR VPWR pmos w=0.840u l=0.150u
+M1 1 2 VPWR VPWR pmos w=0.840u l=0.150u
+M2 2 3 VPWR VPWR pmos w=0.840u l=0.150u
+M3 2 S VPWR VPWR pmos w=0.840u l=0.150u
+M4 3 4 1 VPWR pmos w=0.840u l=0.150u
+M5 6 5 3 VPWR pmos w=0.840u l=0.150u
+M6 6 D VPWR VPWR pmos w=0.840u l=0.150u
+M7 5 4 VPWR VPWR pmos w=0.840u l=0.150u
+M8 4 CLK VPWR VPWR pmos w=0.840u l=0.150u
+M9 7 4 2 VPWR pmos w=0.840u l=0.150u
+M10 8 5 7 VPWR pmos w=0.840u l=0.150u
+M11 9 7 VPWR VPWR pmos w=0.840u l=0.150u
+M12 9 R VPWR VPWR pmos w=0.840u l=0.150u
+M13 8 9 VPWR VPWR pmos w=0.840u l=0.150u
+M14 8 S VPWR VPWR pmos w=0.840u l=0.150u
+M15 Q 9 VPWR VPWR pmos w=0.840u l=0.150u
+M16 10 R 1 VGND nmos w=0.420u l=0.150u
+M17 10 2 VGND VGND nmos w=0.420u l=0.150u
+M18 11 3 VGND VGND nmos w=0.420u l=0.150u
+M19 2 S 11 VGND nmos w=0.420u l=0.150u
+M20 3 5 1 VGND nmos w=0.420u l=0.150u
+M21 6 4 3 VGND nmos w=0.420u l=0.150u
+M22 6 D VGND VGND nmos w=0.420u l=0.150u
+M23 5 4 VGND VGND nmos w=0.420u l=0.150u
+M24 4 CLK VGND VGND nmos w=0.420u l=0.150u
+M25 7 5 2 VGND nmos w=0.420u l=0.150u
+M26 8 4 7 VGND nmos w=0.420u l=0.150u
+M27 12 7 9 VGND nmos w=0.420u l=0.150u
+M28 12 R VGND VGND nmos w=0.420u l=0.150u
+M29 13 9 VGND VGND nmos w=0.420u l=0.150u
+M30 8 S 13 VGND nmos w=0.420u l=0.150u
+M31 Q 9 VGND VGND nmos w=0.420u l=0.150u
 .ends DFFSR
 
-.subckt FAX1 vdd gnd C B A YS YC
-M0 1 A vdd vdd pmos w=0.840u l=0.150u
-M1 1 B vdd vdd pmos w=0.840u l=0.150u
-M2 2 C 1 vdd pmos w=0.840u l=0.150u
-M3 3 B 2 vdd pmos w=0.840u l=0.150u
-M4 3 A vdd vdd pmos w=0.840u l=0.150u
-M5 4 A vdd vdd pmos w=0.840u l=0.150u
-M6 4 B vdd vdd pmos w=0.840u l=0.150u
-M7 4 C vdd vdd pmos w=0.840u l=0.150u
-M8 5 2 4 vdd pmos w=0.840u l=0.150u
-M9 6 C 5 vdd pmos w=0.840u l=0.150u
-M10 7 B 6 vdd pmos w=0.840u l=0.150u
-M11 7 A vdd vdd pmos w=0.840u l=0.150u
-M12 YS 5 vdd vdd pmos w=0.840u l=0.150u
-M13 YC 2 vdd vdd pmos w=0.840u l=0.150u
-M14 8 A gnd gnd nmos w=0.420u l=0.150u
-M15 8 B gnd gnd nmos w=0.420u l=0.150u
-M16 2 C 8 gnd nmos w=0.420u l=0.150u
-M17 9 B 2 gnd nmos w=0.420u l=0.150u
-M18 9 A gnd gnd nmos w=0.420u l=0.150u
-M19 10 A gnd gnd nmos w=0.420u l=0.150u
-M20 10 B gnd gnd nmos w=0.420u l=0.150u
-M21 10 C gnd gnd nmos w=0.420u l=0.150u
-M22 5 2 10 gnd nmos w=0.420u l=0.150u
-M23 11 C 5 gnd nmos w=0.420u l=0.150u
-M24 12 B 11 gnd nmos w=0.420u l=0.150u
-M25 12 A gnd gnd nmos w=0.420u l=0.150u
-M26 YS 5 gnd gnd nmos w=0.420u l=0.150u
-M27 YC 2 gnd gnd nmos w=0.420u l=0.150u
+.subckt FAX1 VPWR VGND C B A YS YC
+M0 1 A VPWR VPWR pmos w=0.840u l=0.150u
+M1 1 B VPWR VPWR pmos w=0.840u l=0.150u
+M2 2 C 1 VPWR pmos w=0.840u l=0.150u
+M3 3 B 2 VPWR pmos w=0.840u l=0.150u
+M4 3 A VPWR VPWR pmos w=0.840u l=0.150u
+M5 4 A VPWR VPWR pmos w=0.840u l=0.150u
+M6 4 B VPWR VPWR pmos w=0.840u l=0.150u
+M7 4 C VPWR VPWR pmos w=0.840u l=0.150u
+M8 5 2 4 VPWR pmos w=0.840u l=0.150u
+M9 6 C 5 VPWR pmos w=0.840u l=0.150u
+M10 7 B 6 VPWR pmos w=0.840u l=0.150u
+M11 7 A VPWR VPWR pmos w=0.840u l=0.150u
+M12 YS 5 VPWR VPWR pmos w=0.840u l=0.150u
+M13 YC 2 VPWR VPWR pmos w=0.840u l=0.150u
+M14 8 A VGND VGND nmos w=0.420u l=0.150u
+M15 8 B VGND VGND nmos w=0.420u l=0.150u
+M16 2 C 8 VGND nmos w=0.420u l=0.150u
+M17 9 B 2 VGND nmos w=0.420u l=0.150u
+M18 9 A VGND VGND nmos w=0.420u l=0.150u
+M19 10 A VGND VGND nmos w=0.420u l=0.150u
+M20 10 B VGND VGND nmos w=0.420u l=0.150u
+M21 10 C VGND VGND nmos w=0.420u l=0.150u
+M22 5 2 10 VGND nmos w=0.420u l=0.150u
+M23 11 C 5 VGND nmos w=0.420u l=0.150u
+M24 12 B 11 VGND nmos w=0.420u l=0.150u
+M25 12 A VGND VGND nmos w=0.420u l=0.150u
+M26 YS 5 VGND VGND nmos w=0.420u l=0.150u
+M27 YC 2 VGND VGND nmos w=0.420u l=0.150u
 .ends FAX1
 
-.subckt HAX1 vdd gnd B A YS YC
-M0 1 A vdd vdd pmos w=0.840u l=0.150u
-M1 1 B vdd vdd pmos w=0.840u l=0.150u
-M2 YC 1 vdd vdd pmos w=0.840u l=0.150u
-M3 2 1 vdd vdd pmos w=0.840u l=0.150u
-M4 3 B 2 vdd pmos w=0.840u l=0.150u
-M5 3 A vdd vdd pmos w=0.840u l=0.150u
-M6 YS 2 vdd vdd pmos w=0.840u l=0.150u
-M7 4 A gnd gnd nmos w=0.420u l=0.150u
-M8 1 B 4 gnd nmos w=0.420u l=0.150u
-M9 YC 1 gnd gnd nmos w=0.420u l=0.150u
-M10 5 1 gnd gnd nmos w=0.420u l=0.150u
-M11 2 B 5 gnd nmos w=0.420u l=0.150u
-M12 5 A 2 gnd nmos w=0.420u l=0.150u
-M13 YS 2 gnd gnd nmos w=0.420u l=0.150u
+.subckt HAX1 VPWR VGND B A YS YC
+M0 1 A VPWR VPWR pmos w=0.840u l=0.150u
+M1 1 B VPWR VPWR pmos w=0.840u l=0.150u
+M2 YC 1 VPWR VPWR pmos w=0.840u l=0.150u
+M3 2 1 VPWR VPWR pmos w=0.840u l=0.150u
+M4 3 B 2 VPWR pmos w=0.840u l=0.150u
+M5 3 A VPWR VPWR pmos w=0.840u l=0.150u
+M6 YS 2 VPWR VPWR pmos w=0.840u l=0.150u
+M7 4 A VGND VGND nmos w=0.420u l=0.150u
+M8 1 B 4 VGND nmos w=0.420u l=0.150u
+M9 YC 1 VGND VGND nmos w=0.420u l=0.150u
+M10 5 1 VGND VGND nmos w=0.420u l=0.150u
+M11 2 B 5 VGND nmos w=0.420u l=0.150u
+M12 5 A 2 VGND nmos w=0.420u l=0.150u
+M13 YS 2 VGND VGND nmos w=0.420u l=0.150u
 .ends HAX1
 
-.subckt INV vdd gnd A Y
-M0 Y A vdd vdd pmos w=0.840u l=0.150u
-M1 Y A gnd gnd nmos w=0.420u l=0.150u
+.subckt INV VPWR VGND A Y
+M0 Y A VPWR VPWR pmos w=0.840u l=0.150u
+M1 Y A VGND VGND nmos w=0.420u l=0.150u
 .ends INV
 
-.subckt INVX1 vdd gnd A Y
-M0 Y A vdd vdd pmos w=0.840u l=0.150u
-M1 Y A gnd gnd nmos w=0.420u l=0.150u
+.subckt INVX1 VPWR VGND A Y
+M0 Y A VPWR VPWR pmos w=0.840u l=0.150u
+M1 Y A VGND VGND nmos w=0.420u l=0.150u
 .ends INVX1
 
-.subckt INVX2 vdd gnd A Y
-M0 Y A vdd vdd pmos w=0.840u l=0.150u
-M1 Y A gnd gnd nmos w=0.420u l=0.150u
+.subckt INVX2 VPWR VGND A Y
+M0 Y A VPWR VPWR pmos w=0.840u l=0.150u
+M1 Y A VGND VGND nmos w=0.420u l=0.150u
 .ends INVX2
 
-.subckt INVX4 vdd gnd A Y
-M0 Y A vdd vdd pmos w=0.840u l=0.150u
-M1 Y A vdd vdd pmos w=0.840u l=0.150u
-M2 Y A gnd gnd nmos w=0.420u l=0.150u
-M3 Y A gnd gnd nmos w=0.420u l=0.150u
+.subckt INVX4 VPWR VGND A Y
+M0 Y A VPWR VPWR pmos w=0.840u l=0.150u
+M1 Y A VPWR VPWR pmos w=0.840u l=0.150u
+M2 Y A VGND VGND nmos w=0.420u l=0.150u
+M3 Y A VGND VGND nmos w=0.420u l=0.150u
 .ends INVX4
 
-.subckt INVX8 vdd gnd A Y
-M0 Y A vdd vdd pmos w=0.840u l=0.150u
-M1 Y A vdd vdd pmos w=0.840u l=0.150u
-M2 Y A vdd vdd pmos w=0.840u l=0.150u
-M3 Y A vdd vdd pmos w=0.840u l=0.150u
-M4 Y A gnd gnd nmos w=0.420u l=0.150u
-M5 Y A gnd gnd nmos w=0.420u l=0.150u
-M6 Y A gnd gnd nmos w=0.420u l=0.150u
-M7 Y A gnd gnd nmos w=0.420u l=0.150u
+.subckt INVX8 VPWR VGND A Y
+M0 Y A VPWR VPWR pmos w=0.840u l=0.150u
+M1 Y A VPWR VPWR pmos w=0.840u l=0.150u
+M2 Y A VPWR VPWR pmos w=0.840u l=0.150u
+M3 Y A VPWR VPWR pmos w=0.840u l=0.150u
+M4 Y A VGND VGND nmos w=0.420u l=0.150u
+M5 Y A VGND VGND nmos w=0.420u l=0.150u
+M6 Y A VGND VGND nmos w=0.420u l=0.150u
+M7 Y A VGND VGND nmos w=0.420u l=0.150u
 .ends INVX8
 
-.subckt LATCH vdd gnd D CLK Q
-M0 1 CLK vdd vdd pmos w=0.840u l=0.150u
-M1 2 D vdd vdd pmos w=0.840u l=0.150u
-M2 3 1 2 vdd pmos w=0.840u l=0.150u
-M3 4 CLK 3 vdd pmos w=0.840u l=0.150u
-M4 4 Q vdd vdd pmos w=0.840u l=0.150u
-M5 1 CLK gnd gnd nmos w=0.420u l=0.150u
-M6 Q 3 vdd vdd pmos w=0.840u l=0.150u
-M7 5 D gnd gnd nmos w=0.420u l=0.150u
-M8 3 CLK 5 gnd nmos w=0.420u l=0.150u
-M9 6 1 3 gnd nmos w=0.420u l=0.150u
-M10 6 Q gnd gnd nmos w=0.420u l=0.150u
-M11 Q 3 gnd gnd nmos w=0.420u l=0.150u
+.subckt LATCH VPWR VGND D CLK Q
+M0 1 CLK VPWR VPWR pmos w=0.840u l=0.150u
+M1 2 D VPWR VPWR pmos w=0.840u l=0.150u
+M2 3 1 2 VPWR pmos w=0.840u l=0.150u
+M3 4 CLK 3 VPWR pmos w=0.840u l=0.150u
+M4 4 Q VPWR VPWR pmos w=0.840u l=0.150u
+M5 1 CLK VGND VGND nmos w=0.420u l=0.150u
+M6 Q 3 VPWR VPWR pmos w=0.840u l=0.150u
+M7 5 D VGND VGND nmos w=0.420u l=0.150u
+M8 3 CLK 5 VGND nmos w=0.420u l=0.150u
+M9 6 1 3 VGND nmos w=0.420u l=0.150u
+M10 6 Q VGND VGND nmos w=0.420u l=0.150u
+M11 Q 3 VGND VGND nmos w=0.420u l=0.150u
 .ends LATCH
 
-.subckt LOFTY vdd gnd asel_p asel_n bsel_p bsel_n muxsel_p muxsel_n usexor_p usexor_n usemux_p usemux_n q
-M0 q usemux_p N0 gnd nmos w=0.420u l=0.150u
-M1 q usemux_n N1 gnd nmos w=0.420u l=0.150u
-M2 N0 asel_p N00 gnd nmos w=0.420u l=0.150u
-M3 N0 asel_n N01 gnd nmos w=0.420u l=0.150u
-M4 N00 usexor_p gnd gnd nmos w=0.420u l=0.150u
-M5 N00 usexor_n N001 gnd nmos w=0.420u l=0.150u
-M6 N001 bsel_p gnd gnd nmos w=0.420u l=0.150u
-M7 N011 bsel_n gnd gnd nmos w=0.420u l=0.150u
-M8 N01 usexor_p N001 gnd nmos w=0.420u l=0.150u
-M9 N01 usexor_n N011 gnd nmos w=0.420u l=0.150u
-M10 N1 muxsel_n N001 gnd nmos w=0.420u l=0.150u
-M11 N1 muxsel_p N10 gnd nmos w=0.420u l=0.150u
-M12 N10 asel_p gnd gnd nmos w=0.420u l=0.150u
-M13 P0 usemux_n q vdd pmos w=0.840u l=0.150u
-M14 P1 usemux_p q vdd pmos w=0.840u l=0.150u
-M15 P00 asel_n P0 vdd pmos w=0.840u l=0.150u
-M16 P01 asel_p P0 vdd pmos w=0.840u l=0.150u
-M17 P001 usexor_p P00 vdd pmos w=0.840u l=0.150u
-M18 vcc bsel_p P001 vdd pmos w=0.840u l=0.150u
-M19 P001 usexor_n P01 vdd pmos w=0.840u l=0.150u
-M20 P011 usexor_p P01 vdd pmos w=0.840u l=0.150u
-M21 vcc bsel_n P011 vdd pmos w=0.840u l=0.150u
-M22 P001 muxsel_p P1 vdd pmos w=0.840u l=0.150u
-M23 P10 muxsel_n P1 vdd pmos w=0.840u l=0.150u
-M24 vcc asel_p P10 vdd pmos w=0.840u l=0.150u
+.subckt LOFTY VPWR VGND asel_p asel_n bsel_p bsel_n muxsel_p muxsel_n usexor_p usexor_n usemux_p usemux_n q
+M0 q usemux_p N0 VGND nmos w=0.420u l=0.150u
+M1 q usemux_n N1 VGND nmos w=0.420u l=0.150u
+M2 N0 asel_p N00 VGND nmos w=0.420u l=0.150u
+M3 N0 asel_n N01 VGND nmos w=0.420u l=0.150u
+M4 N00 usexor_p VGND VGND nmos w=0.420u l=0.150u
+M5 N00 usexor_n N001 VGND nmos w=0.420u l=0.150u
+M6 N001 bsel_p VGND VGND nmos w=0.420u l=0.150u
+M7 N011 bsel_n VGND VGND nmos w=0.420u l=0.150u
+M8 N01 usexor_p N001 VGND nmos w=0.420u l=0.150u
+M9 N01 usexor_n N011 VGND nmos w=0.420u l=0.150u
+M10 N1 muxsel_n N001 VGND nmos w=0.420u l=0.150u
+M11 N1 muxsel_p N10 VGND nmos w=0.420u l=0.150u
+M12 N10 asel_p VGND VGND nmos w=0.420u l=0.150u
+M13 P0 usemux_n q VPWR pmos w=0.840u l=0.150u
+M14 P1 usemux_p q VPWR pmos w=0.840u l=0.150u
+M15 P00 asel_n P0 VPWR pmos w=0.840u l=0.150u
+M16 P01 asel_p P0 VPWR pmos w=0.840u l=0.150u
+M17 P001 usexor_p P00 VPWR pmos w=0.840u l=0.150u
+M18 vcc bsel_p P001 VPWR pmos w=0.840u l=0.150u
+M19 P001 usexor_n P01 VPWR pmos w=0.840u l=0.150u
+M20 P011 usexor_p P01 VPWR pmos w=0.840u l=0.150u
+M21 vcc bsel_n P011 VPWR pmos w=0.840u l=0.150u
+M22 P001 muxsel_p P1 VPWR pmos w=0.840u l=0.150u
+M23 P10 muxsel_n P1 VPWR pmos w=0.840u l=0.150u
+M24 vcc asel_p P10 VPWR pmos w=0.840u l=0.150u
 .ends LOFTY
 
-.subckt LOFTY2 vdd gnd usemux_p muxsel_p asel_p usexor_p bsel_p usemux_n muxsel_n asel_n usexor_n bsel_n q
-M0 usemux_n usemux_p vdd vdd pmos w=0.840u l=0.150u
-M1 usemux_n usemux_p gnd gnd nmos w=0.420u l=0.150u
-M2 muxsel_n muxsel_p vdd vdd pmos w=0.840u l=0.150u
-M3 muxsel_n muxsel_p gnd gnd nmos w=0.420u l=0.150u
-M4 asel_n asel_p vdd vdd pmos w=0.840u l=0.150u
-M5 asel_n asel_p gnd gnd nmos w=0.420u l=0.150u
-M6 usexor_n usexor_p vdd vdd pmos w=0.840u l=0.150u
-M7 usexor_n usexor_p gnd gnd nmos w=0.420u l=0.150u
-M8 bsel_n bsel_p vdd vdd pmos w=0.840u l=0.150u
-M9 bsel_n bsel_p gnd gnd nmos w=0.420u l=0.150u
-M10 q usemux_n usemux0n gnd nmos w=0.420u l=0.150u
-M11 usemux0p usemux_p q vdd pmos w=0.840u l=0.150u
-M12 q usemux_p usemux1n gnd nmos w=0.420u l=0.150u
-M13 usemux1p usemux_n q vdd pmos w=0.840u l=0.150u
-M14 usemux1n muxsel_p usemux1muxsel1n gnd nmos w=0.420u l=0.150u
-M15 usemux1muxsel1p muxsel_n usemux1p vdd pmos w=0.840u l=0.150u
-M16 usemux1n muxsel_n usemux1muxsel0n gnd nmos w=0.420u l=0.150u
-M17 usemux1muxsel0p muxsel_p usemux1p vdd pmos w=0.840u l=0.150u
-M18 usemux1muxsel0n asel_n gnd gnd nmos w=0.420u l=0.150u
-M19 vdd asel_n usemux1muxsel0p vdd pmos w=0.840u l=0.150u
-M20 usemux1muxsel1n bsel_n gnd gnd nmos w=0.420u l=0.150u
-M21 vdd bsel_n usemux1muxsel1p vdd pmos w=0.840u l=0.150u
-M22 usemux0n asel_n usemux0asel0n gnd nmos w=0.420u l=0.150u
-M23 usemux0asel0p asel_p usemux0p vdd pmos w=0.840u l=0.150u
-M24 usemux0n asel_p usemux0asel1n gnd nmos w=0.420u l=0.150u
-M25 usemux0asel1p asel_n usemux0p vdd pmos w=0.840u l=0.150u
-M26 usemux0asel1n usexor_n usemux1muxsel1n gnd nmos w=0.420u l=0.150u
-M27 usemux1muxsel1p usexor_p usemux0asel1p vdd pmos w=0.840u l=0.150u
-M28 usemux0asel1n usexor_p usemux1muxsel1usexor1n gnd nmos w=0.420u l=0.150u
-M29 usemux1muxsel1usexor1p usexor_n usemux0asel1p vdd pmos w=0.840u l=0.150u
-M30 usemux1muxsel1usexor1n bsel_p gnd gnd nmos w=0.420u l=0.150u
-M31 vdd bsel_p usemux1muxsel1usexor1p vdd pmos w=0.840u l=0.150u
-M32 usemux0asel0n usexor_n gnd gnd nmos w=0.420u l=0.150u
-M33 usemux0asel0n usexor_p usemux1muxsel1n gnd nmos w=0.420u l=0.150u
-M34 usemux1muxsel1p usexor_n usemux0asel0p vdd pmos w=0.840u l=0.150u
+.subckt LOFTY2 VPWR VGND usemux_p muxsel_p asel_p usexor_p bsel_p usemux_n muxsel_n asel_n usexor_n bsel_n q
+M0 usemux_n usemux_p VPWR VPWR pmos w=0.840u l=0.150u
+M1 usemux_n usemux_p VGND VGND nmos w=0.420u l=0.150u
+M2 muxsel_n muxsel_p VPWR VPWR pmos w=0.840u l=0.150u
+M3 muxsel_n muxsel_p VGND VGND nmos w=0.420u l=0.150u
+M4 asel_n asel_p VPWR VPWR pmos w=0.840u l=0.150u
+M5 asel_n asel_p VGND VGND nmos w=0.420u l=0.150u
+M6 usexor_n usexor_p VPWR VPWR pmos w=0.840u l=0.150u
+M7 usexor_n usexor_p VGND VGND nmos w=0.420u l=0.150u
+M8 bsel_n bsel_p VPWR VPWR pmos w=0.840u l=0.150u
+M9 bsel_n bsel_p VGND VGND nmos w=0.420u l=0.150u
+M10 q usemux_n usemux0n VGND nmos w=0.420u l=0.150u
+M11 usemux0p usemux_p q VPWR pmos w=0.840u l=0.150u
+M12 q usemux_p usemux1n VGND nmos w=0.420u l=0.150u
+M13 usemux1p usemux_n q VPWR pmos w=0.840u l=0.150u
+M14 usemux1n muxsel_p usemux1muxsel1n VGND nmos w=0.420u l=0.150u
+M15 usemux1muxsel1p muxsel_n usemux1p VPWR pmos w=0.840u l=0.150u
+M16 usemux1n muxsel_n usemux1muxsel0n VGND nmos w=0.420u l=0.150u
+M17 usemux1muxsel0p muxsel_p usemux1p VPWR pmos w=0.840u l=0.150u
+M18 usemux1muxsel0n asel_n VGND VGND nmos w=0.420u l=0.150u
+M19 VPWR asel_n usemux1muxsel0p VPWR pmos w=0.840u l=0.150u
+M20 usemux1muxsel1n bsel_n VGND VGND nmos w=0.420u l=0.150u
+M21 VPWR bsel_n usemux1muxsel1p VPWR pmos w=0.840u l=0.150u
+M22 usemux0n asel_n usemux0asel0n VGND nmos w=0.420u l=0.150u
+M23 usemux0asel0p asel_p usemux0p VPWR pmos w=0.840u l=0.150u
+M24 usemux0n asel_p usemux0asel1n VGND nmos w=0.420u l=0.150u
+M25 usemux0asel1p asel_n usemux0p VPWR pmos w=0.840u l=0.150u
+M26 usemux0asel1n usexor_n usemux1muxsel1n VGND nmos w=0.420u l=0.150u
+M27 usemux1muxsel1p usexor_p usemux0asel1p VPWR pmos w=0.840u l=0.150u
+M28 usemux0asel1n usexor_p usemux1muxsel1usexor1n VGND nmos w=0.420u l=0.150u
+M29 usemux1muxsel1usexor1p usexor_n usemux0asel1p VPWR pmos w=0.840u l=0.150u
+M30 usemux1muxsel1usexor1n bsel_p VGND VGND nmos w=0.420u l=0.150u
+M31 VPWR bsel_p usemux1muxsel1usexor1p VPWR pmos w=0.840u l=0.150u
+M32 usemux0asel0n usexor_n VGND VGND nmos w=0.420u l=0.150u
+M33 usemux0asel0n usexor_p usemux1muxsel1n VGND nmos w=0.420u l=0.150u
+M34 usemux1muxsel1p usexor_n usemux0asel0p VPWR pmos w=0.840u l=0.150u
 .ends LOFTY2
 
-.subckt MUX2X1 vdd gnd S B A Y
-M0 1 S vdd vdd pmos w=0.840u l=0.150u
-M1 2 B vdd vdd pmos w=0.840u l=0.150u
-M2 Y S 2 vdd pmos w=0.840u l=0.150u
-M3 3 1 Y vdd pmos w=0.840u l=0.150u
-M4 3 A vdd vdd pmos w=0.840u l=0.150u
-M5 1 S gnd gnd nmos w=0.420u l=0.150u
-M6 4 B gnd gnd nmos w=0.420u l=0.150u
-M7 Y 1 4 gnd nmos w=0.420u l=0.150u
-M8 5 S Y gnd nmos w=0.420u l=0.150u
-M9 5 A gnd gnd nmos w=0.420u l=0.150u
+.subckt MUX2X1 VPWR VGND S B A Y
+M0 1 S VPWR VPWR pmos w=0.840u l=0.150u
+M1 2 B VPWR VPWR pmos w=0.840u l=0.150u
+M2 Y S 2 VPWR pmos w=0.840u l=0.150u
+M3 3 1 Y VPWR pmos w=0.840u l=0.150u
+M4 3 A VPWR VPWR pmos w=0.840u l=0.150u
+M5 1 S VGND VGND nmos w=0.420u l=0.150u
+M6 4 B VGND VGND nmos w=0.420u l=0.150u
+M7 Y 1 4 VGND nmos w=0.420u l=0.150u
+M8 5 S Y VGND nmos w=0.420u l=0.150u
+M9 5 A VGND VGND nmos w=0.420u l=0.150u
 .ends MUX2X1
 
-.subckt NAND2X1 vdd gnd B A Y
-M0 Y A vdd vdd pmos w=0.840u l=0.150u
-M1 Y B vdd vdd pmos w=0.840u l=0.150u
-M2 1 A gnd gnd nmos w=0.420u l=0.150u
-M3 Y B 1 gnd nmos w=0.420u l=0.150u
+.subckt NAND2X1 VPWR VGND B A Y
+M0 Y A VPWR VPWR pmos w=0.840u l=0.150u
+M1 Y B VPWR VPWR pmos w=0.840u l=0.150u
+M2 1 A VGND VGND nmos w=0.420u l=0.150u
+M3 Y B 1 VGND nmos w=0.420u l=0.150u
 .ends NAND2X1
 
-.subckt NAND3X1 vdd gnd C B A Y
-M0 Y A vdd vdd pmos w=0.840u l=0.150u
-M1 Y B vdd vdd pmos w=0.840u l=0.150u
-M2 Y C vdd vdd pmos w=0.840u l=0.150u
-M3 1 A gnd gnd nmos w=0.420u l=0.150u
-M4 2 B 1 gnd nmos w=0.420u l=0.150u
-M5 Y C 2 gnd nmos w=0.420u l=0.150u
+.subckt NAND3X1 VPWR VGND C B A Y
+M0 Y A VPWR VPWR pmos w=0.840u l=0.150u
+M1 Y B VPWR VPWR pmos w=0.840u l=0.150u
+M2 Y C VPWR VPWR pmos w=0.840u l=0.150u
+M3 1 A VGND VGND nmos w=0.420u l=0.150u
+M4 2 B 1 VGND nmos w=0.420u l=0.150u
+M5 Y C 2 VGND nmos w=0.420u l=0.150u
 .ends NAND3X1
 
-.subckt NOR2X1 vdd gnd B A Y
-M0 1 A vdd vdd pmos w=0.840u l=0.150u
-M1 Y B 1 vdd pmos w=0.840u l=0.150u
-M2 Y A gnd gnd nmos w=0.420u l=0.150u
-M3 Y B gnd gnd nmos w=0.420u l=0.150u
+.subckt NOR2X1 VPWR VGND B A Y
+M0 1 A VPWR VPWR pmos w=0.840u l=0.150u
+M1 Y B 1 VPWR pmos w=0.840u l=0.150u
+M2 Y A VGND VGND nmos w=0.420u l=0.150u
+M3 Y B VGND VGND nmos w=0.420u l=0.150u
 .ends NOR2X1
 
-.subckt NOR3X1 vdd gnd C B A Y
-M0 1 A vdd vdd pmos w=0.840u l=0.150u
-M1 1 A vdd vdd pmos w=0.840u l=0.150u
-M2 2 B 1 vdd pmos w=0.840u l=0.150u
-M3 1 B 2 vdd pmos w=0.840u l=0.150u
-M4 Y C 2 vdd pmos w=0.840u l=0.150u
-M5 2 C Y vdd pmos w=0.840u l=0.150u
-M6 Y A gnd gnd nmos w=0.420u l=0.150u
-M7 Y B gnd gnd nmos w=0.420u l=0.150u
-M8 Y C gnd gnd nmos w=0.420u l=0.150u
+.subckt NOR3X1 VPWR VGND C B A Y
+M0 1 A VPWR VPWR pmos w=0.840u l=0.150u
+M1 1 A VPWR VPWR pmos w=0.840u l=0.150u
+M2 2 B 1 VPWR pmos w=0.840u l=0.150u
+M3 1 B 2 VPWR pmos w=0.840u l=0.150u
+M4 Y C 2 VPWR pmos w=0.840u l=0.150u
+M5 2 C Y VPWR pmos w=0.840u l=0.150u
+M6 Y A VGND VGND nmos w=0.420u l=0.150u
+M7 Y B VGND VGND nmos w=0.420u l=0.150u
+M8 Y C VGND VGND nmos w=0.420u l=0.150u
 .ends NOR3X1
 
-.subckt OAI21X1 vdd gnd C B A Y
-M0 1 A vdd vdd pmos w=0.840u l=0.150u
-M1 Y B 1 vdd pmos w=0.840u l=0.150u
-M2 Y C vdd vdd pmos w=0.840u l=0.150u
-M3 2 A gnd gnd nmos w=0.420u l=0.150u
-M4 2 B gnd gnd nmos w=0.420u l=0.150u
-M5 Y C 2 gnd nmos w=0.420u l=0.150u
+.subckt OAI21X1 VPWR VGND C B A Y
+M0 1 A VPWR VPWR pmos w=0.840u l=0.150u
+M1 Y B 1 VPWR pmos w=0.840u l=0.150u
+M2 Y C VPWR VPWR pmos w=0.840u l=0.150u
+M3 2 A VGND VGND nmos w=0.420u l=0.150u
+M4 2 B VGND VGND nmos w=0.420u l=0.150u
+M5 Y C 2 VGND nmos w=0.420u l=0.150u
 .ends OAI21X1
 
-.subckt OAI22X1 vdd gnd D C B A Y
-M0 1 A vdd vdd pmos w=0.840u l=0.150u
-M1 Y B 1 vdd pmos w=0.840u l=0.150u
-M2 2 D Y vdd pmos w=0.840u l=0.150u
-M3 2 C vdd vdd pmos w=0.840u l=0.150u
-M4 3 A gnd gnd nmos w=0.420u l=0.150u
-M5 3 B gnd gnd nmos w=0.420u l=0.150u
-M6 Y D 3 gnd nmos w=0.420u l=0.150u
-M7 3 C Y gnd nmos w=0.420u l=0.150u
+.subckt OAI22X1 VPWR VGND D C B A Y
+M0 1 A VPWR VPWR pmos w=0.840u l=0.150u
+M1 Y B 1 VPWR pmos w=0.840u l=0.150u
+M2 2 D Y VPWR pmos w=0.840u l=0.150u
+M3 2 C VPWR VPWR pmos w=0.840u l=0.150u
+M4 3 A VGND VGND nmos w=0.420u l=0.150u
+M5 3 B VGND VGND nmos w=0.420u l=0.150u
+M6 Y D 3 VGND nmos w=0.420u l=0.150u
+M7 3 C Y VGND nmos w=0.420u l=0.150u
 .ends OAI22X1
 
-.subckt OR2X1 vdd gnd B A Y
-M0 1 A 2 vdd pmos w=0.840u l=0.150u
-M1 1 B vdd vdd pmos w=0.840u l=0.150u
-M2 Y 2 vdd vdd pmos w=0.840u l=0.150u
-M3 2 A gnd gnd nmos w=0.420u l=0.150u
-M4 2 B gnd gnd nmos w=0.420u l=0.150u
-M5 Y 2 gnd gnd nmos w=0.420u l=0.150u
+.subckt OR2X1 VPWR VGND B A Y
+M0 1 A 2 VPWR pmos w=0.840u l=0.150u
+M1 1 B VPWR VPWR pmos w=0.840u l=0.150u
+M2 Y 2 VPWR VPWR pmos w=0.840u l=0.150u
+M3 2 A VGND VGND nmos w=0.420u l=0.150u
+M4 2 B VGND VGND nmos w=0.420u l=0.150u
+M5 Y 2 VGND VGND nmos w=0.420u l=0.150u
 .ends OR2X1
 
-.subckt OR2X2 vdd gnd B A Y
-M0 1 A 2 vdd pmos w=0.840u l=0.150u
-M1 1 B vdd vdd pmos w=0.840u l=0.150u
-M2 Y 2 vdd vdd pmos w=0.840u l=0.150u
-M3 2 A gnd gnd nmos w=0.420u l=0.150u
-M4 2 B gnd gnd nmos w=0.420u l=0.150u
-M5 Y 2 gnd gnd nmos w=0.420u l=0.150u
+.subckt OR2X2 VPWR VGND B A Y
+M0 1 A 2 VPWR pmos w=0.840u l=0.150u
+M1 1 B VPWR VPWR pmos w=0.840u l=0.150u
+M2 Y 2 VPWR VPWR pmos w=0.840u l=0.150u
+M3 2 A VGND VGND nmos w=0.420u l=0.150u
+M4 2 B VGND VGND nmos w=0.420u l=0.150u
+M5 Y 2 VGND VGND nmos w=0.420u l=0.150u
 .ends OR2X2
 
-.subckt PADINC vdd gnd DI YPAD
-M0 vdd2 1 YPAD vdd pmos w=0.840u l=0.150u
-M1 YPAD 1 vdd2 vdd pmos w=0.840u l=0.150u
-M2 vdd2 1 YPAD vdd pmos w=0.840u l=0.150u
-M3 YPAD 1 vdd2 vdd pmos w=0.840u l=0.150u
-M4 vdd2 1 YPAD vdd pmos w=0.840u l=0.150u
-M5 YPAD 1 vdd2 vdd pmos w=0.840u l=0.150u
-M6 vdd2 1 YPAD vdd pmos w=0.840u l=0.150u
-M7 YPAD 1 vdd2 vdd pmos w=0.840u l=0.150u
-M8 vdd2 1 YPAD vdd pmos w=0.840u l=0.150u
-M9 YPAD 1 vdd2 vdd pmos w=0.840u l=0.150u
-M10 vdd2 1 YPAD vdd pmos w=0.840u l=0.150u
-M11 YPAD 1 vdd2 vdd pmos w=0.840u l=0.150u
-M12 2 gnd2 gnd gnd nmos w=0.420u l=0.150u
-M13 3 2 gnd gnd nmos w=0.420u l=0.150u
-M14 4 gnd2 gnd gnd nmos w=0.420u l=0.150u
-M15 4 gnd2 gnd gnd nmos w=0.420u l=0.150u
-M16 4 gnd2 gnd gnd nmos w=0.420u l=0.150u
-M17 4 gnd2 gnd gnd nmos w=0.420u l=0.150u
-M18 4 gnd2 gnd gnd nmos w=0.420u l=0.150u
-M19 4 2 gnd gnd nmos w=0.420u l=0.150u
-M20 4 2 gnd gnd nmos w=0.420u l=0.150u
-M21 4 2 gnd gnd nmos w=0.420u l=0.150u
-M22 4 2 gnd gnd nmos w=0.420u l=0.150u
-M23 4 2 gnd gnd nmos w=0.420u l=0.150u
-M24 1 3 4 gnd nmos w=0.420u l=0.150u
-M25 4 3 1 gnd nmos w=0.420u l=0.150u
-M26 1 3 4 gnd nmos w=0.420u l=0.150u
-M27 4 3 1 gnd nmos w=0.420u l=0.150u
-M28 6 5 gnd gnd nmos w=0.420u l=0.150u
-M29 6 5 gnd gnd nmos w=0.420u l=0.150u
-M30 6 5 gnd gnd nmos w=0.420u l=0.150u
-M31 6 5 gnd gnd nmos w=0.420u l=0.150u
-M32 6 5 gnd gnd nmos w=0.420u l=0.150u
-M33 6 5 gnd gnd nmos w=0.420u l=0.150u
-M34 DI 6 gnd gnd nmos w=0.420u l=0.150u
-M35 DI 6 gnd gnd nmos w=0.420u l=0.150u
-M36 DI 6 gnd gnd nmos w=0.420u l=0.150u
-M37 DI 6 gnd gnd nmos w=0.420u l=0.150u
-M38 DI 6 gnd gnd nmos w=0.420u l=0.150u
-M39 DI 6 gnd gnd nmos w=0.420u l=0.150u
-M40 2 gnd2 vdd vdd pmos w=0.840u l=0.150u
-M41 3 2 vdd vdd pmos w=0.840u l=0.150u
-M42 1 gnd2 vdd vdd pmos w=0.840u l=0.150u
-M43 1 gnd2 vdd vdd pmos w=0.840u l=0.150u
-M44 1 gnd2 vdd vdd pmos w=0.840u l=0.150u
-M45 1 gnd2 vdd vdd pmos w=0.840u l=0.150u
-M46 1 gnd2 vdd vdd pmos w=0.840u l=0.150u
-M47 1 3 vdd vdd pmos w=0.840u l=0.150u
-M48 1 3 vdd vdd pmos w=0.840u l=0.150u
-M49 1 3 vdd vdd pmos w=0.840u l=0.150u
-M50 1 3 vdd vdd pmos w=0.840u l=0.150u
-M51 1 3 vdd vdd pmos w=0.840u l=0.150u
-M52 4 2 1 vdd pmos w=0.840u l=0.150u
-M53 1 2 4 vdd pmos w=0.840u l=0.150u
-M54 4 2 1 vdd pmos w=0.840u l=0.150u
-M55 1 2 4 vdd pmos w=0.840u l=0.150u
-M56 6 5 vdd vdd pmos w=0.840u l=0.150u
-M57 6 5 vdd vdd pmos w=0.840u l=0.150u
-M58 6 5 vdd vdd pmos w=0.840u l=0.150u
-M59 6 5 vdd vdd pmos w=0.840u l=0.150u
-M60 6 5 vdd vdd pmos w=0.840u l=0.150u
-M61 6 5 vdd vdd pmos w=0.840u l=0.150u
-M62 DI 6 vdd vdd pmos w=0.840u l=0.150u
-M63 DI 6 vdd vdd pmos w=0.840u l=0.150u
-M64 DI 6 vdd vdd pmos w=0.840u l=0.150u
-M65 DI 6 vdd vdd pmos w=0.840u l=0.150u
-M66 DI 6 vdd vdd pmos w=0.840u l=0.150u
-M67 DI 6 vdd vdd pmos w=0.840u l=0.150u
-M68 gnd2 4 YPAD gnd nmos w=0.420u l=0.150u
-M69 YPAD 4 gnd2 gnd nmos w=0.420u l=0.150u
-M70 gnd2 4 YPAD gnd nmos w=0.420u l=0.150u
-M71 YPAD 4 gnd2 gnd nmos w=0.420u l=0.150u
-M72 gnd2 4 YPAD gnd nmos w=0.420u l=0.150u
-M73 YPAD 4 gnd2 gnd nmos w=0.420u l=0.150u
-M74 gnd2 4 YPAD gnd nmos w=0.420u l=0.150u
-M75 YPAD 4 gnd2 gnd nmos w=0.420u l=0.150u
-M76 gnd2 4 YPAD gnd nmos w=0.420u l=0.150u
-M77 YPAD 4 gnd2 gnd nmos w=0.420u l=0.150u
-M78 gnd2 4 YPAD gnd nmos w=0.420u l=0.150u
-M79 YPAD 4 gnd2 gnd nmos w=0.420u l=0.150u
+.subckt PADINC VPWR VGND DI YPAD
+M0 vdd2 1 YPAD VPWR pmos w=0.840u l=0.150u
+M1 YPAD 1 vdd2 VPWR pmos w=0.840u l=0.150u
+M2 vdd2 1 YPAD VPWR pmos w=0.840u l=0.150u
+M3 YPAD 1 vdd2 VPWR pmos w=0.840u l=0.150u
+M4 vdd2 1 YPAD VPWR pmos w=0.840u l=0.150u
+M5 YPAD 1 vdd2 VPWR pmos w=0.840u l=0.150u
+M6 vdd2 1 YPAD VPWR pmos w=0.840u l=0.150u
+M7 YPAD 1 vdd2 VPWR pmos w=0.840u l=0.150u
+M8 vdd2 1 YPAD VPWR pmos w=0.840u l=0.150u
+M9 YPAD 1 vdd2 VPWR pmos w=0.840u l=0.150u
+M10 vdd2 1 YPAD VPWR pmos w=0.840u l=0.150u
+M11 YPAD 1 vdd2 VPWR pmos w=0.840u l=0.150u
+M12 2 gnd2 VGND VGND nmos w=0.420u l=0.150u
+M13 3 2 VGND VGND nmos w=0.420u l=0.150u
+M14 4 gnd2 VGND VGND nmos w=0.420u l=0.150u
+M15 4 gnd2 VGND VGND nmos w=0.420u l=0.150u
+M16 4 gnd2 VGND VGND nmos w=0.420u l=0.150u
+M17 4 gnd2 VGND VGND nmos w=0.420u l=0.150u
+M18 4 gnd2 VGND VGND nmos w=0.420u l=0.150u
+M19 4 2 VGND VGND nmos w=0.420u l=0.150u
+M20 4 2 VGND VGND nmos w=0.420u l=0.150u
+M21 4 2 VGND VGND nmos w=0.420u l=0.150u
+M22 4 2 VGND VGND nmos w=0.420u l=0.150u
+M23 4 2 VGND VGND nmos w=0.420u l=0.150u
+M24 1 3 4 VGND nmos w=0.420u l=0.150u
+M25 4 3 1 VGND nmos w=0.420u l=0.150u
+M26 1 3 4 VGND nmos w=0.420u l=0.150u
+M27 4 3 1 VGND nmos w=0.420u l=0.150u
+M28 6 5 VGND VGND nmos w=0.420u l=0.150u
+M29 6 5 VGND VGND nmos w=0.420u l=0.150u
+M30 6 5 VGND VGND nmos w=0.420u l=0.150u
+M31 6 5 VGND VGND nmos w=0.420u l=0.150u
+M32 6 5 VGND VGND nmos w=0.420u l=0.150u
+M33 6 5 VGND VGND nmos w=0.420u l=0.150u
+M34 DI 6 VGND VGND nmos w=0.420u l=0.150u
+M35 DI 6 VGND VGND nmos w=0.420u l=0.150u
+M36 DI 6 VGND VGND nmos w=0.420u l=0.150u
+M37 DI 6 VGND VGND nmos w=0.420u l=0.150u
+M38 DI 6 VGND VGND nmos w=0.420u l=0.150u
+M39 DI 6 VGND VGND nmos w=0.420u l=0.150u
+M40 2 gnd2 VPWR VPWR pmos w=0.840u l=0.150u
+M41 3 2 VPWR VPWR pmos w=0.840u l=0.150u
+M42 1 gnd2 VPWR VPWR pmos w=0.840u l=0.150u
+M43 1 gnd2 VPWR VPWR pmos w=0.840u l=0.150u
+M44 1 gnd2 VPWR VPWR pmos w=0.840u l=0.150u
+M45 1 gnd2 VPWR VPWR pmos w=0.840u l=0.150u
+M46 1 gnd2 VPWR VPWR pmos w=0.840u l=0.150u
+M47 1 3 VPWR VPWR pmos w=0.840u l=0.150u
+M48 1 3 VPWR VPWR pmos w=0.840u l=0.150u
+M49 1 3 VPWR VPWR pmos w=0.840u l=0.150u
+M50 1 3 VPWR VPWR pmos w=0.840u l=0.150u
+M51 1 3 VPWR VPWR pmos w=0.840u l=0.150u
+M52 4 2 1 VPWR pmos w=0.840u l=0.150u
+M53 1 2 4 VPWR pmos w=0.840u l=0.150u
+M54 4 2 1 VPWR pmos w=0.840u l=0.150u
+M55 1 2 4 VPWR pmos w=0.840u l=0.150u
+M56 6 5 VPWR VPWR pmos w=0.840u l=0.150u
+M57 6 5 VPWR VPWR pmos w=0.840u l=0.150u
+M58 6 5 VPWR VPWR pmos w=0.840u l=0.150u
+M59 6 5 VPWR VPWR pmos w=0.840u l=0.150u
+M60 6 5 VPWR VPWR pmos w=0.840u l=0.150u
+M61 6 5 VPWR VPWR pmos w=0.840u l=0.150u
+M62 DI 6 VPWR VPWR pmos w=0.840u l=0.150u
+M63 DI 6 VPWR VPWR pmos w=0.840u l=0.150u
+M64 DI 6 VPWR VPWR pmos w=0.840u l=0.150u
+M65 DI 6 VPWR VPWR pmos w=0.840u l=0.150u
+M66 DI 6 VPWR VPWR pmos w=0.840u l=0.150u
+M67 DI 6 VPWR VPWR pmos w=0.840u l=0.150u
+M68 gnd2 4 YPAD VGND nmos w=0.420u l=0.150u
+M69 YPAD 4 gnd2 VGND nmos w=0.420u l=0.150u
+M70 gnd2 4 YPAD VGND nmos w=0.420u l=0.150u
+M71 YPAD 4 gnd2 VGND nmos w=0.420u l=0.150u
+M72 gnd2 4 YPAD VGND nmos w=0.420u l=0.150u
+M73 YPAD 4 gnd2 VGND nmos w=0.420u l=0.150u
+M74 gnd2 4 YPAD VGND nmos w=0.420u l=0.150u
+M75 YPAD 4 gnd2 VGND nmos w=0.420u l=0.150u
+M76 gnd2 4 YPAD VGND nmos w=0.420u l=0.150u
+M77 YPAD 4 gnd2 VGND nmos w=0.420u l=0.150u
+M78 gnd2 4 YPAD VGND nmos w=0.420u l=0.150u
+M79 YPAD 4 gnd2 VGND nmos w=0.420u l=0.150u
 R80 YPAD 5 100
 R81 5 YPAD 100
 .ends PADINC
 
-.subckt PADINOUT vdd gnd OEN DI YPAD DO
-M0 vdd2 1 YPAD vdd pmos w=0.840u l=0.150u
-M1 YPAD 1 vdd2 vdd pmos w=0.840u l=0.150u
-M2 vdd2 1 YPAD vdd pmos w=0.840u l=0.150u
-M3 YPAD 1 vdd2 vdd pmos w=0.840u l=0.150u
-M4 vdd2 1 YPAD vdd pmos w=0.840u l=0.150u
-M5 YPAD 1 vdd2 vdd pmos w=0.840u l=0.150u
-M6 vdd2 1 YPAD vdd pmos w=0.840u l=0.150u
-M7 YPAD 1 vdd2 vdd pmos w=0.840u l=0.150u
-M8 vdd2 1 YPAD vdd pmos w=0.840u l=0.150u
-M9 YPAD 1 vdd2 vdd pmos w=0.840u l=0.150u
-M10 vdd2 1 YPAD vdd pmos w=0.840u l=0.150u
-M11 YPAD 1 vdd2 vdd pmos w=0.840u l=0.150u
-M12 2 OEN gnd gnd nmos w=0.420u l=0.150u
-M13 3 2 gnd gnd nmos w=0.420u l=0.150u
-M14 4 DO gnd gnd nmos w=0.420u l=0.150u
-M15 4 DO gnd gnd nmos w=0.420u l=0.150u
-M16 4 DO gnd gnd nmos w=0.420u l=0.150u
-M17 4 DO gnd gnd nmos w=0.420u l=0.150u
-M18 4 DO gnd gnd nmos w=0.420u l=0.150u
-M19 4 2 gnd gnd nmos w=0.420u l=0.150u
-M20 4 2 gnd gnd nmos w=0.420u l=0.150u
-M21 4 2 gnd gnd nmos w=0.420u l=0.150u
-M22 4 2 gnd gnd nmos w=0.420u l=0.150u
-M23 4 2 gnd gnd nmos w=0.420u l=0.150u
-M24 1 3 4 gnd nmos w=0.420u l=0.150u
-M25 4 3 1 gnd nmos w=0.420u l=0.150u
-M26 1 3 4 gnd nmos w=0.420u l=0.150u
-M27 4 3 1 gnd nmos w=0.420u l=0.150u
-M28 6 5 gnd gnd nmos w=0.420u l=0.150u
-M29 6 5 gnd gnd nmos w=0.420u l=0.150u
-M30 6 5 gnd gnd nmos w=0.420u l=0.150u
-M31 6 5 gnd gnd nmos w=0.420u l=0.150u
-M32 6 5 gnd gnd nmos w=0.420u l=0.150u
-M33 6 5 gnd gnd nmos w=0.420u l=0.150u
-M34 DI 6 gnd gnd nmos w=0.420u l=0.150u
-M35 DI 6 gnd gnd nmos w=0.420u l=0.150u
-M36 DI 6 gnd gnd nmos w=0.420u l=0.150u
-M37 DI 6 gnd gnd nmos w=0.420u l=0.150u
-M38 DI 6 gnd gnd nmos w=0.420u l=0.150u
-M39 DI 6 gnd gnd nmos w=0.420u l=0.150u
-M40 2 OEN vdd vdd pmos w=0.840u l=0.150u
-M41 3 2 vdd vdd pmos w=0.840u l=0.150u
-M42 1 DO vdd vdd pmos w=0.840u l=0.150u
-M43 1 DO vdd vdd pmos w=0.840u l=0.150u
-M44 1 DO vdd vdd pmos w=0.840u l=0.150u
-M45 1 DO vdd vdd pmos w=0.840u l=0.150u
-M46 1 DO vdd vdd pmos w=0.840u l=0.150u
-M47 1 3 vdd vdd pmos w=0.840u l=0.150u
-M48 1 3 vdd vdd pmos w=0.840u l=0.150u
-M49 1 3 vdd vdd pmos w=0.840u l=0.150u
-M50 1 3 vdd vdd pmos w=0.840u l=0.150u
-M51 1 3 vdd vdd pmos w=0.840u l=0.150u
-M52 4 2 1 vdd pmos w=0.840u l=0.150u
-M53 1 2 4 vdd pmos w=0.840u l=0.150u
-M54 4 2 1 vdd pmos w=0.840u l=0.150u
-M55 1 2 4 vdd pmos w=0.840u l=0.150u
-M56 6 5 vdd vdd pmos w=0.840u l=0.150u
-M57 6 5 vdd vdd pmos w=0.840u l=0.150u
-M58 6 5 vdd vdd pmos w=0.840u l=0.150u
-M59 6 5 vdd vdd pmos w=0.840u l=0.150u
-M60 6 5 vdd vdd pmos w=0.840u l=0.150u
-M61 6 5 vdd vdd pmos w=0.840u l=0.150u
-M62 DI 6 vdd vdd pmos w=0.840u l=0.150u
-M63 DI 6 vdd vdd pmos w=0.840u l=0.150u
-M64 DI 6 vdd vdd pmos w=0.840u l=0.150u
-M65 DI 6 vdd vdd pmos w=0.840u l=0.150u
-M66 DI 6 vdd vdd pmos w=0.840u l=0.150u
-M67 DI 6 vdd vdd pmos w=0.840u l=0.150u
-M68 gnd2 4 YPAD gnd nmos w=0.420u l=0.150u
-M69 YPAD 4 gnd2 gnd nmos w=0.420u l=0.150u
-M70 gnd2 4 YPAD gnd nmos w=0.420u l=0.150u
-M71 YPAD 4 gnd2 gnd nmos w=0.420u l=0.150u
-M72 gnd2 4 YPAD gnd nmos w=0.420u l=0.150u
-M73 YPAD 4 gnd2 gnd nmos w=0.420u l=0.150u
-M74 gnd2 4 YPAD gnd nmos w=0.420u l=0.150u
-M75 YPAD 4 gnd2 gnd nmos w=0.420u l=0.150u
-M76 gnd2 4 YPAD gnd nmos w=0.420u l=0.150u
-M77 YPAD 4 gnd2 gnd nmos w=0.420u l=0.150u
-M78 gnd2 4 YPAD gnd nmos w=0.420u l=0.150u
-M79 YPAD 4 gnd2 gnd nmos w=0.420u l=0.150u
+.subckt PADINOUT VPWR VGND OEN DI YPAD DO
+M0 vdd2 1 YPAD VPWR pmos w=0.840u l=0.150u
+M1 YPAD 1 vdd2 VPWR pmos w=0.840u l=0.150u
+M2 vdd2 1 YPAD VPWR pmos w=0.840u l=0.150u
+M3 YPAD 1 vdd2 VPWR pmos w=0.840u l=0.150u
+M4 vdd2 1 YPAD VPWR pmos w=0.840u l=0.150u
+M5 YPAD 1 vdd2 VPWR pmos w=0.840u l=0.150u
+M6 vdd2 1 YPAD VPWR pmos w=0.840u l=0.150u
+M7 YPAD 1 vdd2 VPWR pmos w=0.840u l=0.150u
+M8 vdd2 1 YPAD VPWR pmos w=0.840u l=0.150u
+M9 YPAD 1 vdd2 VPWR pmos w=0.840u l=0.150u
+M10 vdd2 1 YPAD VPWR pmos w=0.840u l=0.150u
+M11 YPAD 1 vdd2 VPWR pmos w=0.840u l=0.150u
+M12 2 OEN VGND VGND nmos w=0.420u l=0.150u
+M13 3 2 VGND VGND nmos w=0.420u l=0.150u
+M14 4 DO VGND VGND nmos w=0.420u l=0.150u
+M15 4 DO VGND VGND nmos w=0.420u l=0.150u
+M16 4 DO VGND VGND nmos w=0.420u l=0.150u
+M17 4 DO VGND VGND nmos w=0.420u l=0.150u
+M18 4 DO VGND VGND nmos w=0.420u l=0.150u
+M19 4 2 VGND VGND nmos w=0.420u l=0.150u
+M20 4 2 VGND VGND nmos w=0.420u l=0.150u
+M21 4 2 VGND VGND nmos w=0.420u l=0.150u
+M22 4 2 VGND VGND nmos w=0.420u l=0.150u
+M23 4 2 VGND VGND nmos w=0.420u l=0.150u
+M24 1 3 4 VGND nmos w=0.420u l=0.150u
+M25 4 3 1 VGND nmos w=0.420u l=0.150u
+M26 1 3 4 VGND nmos w=0.420u l=0.150u
+M27 4 3 1 VGND nmos w=0.420u l=0.150u
+M28 6 5 VGND VGND nmos w=0.420u l=0.150u
+M29 6 5 VGND VGND nmos w=0.420u l=0.150u
+M30 6 5 VGND VGND nmos w=0.420u l=0.150u
+M31 6 5 VGND VGND nmos w=0.420u l=0.150u
+M32 6 5 VGND VGND nmos w=0.420u l=0.150u
+M33 6 5 VGND VGND nmos w=0.420u l=0.150u
+M34 DI 6 VGND VGND nmos w=0.420u l=0.150u
+M35 DI 6 VGND VGND nmos w=0.420u l=0.150u
+M36 DI 6 VGND VGND nmos w=0.420u l=0.150u
+M37 DI 6 VGND VGND nmos w=0.420u l=0.150u
+M38 DI 6 VGND VGND nmos w=0.420u l=0.150u
+M39 DI 6 VGND VGND nmos w=0.420u l=0.150u
+M40 2 OEN VPWR VPWR pmos w=0.840u l=0.150u
+M41 3 2 VPWR VPWR pmos w=0.840u l=0.150u
+M42 1 DO VPWR VPWR pmos w=0.840u l=0.150u
+M43 1 DO VPWR VPWR pmos w=0.840u l=0.150u
+M44 1 DO VPWR VPWR pmos w=0.840u l=0.150u
+M45 1 DO VPWR VPWR pmos w=0.840u l=0.150u
+M46 1 DO VPWR VPWR pmos w=0.840u l=0.150u
+M47 1 3 VPWR VPWR pmos w=0.840u l=0.150u
+M48 1 3 VPWR VPWR pmos w=0.840u l=0.150u
+M49 1 3 VPWR VPWR pmos w=0.840u l=0.150u
+M50 1 3 VPWR VPWR pmos w=0.840u l=0.150u
+M51 1 3 VPWR VPWR pmos w=0.840u l=0.150u
+M52 4 2 1 VPWR pmos w=0.840u l=0.150u
+M53 1 2 4 VPWR pmos w=0.840u l=0.150u
+M54 4 2 1 VPWR pmos w=0.840u l=0.150u
+M55 1 2 4 VPWR pmos w=0.840u l=0.150u
+M56 6 5 VPWR VPWR pmos w=0.840u l=0.150u
+M57 6 5 VPWR VPWR pmos w=0.840u l=0.150u
+M58 6 5 VPWR VPWR pmos w=0.840u l=0.150u
+M59 6 5 VPWR VPWR pmos w=0.840u l=0.150u
+M60 6 5 VPWR VPWR pmos w=0.840u l=0.150u
+M61 6 5 VPWR VPWR pmos w=0.840u l=0.150u
+M62 DI 6 VPWR VPWR pmos w=0.840u l=0.150u
+M63 DI 6 VPWR VPWR pmos w=0.840u l=0.150u
+M64 DI 6 VPWR VPWR pmos w=0.840u l=0.150u
+M65 DI 6 VPWR VPWR pmos w=0.840u l=0.150u
+M66 DI 6 VPWR VPWR pmos w=0.840u l=0.150u
+M67 DI 6 VPWR VPWR pmos w=0.840u l=0.150u
+M68 gnd2 4 YPAD VGND nmos w=0.420u l=0.150u
+M69 YPAD 4 gnd2 VGND nmos w=0.420u l=0.150u
+M70 gnd2 4 YPAD VGND nmos w=0.420u l=0.150u
+M71 YPAD 4 gnd2 VGND nmos w=0.420u l=0.150u
+M72 gnd2 4 YPAD VGND nmos w=0.420u l=0.150u
+M73 YPAD 4 gnd2 VGND nmos w=0.420u l=0.150u
+M74 gnd2 4 YPAD VGND nmos w=0.420u l=0.150u
+M75 YPAD 4 gnd2 VGND nmos w=0.420u l=0.150u
+M76 gnd2 4 YPAD VGND nmos w=0.420u l=0.150u
+M77 YPAD 4 gnd2 VGND nmos w=0.420u l=0.150u
+M78 gnd2 4 YPAD VGND nmos w=0.420u l=0.150u
+M79 YPAD 4 gnd2 VGND nmos w=0.420u l=0.150u
 R80 YPAD 5 100
 R81 5 YPAD 100
 .ends PADINOUT
 
-.subckt PADOUT vdd gnd YPAD DO
-M0 vdd2 1 YPAD vdd pmos w=0.840u l=0.150u
-M1 YPAD 1 vdd2 vdd pmos w=0.840u l=0.150u
-M2 vdd2 1 YPAD vdd pmos w=0.840u l=0.150u
-M3 YPAD 1 vdd2 vdd pmos w=0.840u l=0.150u
-M4 vdd2 1 YPAD vdd pmos w=0.840u l=0.150u
-M5 YPAD 1 vdd2 vdd pmos w=0.840u l=0.150u
-M6 vdd2 1 YPAD vdd pmos w=0.840u l=0.150u
-M7 YPAD 1 vdd2 vdd pmos w=0.840u l=0.150u
-M8 vdd2 1 YPAD vdd pmos w=0.840u l=0.150u
-M9 YPAD 1 vdd2 vdd pmos w=0.840u l=0.150u
-M10 vdd2 1 YPAD vdd pmos w=0.840u l=0.150u
-M11 YPAD 1 vdd2 vdd pmos w=0.840u l=0.150u
-M12 2 vdd gnd gnd nmos w=0.420u l=0.150u
-M13 3 2 gnd gnd nmos w=0.420u l=0.150u
-M14 4 DO gnd gnd nmos w=0.420u l=0.150u
-M15 4 DO gnd gnd nmos w=0.420u l=0.150u
-M16 4 DO gnd gnd nmos w=0.420u l=0.150u
-M17 4 DO gnd gnd nmos w=0.420u l=0.150u
-M18 4 DO gnd gnd nmos w=0.420u l=0.150u
-M19 4 2 gnd gnd nmos w=0.420u l=0.150u
-M20 4 2 gnd gnd nmos w=0.420u l=0.150u
-M21 4 2 gnd gnd nmos w=0.420u l=0.150u
-M22 4 2 gnd gnd nmos w=0.420u l=0.150u
-M23 4 2 gnd gnd nmos w=0.420u l=0.150u
-M24 1 3 4 gnd nmos w=0.420u l=0.150u
-M25 4 3 1 gnd nmos w=0.420u l=0.150u
-M26 1 3 4 gnd nmos w=0.420u l=0.150u
-M27 4 3 1 gnd nmos w=0.420u l=0.150u
-M28 6 5 gnd gnd nmos w=0.420u l=0.150u
-M29 6 5 gnd gnd nmos w=0.420u l=0.150u
-M30 6 5 gnd gnd nmos w=0.420u l=0.150u
-M31 6 5 gnd gnd nmos w=0.420u l=0.150u
-M32 6 5 gnd gnd nmos w=0.420u l=0.150u
-M33 6 5 gnd gnd nmos w=0.420u l=0.150u
-M34 7 6 gnd gnd nmos w=0.420u l=0.150u
-M35 7 6 gnd gnd nmos w=0.420u l=0.150u
-M36 7 6 gnd gnd nmos w=0.420u l=0.150u
-M37 7 6 gnd gnd nmos w=0.420u l=0.150u
-M38 7 6 gnd gnd nmos w=0.420u l=0.150u
-M39 7 6 gnd gnd nmos w=0.420u l=0.150u
-M40 2 vdd vdd vdd pmos w=0.840u l=0.150u
-M41 3 2 vdd vdd pmos w=0.840u l=0.150u
-M42 1 DO vdd vdd pmos w=0.840u l=0.150u
-M43 1 DO vdd vdd pmos w=0.840u l=0.150u
-M44 1 DO vdd vdd pmos w=0.840u l=0.150u
-M45 1 DO vdd vdd pmos w=0.840u l=0.150u
-M46 1 DO vdd vdd pmos w=0.840u l=0.150u
-M47 1 3 vdd vdd pmos w=0.840u l=0.150u
-M48 1 3 vdd vdd pmos w=0.840u l=0.150u
-M49 1 3 vdd vdd pmos w=0.840u l=0.150u
-M50 1 3 vdd vdd pmos w=0.840u l=0.150u
-M51 1 3 vdd vdd pmos w=0.840u l=0.150u
-M52 4 2 1 vdd pmos w=0.840u l=0.150u
-M53 1 2 4 vdd pmos w=0.840u l=0.150u
-M54 4 2 1 vdd pmos w=0.840u l=0.150u
-M55 1 2 4 vdd pmos w=0.840u l=0.150u
-M56 6 5 vdd vdd pmos w=0.840u l=0.150u
-M57 6 5 vdd vdd pmos w=0.840u l=0.150u
-M58 6 5 vdd vdd pmos w=0.840u l=0.150u
-M59 6 5 vdd vdd pmos w=0.840u l=0.150u
-M60 6 5 vdd vdd pmos w=0.840u l=0.150u
-M61 6 5 vdd vdd pmos w=0.840u l=0.150u
-M62 7 6 vdd vdd pmos w=0.840u l=0.150u
-M63 7 6 vdd vdd pmos w=0.840u l=0.150u
-M64 7 6 vdd vdd pmos w=0.840u l=0.150u
-M65 7 6 vdd vdd pmos w=0.840u l=0.150u
-M66 7 6 vdd vdd pmos w=0.840u l=0.150u
-M67 7 6 vdd vdd pmos w=0.840u l=0.150u
-M68 gnd2 4 YPAD gnd nmos w=0.420u l=0.150u
-M69 YPAD 4 gnd2 gnd nmos w=0.420u l=0.150u
-M70 gnd2 4 YPAD gnd nmos w=0.420u l=0.150u
-M71 YPAD 4 gnd2 gnd nmos w=0.420u l=0.150u
-M72 gnd2 4 YPAD gnd nmos w=0.420u l=0.150u
-M73 YPAD 4 gnd2 gnd nmos w=0.420u l=0.150u
-M74 gnd2 4 YPAD gnd nmos w=0.420u l=0.150u
-M75 YPAD 4 gnd2 gnd nmos w=0.420u l=0.150u
-M76 gnd2 4 YPAD gnd nmos w=0.420u l=0.150u
-M77 YPAD 4 gnd2 gnd nmos w=0.420u l=0.150u
-M78 gnd2 4 YPAD gnd nmos w=0.420u l=0.150u
-M79 YPAD 4 gnd2 gnd nmos w=0.420u l=0.150u
+.subckt PADOUT VPWR VGND YPAD DO
+M0 vdd2 1 YPAD VPWR pmos w=0.840u l=0.150u
+M1 YPAD 1 vdd2 VPWR pmos w=0.840u l=0.150u
+M2 vdd2 1 YPAD VPWR pmos w=0.840u l=0.150u
+M3 YPAD 1 vdd2 VPWR pmos w=0.840u l=0.150u
+M4 vdd2 1 YPAD VPWR pmos w=0.840u l=0.150u
+M5 YPAD 1 vdd2 VPWR pmos w=0.840u l=0.150u
+M6 vdd2 1 YPAD VPWR pmos w=0.840u l=0.150u
+M7 YPAD 1 vdd2 VPWR pmos w=0.840u l=0.150u
+M8 vdd2 1 YPAD VPWR pmos w=0.840u l=0.150u
+M9 YPAD 1 vdd2 VPWR pmos w=0.840u l=0.150u
+M10 vdd2 1 YPAD VPWR pmos w=0.840u l=0.150u
+M11 YPAD 1 vdd2 VPWR pmos w=0.840u l=0.150u
+M12 2 VPWR VGND VGND nmos w=0.420u l=0.150u
+M13 3 2 VGND VGND nmos w=0.420u l=0.150u
+M14 4 DO VGND VGND nmos w=0.420u l=0.150u
+M15 4 DO VGND VGND nmos w=0.420u l=0.150u
+M16 4 DO VGND VGND nmos w=0.420u l=0.150u
+M17 4 DO VGND VGND nmos w=0.420u l=0.150u
+M18 4 DO VGND VGND nmos w=0.420u l=0.150u
+M19 4 2 VGND VGND nmos w=0.420u l=0.150u
+M20 4 2 VGND VGND nmos w=0.420u l=0.150u
+M21 4 2 VGND VGND nmos w=0.420u l=0.150u
+M22 4 2 VGND VGND nmos w=0.420u l=0.150u
+M23 4 2 VGND VGND nmos w=0.420u l=0.150u
+M24 1 3 4 VGND nmos w=0.420u l=0.150u
+M25 4 3 1 VGND nmos w=0.420u l=0.150u
+M26 1 3 4 VGND nmos w=0.420u l=0.150u
+M27 4 3 1 VGND nmos w=0.420u l=0.150u
+M28 6 5 VGND VGND nmos w=0.420u l=0.150u
+M29 6 5 VGND VGND nmos w=0.420u l=0.150u
+M30 6 5 VGND VGND nmos w=0.420u l=0.150u
+M31 6 5 VGND VGND nmos w=0.420u l=0.150u
+M32 6 5 VGND VGND nmos w=0.420u l=0.150u
+M33 6 5 VGND VGND nmos w=0.420u l=0.150u
+M34 7 6 VGND VGND nmos w=0.420u l=0.150u
+M35 7 6 VGND VGND nmos w=0.420u l=0.150u
+M36 7 6 VGND VGND nmos w=0.420u l=0.150u
+M37 7 6 VGND VGND nmos w=0.420u l=0.150u
+M38 7 6 VGND VGND nmos w=0.420u l=0.150u
+M39 7 6 VGND VGND nmos w=0.420u l=0.150u
+M40 2 VPWR VPWR VPWR pmos w=0.840u l=0.150u
+M41 3 2 VPWR VPWR pmos w=0.840u l=0.150u
+M42 1 DO VPWR VPWR pmos w=0.840u l=0.150u
+M43 1 DO VPWR VPWR pmos w=0.840u l=0.150u
+M44 1 DO VPWR VPWR pmos w=0.840u l=0.150u
+M45 1 DO VPWR VPWR pmos w=0.840u l=0.150u
+M46 1 DO VPWR VPWR pmos w=0.840u l=0.150u
+M47 1 3 VPWR VPWR pmos w=0.840u l=0.150u
+M48 1 3 VPWR VPWR pmos w=0.840u l=0.150u
+M49 1 3 VPWR VPWR pmos w=0.840u l=0.150u
+M50 1 3 VPWR VPWR pmos w=0.840u l=0.150u
+M51 1 3 VPWR VPWR pmos w=0.840u l=0.150u
+M52 4 2 1 VPWR pmos w=0.840u l=0.150u
+M53 1 2 4 VPWR pmos w=0.840u l=0.150u
+M54 4 2 1 VPWR pmos w=0.840u l=0.150u
+M55 1 2 4 VPWR pmos w=0.840u l=0.150u
+M56 6 5 VPWR VPWR pmos w=0.840u l=0.150u
+M57 6 5 VPWR VPWR pmos w=0.840u l=0.150u
+M58 6 5 VPWR VPWR pmos w=0.840u l=0.150u
+M59 6 5 VPWR VPWR pmos w=0.840u l=0.150u
+M60 6 5 VPWR VPWR pmos w=0.840u l=0.150u
+M61 6 5 VPWR VPWR pmos w=0.840u l=0.150u
+M62 7 6 VPWR VPWR pmos w=0.840u l=0.150u
+M63 7 6 VPWR VPWR pmos w=0.840u l=0.150u
+M64 7 6 VPWR VPWR pmos w=0.840u l=0.150u
+M65 7 6 VPWR VPWR pmos w=0.840u l=0.150u
+M66 7 6 VPWR VPWR pmos w=0.840u l=0.150u
+M67 7 6 VPWR VPWR pmos w=0.840u l=0.150u
+M68 gnd2 4 YPAD VGND nmos w=0.420u l=0.150u
+M69 YPAD 4 gnd2 VGND nmos w=0.420u l=0.150u
+M70 gnd2 4 YPAD VGND nmos w=0.420u l=0.150u
+M71 YPAD 4 gnd2 VGND nmos w=0.420u l=0.150u
+M72 gnd2 4 YPAD VGND nmos w=0.420u l=0.150u
+M73 YPAD 4 gnd2 VGND nmos w=0.420u l=0.150u
+M74 gnd2 4 YPAD VGND nmos w=0.420u l=0.150u
+M75 YPAD 4 gnd2 VGND nmos w=0.420u l=0.150u
+M76 gnd2 4 YPAD VGND nmos w=0.420u l=0.150u
+M77 YPAD 4 gnd2 VGND nmos w=0.420u l=0.150u
+M78 gnd2 4 YPAD VGND nmos w=0.420u l=0.150u
+M79 YPAD 4 gnd2 VGND nmos w=0.420u l=0.150u
 R80 YPAD 5 100
 R81 5 YPAD 100
 .ends PADOUT
 
-.subckt TBUFX1 vdd gnd EN A Y
-M0 1 EN vdd vdd pmos w=0.840u l=0.150u
-M1 2 1 Y vdd pmos w=0.840u l=0.150u
-M2 2 A vdd vdd pmos w=0.840u l=0.150u
-M3 1 EN gnd gnd nmos w=0.420u l=0.150u
-M4 3 EN Y gnd nmos w=0.420u l=0.150u
-M5 3 A gnd gnd nmos w=0.420u l=0.150u
+.subckt TBUFX1 VPWR VGND EN A Y
+M0 1 EN VPWR VPWR pmos w=0.840u l=0.150u
+M1 2 1 Y VPWR pmos w=0.840u l=0.150u
+M2 2 A VPWR VPWR pmos w=0.840u l=0.150u
+M3 1 EN VGND VGND nmos w=0.420u l=0.150u
+M4 3 EN Y VGND nmos w=0.420u l=0.150u
+M5 3 A VGND VGND nmos w=0.420u l=0.150u
 .ends TBUFX1
 
-.subckt TBUFX2 vdd gnd EN A Y
-M0 1 EN vdd vdd pmos w=0.840u l=0.150u
-M1 Y 1 2 vdd pmos w=0.840u l=0.150u
-M2 2 1 Y vdd pmos w=0.840u l=0.150u
-M3 2 A vdd vdd pmos w=0.840u l=0.150u
-M4 2 A vdd vdd pmos w=0.840u l=0.150u
-M5 1 EN gnd gnd nmos w=0.420u l=0.150u
-M6 Y EN 3 gnd nmos w=0.420u l=0.150u
-M7 3 EN Y gnd nmos w=0.420u l=0.150u
-M8 3 A gnd gnd nmos w=0.420u l=0.150u
-M9 3 A gnd gnd nmos w=0.420u l=0.150u
+.subckt TBUFX2 VPWR VGND EN A Y
+M0 1 EN VPWR VPWR pmos w=0.840u l=0.150u
+M1 Y 1 2 VPWR pmos w=0.840u l=0.150u
+M2 2 1 Y VPWR pmos w=0.840u l=0.150u
+M3 2 A VPWR VPWR pmos w=0.840u l=0.150u
+M4 2 A VPWR VPWR pmos w=0.840u l=0.150u
+M5 1 EN VGND VGND nmos w=0.420u l=0.150u
+M6 Y EN 3 VGND nmos w=0.420u l=0.150u
+M7 3 EN Y VGND nmos w=0.420u l=0.150u
+M8 3 A VGND VGND nmos w=0.420u l=0.150u
+M9 3 A VGND VGND nmos w=0.420u l=0.150u
 .ends TBUFX2
 
-.subckt XNOR2X1 vdd gnd B A Y
-M0 1 A vdd vdd pmos w=0.840u l=0.150u
-M1 3 2 vdd vdd pmos w=0.840u l=0.150u
-M2 Y 1 3 vdd pmos w=0.840u l=0.150u
-M3 4 A Y vdd pmos w=0.840u l=0.150u
-M4 4 B vdd vdd pmos w=0.840u l=0.150u
-M5 2 B vdd vdd pmos w=0.840u l=0.150u
-M6 1 A gnd gnd nmos w=0.420u l=0.150u
-M7 5 2 gnd gnd nmos w=0.420u l=0.150u
-M8 Y A 5 gnd nmos w=0.420u l=0.150u
-M9 6 1 Y gnd nmos w=0.420u l=0.150u
-M10 6 B gnd gnd nmos w=0.420u l=0.150u
-M11 2 B gnd gnd nmos w=0.420u l=0.150u
+.subckt XNOR2X1 VPWR VGND B A Y
+M0 1 A VPWR VPWR pmos w=0.840u l=0.150u
+M1 3 2 VPWR VPWR pmos w=0.840u l=0.150u
+M2 Y 1 3 VPWR pmos w=0.840u l=0.150u
+M3 4 A Y VPWR pmos w=0.840u l=0.150u
+M4 4 B VPWR VPWR pmos w=0.840u l=0.150u
+M5 2 B VPWR VPWR pmos w=0.840u l=0.150u
+M6 1 A VGND VGND nmos w=0.420u l=0.150u
+M7 5 2 VGND VGND nmos w=0.420u l=0.150u
+M8 Y A 5 VGND nmos w=0.420u l=0.150u
+M9 6 1 Y VGND nmos w=0.420u l=0.150u
+M10 6 B VGND VGND nmos w=0.420u l=0.150u
+M11 2 B VGND VGND nmos w=0.420u l=0.150u
 .ends XNOR2X1
 
-.subckt XOR2X1 vdd gnd B A Y
-M0 1 A vdd vdd pmos w=0.840u l=0.150u
-M1 3 2 vdd vdd pmos w=0.840u l=0.150u
-M2 Y A 3 vdd pmos w=0.840u l=0.150u
-M3 4 1 Y vdd pmos w=0.840u l=0.150u
-M4 4 B vdd vdd pmos w=0.840u l=0.150u
-M5 2 B vdd vdd pmos w=0.840u l=0.150u
-M6 1 A gnd gnd nmos w=0.420u l=0.150u
-M7 5 2 gnd gnd nmos w=0.420u l=0.150u
-M8 Y 1 5 gnd nmos w=0.420u l=0.150u
-M9 6 A Y gnd nmos w=0.420u l=0.150u
-M10 6 B gnd gnd nmos w=0.420u l=0.150u
-M11 2 B gnd gnd nmos w=0.420u l=0.150u
+.subckt XOR2X1 VPWR VGND B A Y
+M0 1 A VPWR VPWR pmos w=0.840u l=0.150u
+M1 3 2 VPWR VPWR pmos w=0.840u l=0.150u
+M2 Y A 3 VPWR pmos w=0.840u l=0.150u
+M3 4 1 Y VPWR pmos w=0.840u l=0.150u
+M4 4 B VPWR VPWR pmos w=0.840u l=0.150u
+M5 2 B VPWR VPWR pmos w=0.840u l=0.150u
+M6 1 A VGND VGND nmos w=0.420u l=0.150u
+M7 5 2 VGND VGND nmos w=0.420u l=0.150u
+M8 Y 1 5 VGND nmos w=0.420u l=0.150u
+M9 6 A Y VGND nmos w=0.420u l=0.150u
+M10 6 B VGND VGND nmos w=0.420u l=0.150u
+M11 2 B VGND VGND nmos w=0.420u l=0.150u
 .ends XOR2X1
 
diff --git a/def/user_proj_example.def b/def/user_proj_example.def
index 97c8c30..3422fdb 100644
--- a/def/user_proj_example.def
+++ b/def/user_proj_example.def
@@ -225,244 +225,244 @@
  ;
 END VIAS
 
-COMPONENTS 9352 ;
+COMPONENTS 9346 ;
 - AND2X1 AND2X1 + PLACED ( 38400 23310 ) N ;
 - AND2X2 AND2X2 + PLACED ( 38400 29970 ) N ;
 - AOI21X1 AOI21X1 + PLACED ( 38400 36630 ) N ;
-- BUFX2 BUFX2 + PLACED ( 38400 43290 ) N ;
-- INV INV + PLACED ( 38400 49950 ) N ;
-- INVX1 INVX1 + PLACED ( 38400 56610 ) N ;
-- INVX2 INVX2 + PLACED ( 38400 63270 ) N ;
-- INVX4 INVX4 + PLACED ( 38400 69930 ) N ;
-- MUX2X1 MUX2X1 + PLACED ( 38400 76590 ) N ;
-- NAND2X1 NAND2X1 + PLACED ( 38400 83250 ) N ;
-- NAND3X1 NAND3X1 + PLACED ( 38400 89910 ) N ;
-- OR2X1 OR2X1 + PLACED ( 38400 96570 ) N ;
-- OR2X2 OR2X2 + PLACED ( 38400 103230 ) N ;
-- XOR2X1 XOR2X1 + FIXED ( 38400 109890 ) N ;
-- _000_ sky130_fd_sc_ls__conb_1 + PLACED ( 110880 99900 ) FS ;
-- _001_ sky130_fd_sc_ls__conb_1 + PLACED ( 194880 206460 ) FS ;
-- _002_ sky130_fd_sc_ls__conb_1 + PLACED ( 231360 276390 ) N ;
-- _003_ sky130_fd_sc_ls__conb_1 + PLACED ( 153600 193140 ) FS ;
-- _004_ sky130_fd_sc_ls__conb_1 + PLACED ( 31200 246420 ) FS ;
-- _005_ sky130_fd_sc_ls__conb_1 + PLACED ( 102720 153180 ) FS ;
-- _006_ sky130_fd_sc_ls__conb_1 + PLACED ( 149760 263070 ) N ;
-- _007_ sky130_fd_sc_ls__conb_1 + PLACED ( 150720 43290 ) FN ;
-- _008_ sky130_fd_sc_ls__conb_1 + PLACED ( 183840 73260 ) S ;
-- _009_ sky130_fd_sc_ls__conb_1 + PLACED ( 142560 243090 ) N ;
-- _010_ sky130_fd_sc_ls__conb_1 + PLACED ( 158400 233100 ) FS ;
-- _011_ sky130_fd_sc_ls__conb_1 + PLACED ( 170880 39960 ) S ;
-- _012_ sky130_fd_sc_ls__conb_1 + PLACED ( 224640 199800 ) FS ;
-- _013_ sky130_fd_sc_ls__conb_1 + PLACED ( 60960 16650 ) FN ;
-- _014_ sky130_fd_sc_ls__conb_1 + PLACED ( 219360 256410 ) N ;
-- _015_ sky130_fd_sc_ls__conb_1 + PLACED ( 201120 229770 ) N ;
-- _016_ sky130_fd_sc_ls__conb_1 + PLACED ( 182880 43290 ) FN ;
-- _017_ sky130_fd_sc_ls__conb_1 + PLACED ( 132960 193140 ) FS ;
-- _018_ sky130_fd_sc_ls__conb_1 + PLACED ( 278400 56610 ) N ;
-- _019_ sky130_fd_sc_ls__conb_1 + PLACED ( 112320 86580 ) FS ;
-- _020_ sky130_fd_sc_ls__conb_1 + PLACED ( 62400 253080 ) FS ;
-- _021_ sky130_fd_sc_ls__conb_1 + PLACED ( 197760 163170 ) N ;
-- _022_ sky130_fd_sc_ls__conb_1 + PLACED ( 159840 253080 ) FS ;
-- _023_ sky130_fd_sc_ls__conb_1 + PLACED ( 140160 136530 ) N ;
-- _024_ sky130_fd_sc_ls__conb_1 + PLACED ( 206400 19980 ) FS ;
-- _025_ sky130_fd_sc_ls__conb_1 + PLACED ( 214080 119880 ) S ;
-- _026_ sky130_fd_sc_ls__conb_1 + PLACED ( 288960 169830 ) N ;
-- _027_ sky130_fd_sc_ls__conb_1 + PLACED ( 176160 76590 ) FN ;
-- _028_ sky130_fd_sc_ls__conb_1 + PLACED ( 215520 186480 ) FS ;
-- _029_ sky130_fd_sc_ls__conb_1 + PLACED ( 14880 53280 ) FS ;
-- _030_ sky130_fd_sc_ls__conb_1 + PLACED ( 234720 123210 ) FN ;
-- _031_ sky130_fd_sc_ls__conb_1 + PLACED ( 116640 236430 ) N ;
-- _032_ sky130_fd_sc_ls__conb_1 + PLACED ( 24000 186480 ) FS ;
-- _033_ sky130_fd_sc_ls__conb_1 + PLACED ( 221280 79920 ) FS ;
-- _034_ sky130_fd_sc_ls__conb_1 + PLACED ( 206400 113220 ) S ;
-- _035_ sky130_fd_sc_ls__conb_1 + PLACED ( 55680 139860 ) S ;
-- _036_ sky130_fd_sc_ls__conb_1 + PLACED ( 94560 133200 ) S ;
-- _037_ sky130_fd_sc_ls__conb_1 + PLACED ( 183840 69930 ) FN ;
-- _038_ sky130_fd_sc_ls__conb_1 + PLACED ( 177600 83250 ) FN ;
-- _039_ sky130_fd_sc_ls__conb_1 + PLACED ( 272160 189810 ) N ;
-- _040_ sky130_fd_sc_ls__conb_1 + PLACED ( 240000 209790 ) N ;
-- _041_ sky130_fd_sc_ls__conb_1 + PLACED ( 210720 39960 ) S ;
-- _042_ sky130_fd_sc_ls__conb_1 + PLACED ( 82080 33300 ) FS ;
-- _043_ sky130_fd_sc_ls__conb_1 + PLACED ( 121440 136530 ) FN ;
-- _044_ sky130_fd_sc_ls__conb_1 + PLACED ( 256320 263070 ) N ;
-- _045_ sky130_fd_sc_ls__conb_1 + PLACED ( 15360 66600 ) FS ;
-- _046_ sky130_fd_sc_ls__conb_1 + PLACED ( 210720 273060 ) FS ;
-- _047_ sky130_fd_sc_ls__conb_1 + PLACED ( 272160 123210 ) FN ;
-- _048_ sky130_fd_sc_ls__conb_1 + PLACED ( 134400 109890 ) N ;
-- _049_ sky130_fd_sc_ls__conb_1 + PLACED ( 177120 13320 ) FS ;
-- _050_ sky130_fd_sc_ls__conb_1 + PLACED ( 153600 173160 ) FS ;
-- _051_ sky130_fd_sc_ls__conb_1 + PLACED ( 10560 56610 ) N ;
-- _052_ sky130_fd_sc_ls__conb_1 + PLACED ( 60960 96570 ) N ;
-- _053_ sky130_fd_sc_ls__conb_1 + PLACED ( 199200 56610 ) N ;
-- _054_ sky130_fd_sc_ls__conb_1 + PLACED ( 233760 46620 ) FS ;
-- _055_ sky130_fd_sc_ls__conb_1 + PLACED ( 130080 159840 ) FS ;
-- _056_ sky130_fd_sc_ls__conb_1 + PLACED ( 19680 249750 ) N ;
-- _057_ sky130_fd_sc_ls__conb_1 + PLACED ( 132480 166500 ) FS ;
-- _058_ sky130_fd_sc_ls__conb_1 + PLACED ( 37920 66600 ) FS ;
-- _059_ sky130_fd_sc_ls__conb_1 + PLACED ( 154080 59940 ) FS ;
-- _060_ sky130_fd_sc_ls__conb_1 + PLACED ( 30240 146520 ) FS ;
-- _061_ sky130_fd_sc_ls__conb_1 + PLACED ( 278880 276390 ) FN ;
-- _062_ sky130_fd_sc_ls__conb_1 + PLACED ( 9120 263070 ) N ;
-- _063_ sky130_fd_sc_ls__conb_1 + PLACED ( 29280 186480 ) FS ;
-- _064_ sky130_fd_sc_ls__conb_1 + PLACED ( 82080 133200 ) FS ;
-- _065_ sky130_fd_sc_ls__conb_1 + PLACED ( 227040 233100 ) S ;
-- _066_ sky130_fd_sc_ls__conb_1 + PLACED ( 151680 96570 ) N ;
-- _067_ sky130_fd_sc_ls__conb_1 + PLACED ( 288480 63270 ) N ;
-- _068_ sky130_fd_sc_ls__conb_1 + PLACED ( 264000 176490 ) FN ;
-- _069_ sky130_fd_sc_ls__conb_1 + PLACED ( 90240 89910 ) N ;
-- _070_ sky130_fd_sc_ls__conb_1 + PLACED ( 120000 183150 ) FN ;
-- _071_ sky130_fd_sc_ls__conb_1 + PLACED ( 104160 179820 ) S ;
-- _072_ sky130_fd_sc_ls__conb_1 + PLACED ( 287520 199800 ) S ;
-- _073_ sky130_fd_sc_ls__conb_1 + PLACED ( 33600 153180 ) FS ;
-- _074_ sky130_fd_sc_ls__conb_1 + PLACED ( 101280 73260 ) FS ;
-- _075_ sky130_fd_sc_ls__conb_1 + PLACED ( 199200 276390 ) FN ;
-- _076_ sky130_fd_sc_ls__conb_1 + PLACED ( 77280 233100 ) FS ;
-- _077_ sky130_fd_sc_ls__conb_1 + PLACED ( 267840 46620 ) FS ;
-- _078_ sky130_fd_sc_ls__conb_1 + PLACED ( 104640 73260 ) FS ;
-- _079_ sky130_fd_sc_ls__conb_1 + PLACED ( 48000 179820 ) FS ;
-- _080_ sky130_fd_sc_ls__conb_1 + PLACED ( 167040 206460 ) S ;
-- _081_ sky130_fd_sc_ls__conb_1 + PLACED ( 265920 153180 ) FS ;
-- _082_ sky130_fd_sc_ls__conb_1 + PLACED ( 192960 216450 ) FN ;
-- _083_ sky130_fd_sc_ls__conb_1 + PLACED ( 68640 233100 ) FS ;
-- _084_ sky130_fd_sc_ls__conb_1 + PLACED ( 7680 243090 ) N ;
-- _085_ sky130_fd_sc_ls__conb_1 + PLACED ( 102240 176490 ) N ;
-- _086_ sky130_fd_sc_ls__conb_1 + PLACED ( 12960 256410 ) N ;
-- _087_ sky130_fd_sc_ls__conb_1 + PLACED ( 207840 243090 ) FN ;
-- _088_ sky130_fd_sc_ls__conb_1 + PLACED ( 52320 193140 ) FS ;
-- _089_ sky130_fd_sc_ls__conb_1 + PLACED ( 239040 219780 ) S ;
-- _090_ sky130_fd_sc_ls__conb_1 + PLACED ( 133920 199800 ) S ;
-- _091_ sky130_fd_sc_ls__conb_1 + PLACED ( 286080 173160 ) S ;
-- _092_ sky130_fd_sc_ls__conb_1 + PLACED ( 55200 213120 ) FS ;
-- _093_ sky130_fd_sc_ls__conb_1 + PLACED ( 78240 173160 ) FS ;
-- _094_ sky130_fd_sc_ls__conb_1 + PLACED ( 130080 213120 ) FS ;
-- _095_ sky130_fd_sc_ls__conb_1 + PLACED ( 126240 123210 ) N ;
-- _096_ sky130_fd_sc_ls__conb_1 + PLACED ( 204480 249750 ) FN ;
-- _097_ sky130_fd_sc_ls__conb_1 + PLACED ( 171840 189810 ) FN ;
-- _098_ sky130_fd_sc_ls__conb_1 + PLACED ( 74880 36630 ) N ;
-- _099_ sky130_fd_sc_ls__conb_1 + PLACED ( 222720 53280 ) FS ;
-- _100_ sky130_fd_sc_ls__conb_1 + PLACED ( 275520 153180 ) FS ;
-- _101_ sky130_fd_sc_ls__conb_1 + PLACED ( 276000 26640 ) FS ;
-- _102_ sky130_fd_sc_ls__conb_1 + PLACED ( 8160 203130 ) N ;
-- _103_ sky130_fd_sc_ls__conb_1 + PLACED ( 115200 36630 ) N ;
-- _104_ sky130_fd_sc_ls__conb_1 + PLACED ( 120960 193140 ) FS ;
-- _105_ sky130_fd_sc_ls__conb_1 + PLACED ( 204000 13320 ) FS ;
-- _106_ sky130_fd_sc_ls__conb_1 + PLACED ( 101280 273060 ) FS ;
-- _107_ sky130_fd_sc_ls__conb_1 + PLACED ( 42720 13320 ) FS ;
-- _108_ sky130_fd_sc_ls__conb_1 + PLACED ( 275520 123210 ) N ;
-- _109_ sky130_fd_sc_ls__conb_1 + PLACED ( 73440 183150 ) N ;
-- _110_ sky130_fd_sc_ls__conb_1 + PLACED ( 128640 39960 ) FS ;
-- _111_ sky130_fd_sc_ls__conb_1 + PLACED ( 246720 79920 ) FS ;
-- _112_ sky130_fd_sc_ls__conb_1 + PLACED ( 66720 113220 ) FS ;
-- _113_ sky130_fd_sc_ls__conb_1 + PLACED ( 37440 93240 ) FS ;
-- _114_ sky130_fd_sc_ls__conb_1 + PLACED ( 285600 126540 ) FS ;
-- _115_ sky130_fd_sc_ls__conb_1 + PLACED ( 178080 29970 ) N ;
-- _116_ sky130_fd_sc_ls__conb_1 + PLACED ( 96000 83250 ) N ;
-- _117_ sky130_fd_sc_ls__conb_1 + PLACED ( 263040 156510 ) N ;
-- _118_ sky130_fd_sc_ls__conb_1 + PLACED ( 76800 109890 ) N ;
-- _119_ sky130_fd_sc_ls__conb_1 + PLACED ( 34560 36630 ) N ;
-- _120_ sky130_fd_sc_ls__conb_1 + PLACED ( 90240 136530 ) N ;
-- _121_ sky130_fd_sc_ls__conb_1 + PLACED ( 107040 159840 ) FS ;
-- _122_ sky130_fd_sc_ls__conb_1 + PLACED ( 156960 193140 ) FS ;
-- _123_ sky130_fd_sc_ls__conb_1 + PLACED ( 259200 153180 ) FS ;
-- _124_ sky130_fd_sc_ls__conb_1 + PLACED ( 180000 166500 ) FS ;
-- _125_ sky130_fd_sc_ls__conb_1 + PLACED ( 87840 39960 ) FS ;
-- _126_ sky130_fd_sc_ls__conb_1 + PLACED ( 224640 99900 ) FS ;
-- _127_ sky130_fd_sc_ls__conb_1 + PLACED ( 87360 236430 ) N ;
-- _128_ sky130_fd_sc_ls__conb_1 + PLACED ( 36480 273060 ) FS ;
-- _129_ sky130_fd_sc_ls__conb_1 + PLACED ( 13920 56610 ) N ;
-- _130_ sky130_fd_sc_ls__conb_1 + PLACED ( 81600 209790 ) N ;
-- _131_ sky130_fd_sc_ls__conb_1 + PLACED ( 221280 19980 ) FS ;
-- _132_ sky130_fd_sc_ls__conb_1 + PLACED ( 221760 59940 ) FS ;
-- _133_ sky130_fd_sc_ls__conb_1 + PLACED ( 153120 89910 ) N ;
-- _134_ sky130_fd_sc_ls__conb_1 + PLACED ( 87840 276390 ) N ;
-- _135_ sky130_fd_sc_ls__conb_1 + PLACED ( 272640 53280 ) FS ;
-- _136_ sky130_fd_sc_ls__conb_1 + PLACED ( 219360 43290 ) N ;
-- _137_ sky130_fd_sc_ls__conb_1 + PLACED ( 186720 143190 ) N ;
-- _138_ sky130_fd_sc_ls__conb_1 + PLACED ( 284160 136530 ) N ;
-- _139_ sky130_fd_sc_ls__conb_1 + PLACED ( 29760 39960 ) FS ;
-- _140_ sky130_fd_sc_ls__conb_1 + PLACED ( 166560 103230 ) N ;
-- _141_ sky130_fd_sc_ls__conb_1 + PLACED ( 33120 66600 ) FS ;
-- _142_ sky130_fd_sc_ls__conb_1 + PLACED ( 87840 113220 ) FS ;
-- _143_ sky130_fd_sc_ls__conb_1 + PLACED ( 14400 43290 ) N ;
-- _144_ sky130_fd_sc_ls__conb_1 + PLACED ( 94080 153180 ) FS ;
-- _145_ sky130_fd_sc_ls__conb_1 + PLACED ( 180000 139860 ) FS ;
-- _146_ sky130_fd_sc_ls__conb_1 + PLACED ( 259200 216450 ) N ;
-- _147_ sky130_fd_sc_ls__conb_1 + PLACED ( 285600 253080 ) S ;
-- _148_ sky130_fd_sc_ls__conb_1 + PLACED ( 109440 39960 ) FS ;
-- _149_ sky130_fd_sc_ls__conb_1 + PLACED ( 288960 193140 ) S ;
-- _150_ sky130_fd_sc_ls__conb_1 + PLACED ( 166560 203130 ) N ;
-- _151_ sky130_fd_sc_ls__conb_1 + PLACED ( 160800 36630 ) N ;
-- _152_ sky130_fd_sc_ls__conb_1 + PLACED ( 180960 146520 ) FS ;
-- _153_ sky130_fd_sc_ls__conb_1 + PLACED ( 288960 239760 ) FS ;
-- _154_ sky130_fd_sc_ls__conb_1 + PLACED ( 184320 146520 ) FS ;
-- _155_ sky130_fd_sc_ls__conb_1 + PLACED ( 48000 99900 ) FS ;
-- _156_ sky130_fd_sc_ls__conb_1 + PLACED ( 264480 46620 ) FS ;
-- _157_ sky130_fd_sc_ls__conb_1 + PLACED ( 208320 53280 ) FS ;
-- _158_ sky130_fd_sc_ls__conb_1 + PLACED ( 100800 59940 ) FS ;
-- _159_ sky130_fd_sc_ls__conb_1 + PLACED ( 135840 159840 ) FS ;
-- _160_ sky130_fd_sc_ls__conb_1 + PLACED ( 22080 149850 ) N ;
-- _161_ sky130_fd_sc_ls__conb_1 + PLACED ( 274560 19980 ) FS ;
-- _162_ sky130_fd_sc_ls__conb_1 + PLACED ( 193440 149850 ) N ;
-- _163_ sky130_fd_sc_ls__conb_1 + PLACED ( 105600 176490 ) N ;
-- _164_ sky130_fd_sc_ls__conb_1 + PLACED ( 192960 113220 ) FS ;
-- _165_ sky130_fd_sc_ls__conb_1 + PLACED ( 168480 56610 ) N ;
-- _166_ sky130_fd_sc_ls__conb_1 + PLACED ( 140160 169830 ) N ;
-- _167_ sky130_fd_sc_ls__conb_1 + PLACED ( 28320 159840 ) FS ;
-- _168_ sky130_fd_sc_ls__conb_1 + PLACED ( 257760 13320 ) FS ;
-- _169_ sky130_fd_sc_ls__conb_1 + PLACED ( 153600 113220 ) FS ;
-- _170_ sky130_fd_sc_ls__conb_1 + PLACED ( 262080 73260 ) FS ;
-- _171_ sky130_fd_sc_ls__conb_1 + PLACED ( 174240 39960 ) FS ;
-- _172_ sky130_fd_sc_ls__conb_1 + PLACED ( 185760 216450 ) N ;
-- _173_ sky130_fd_sc_ls__conb_1 + PLACED ( 247200 99900 ) FS ;
-- _174_ sky130_fd_sc_ls__conb_1 + PLACED ( 112800 273060 ) FS ;
-- _175_ sky130_fd_sc_ls__conb_1 + PLACED ( 186240 43290 ) N ;
-- _176_ sky130_fd_sc_ls__conb_1 + PLACED ( 56160 66600 ) FS ;
-- _177_ sky130_fd_sc_ls__conb_1 + PLACED ( 288960 196470 ) FN ;
-- _178_ sky130_fd_sc_ls__conb_1 + PLACED ( 225120 246420 ) FS ;
-- _179_ sky130_fd_sc_ls__conb_1 + PLACED ( 23040 233100 ) FS ;
-- _180_ sky130_fd_sc_ls__conb_1 + PLACED ( 244320 13320 ) FS ;
-- _181_ sky130_fd_sc_ls__conb_1 + PLACED ( 223680 93240 ) FS ;
-- _182_ sky130_fd_sc_ls__conb_1 + PLACED ( 187200 253080 ) FS ;
-- _183_ sky130_fd_sc_ls__conb_1 + PLACED ( 280800 136530 ) N ;
-- _184_ sky130_fd_sc_ls__conb_1 + PLACED ( 288960 23310 ) N ;
-- _185_ sky130_fd_sc_ls__conb_1 + PLACED ( 140160 229770 ) N ;
-- _186_ sky130_fd_sc_ls__conb_1 + PLACED ( 265920 173160 ) S ;
-- _187_ sky130_fd_sc_ls__conb_1 + PLACED ( 133440 113220 ) FS ;
-- _188_ sky130_fd_sc_ls__conb_1 + PLACED ( 230880 13320 ) FS ;
-- _189_ sky130_fd_sc_ls__conb_1 + PLACED ( 85440 179820 ) S ;
-- _190_ sky130_fd_sc_ls__conb_1 + PLACED ( 104640 276390 ) FN ;
-- _191_ sky130_fd_sc_ls__conb_1 + PLACED ( 54240 176490 ) FN ;
-- _192_ sky130_fd_sc_ls__conb_1 + PLACED ( 38400 73260 ) FS ;
-- _193_ sky130_fd_sc_ls__conb_1 + PLACED ( 87360 49950 ) N ;
-- _194_ sky130_fd_sc_ls__conb_1 + PLACED ( 251520 123210 ) N ;
-- _195_ sky130_fd_sc_ls__conb_1 + PLACED ( 170400 203130 ) FN ;
-- _196_ sky130_fd_sc_ls__conb_1 + PLACED ( 198240 39960 ) S ;
-- _197_ sky130_fd_sc_ls__conb_1 + PLACED ( 21600 239760 ) FS ;
-- _198_ sky130_fd_sc_ls__conb_1 + PLACED ( 276960 189810 ) FN ;
-- _199_ sky130_fd_sc_ls__conb_1 + PLACED ( 107520 36630 ) N ;
-- _200_ sky130_fd_sc_ls__conb_1 + PLACED ( 157440 173160 ) S ;
-- _201_ sky130_fd_sc_ls__conb_1 + PLACED ( 283200 176490 ) FN ;
-- _202_ sky130_fd_sc_ls__conb_1 + PLACED ( 33600 39960 ) FS ;
-- _203_ sky130_fd_sc_ls__conb_1 + PLACED ( 91200 143190 ) N ;
-- _204_ sky130_fd_sc_ls__conb_1 + PLACED ( 167040 39960 ) FS ;
-- _205_ sky130_fd_sc_ls__conb_1 + PLACED ( 213120 89910 ) N ;
-- _206_ sky130_fd_sc_ls__conb_1 + PLACED ( 35040 243090 ) N ;
-- _207_ sky130_fd_sc_ls__conb_1 + PLACED ( 234720 219780 ) S ;
-- _208_ sky130_fd_sc_ls__conb_1 + PLACED ( 74400 133200 ) FS ;
-- _209_ sky130_fd_sc_ls__conb_1 + PLACED ( 222720 179820 ) S ;
-- _210_ sky130_fd_sc_ls__conb_1 + PLACED ( 93600 96570 ) N ;
-- _211_ sky130_fd_sc_ls__conb_1 + PLACED ( 83040 166500 ) FS ;
-- _212_ sky130_fd_sc_ls__conb_1 + PLACED ( 227040 213120 ) S ;
-- _213_ sky130_fd_sc_ls__conb_1 + PLACED ( 115680 86580 ) FS ;
-- _214_ sky130_fd_sc_ls__conb_1 + PLACED ( 195360 223110 ) FN ;
-- _215_ sky130_fd_sc_ls__conb_1 + PLACED ( 240480 246420 ) S ;
-- _216_ sky130_fd_sc_ls__conb_1 + PLACED ( 144000 63270 ) N ;
-- _217_ sky130_fd_sc_ls__conb_1 + PLACED ( 217440 13320 ) FS ;
-- _218_ sky130_fd_sc_ls__conb_1 + PLACED ( 67680 216450 ) N ;
-- _219_ sky130_fd_sc_ls__conb_1 + PLACED ( 127200 273060 ) FS ;
-- _220_ sky130_fd_sc_ls__conb_1 + PLACED ( 229920 89910 ) FN ;
-- _221_ sky130_fd_sc_ls__conb_1 + PLACED ( 245760 43290 ) N ;
-- _222_ sky130_fd_sc_ls__conb_1 + PLACED ( 254400 103230 ) FN ;
+- AOI22X1 AOI22X1 + PLACED ( 38400 43290 ) N ;
+- BUFX2 BUFX2 + PLACED ( 38400 49950 ) N ;
+- BUFX4 BUFX4 + PLACED ( 38400 56610 ) N ;
+- CLKBUF1 CLKBUF1 + PLACED ( 38400 63270 ) N ;
+- INV INV + PLACED ( 38400 69930 ) N ;
+- INVX1 INVX1 + PLACED ( 38400 76590 ) N ;
+- INVX2 INVX2 + PLACED ( 38400 83250 ) N ;
+- INVX4 INVX4 + PLACED ( 38400 89910 ) N ;
+- INVX8 INVX8 + PLACED ( 38400 96570 ) N ;
+- MUX2X1 MUX2X1 + PLACED ( 38400 103230 ) N ;
+- NAND2X1 NAND2X1 + PLACED ( 38400 109890 ) N ;
+- NAND3X1 NAND3X1 + FIXED ( 38400 116550 ) N ;
+- OR2X1 OR2X1 + FIXED ( 38400 123210 ) N ;
+- OR2X2 OR2X2 + FIXED ( 38400 129870 ) N ;
+- XNOR2X1 XNOR2X1 + FIXED ( 38400 136530 ) N ;
+- XOR2X1 XOR2X1 + FIXED ( 38400 143190 ) N ;
+- _000_ sky130_fd_sc_ls__conb_1 + PLACED ( 180000 66600 ) FS ;
+- _001_ sky130_fd_sc_ls__conb_1 + PLACED ( 74400 179820 ) FS ;
+- _002_ sky130_fd_sc_ls__conb_1 + PLACED ( 241920 153180 ) FS ;
+- _003_ sky130_fd_sc_ls__conb_1 + PLACED ( 84480 139860 ) S ;
+- _004_ sky130_fd_sc_ls__conb_1 + PLACED ( 8640 63270 ) FN ;
+- _005_ sky130_fd_sc_ls__conb_1 + PLACED ( 26880 43290 ) FN ;
+- _006_ sky130_fd_sc_ls__conb_1 + PLACED ( 206400 183150 ) N ;
+- _007_ sky130_fd_sc_ls__conb_1 + PLACED ( 113760 109890 ) FN ;
+- _008_ sky130_fd_sc_ls__conb_1 + PLACED ( 92640 139860 ) S ;
+- _009_ sky130_fd_sc_ls__conb_1 + PLACED ( 203520 109890 ) FN ;
+- _010_ sky130_fd_sc_ls__conb_1 + PLACED ( 140160 256410 ) N ;
+- _011_ sky130_fd_sc_ls__conb_1 + PLACED ( 55200 209790 ) N ;
+- _012_ sky130_fd_sc_ls__conb_1 + PLACED ( 122400 169830 ) N ;
+- _013_ sky130_fd_sc_ls__conb_1 + PLACED ( 187680 86580 ) S ;
+- _014_ sky130_fd_sc_ls__conb_1 + PLACED ( 21120 63270 ) FN ;
+- _015_ sky130_fd_sc_ls__conb_1 + PLACED ( 177120 13320 ) FS ;
+- _016_ sky130_fd_sc_ls__conb_1 + PLACED ( 79200 13320 ) FS ;
+- _017_ sky130_fd_sc_ls__conb_1 + PLACED ( 232800 136530 ) N ;
+- _018_ sky130_fd_sc_ls__conb_1 + PLACED ( 122400 229770 ) N ;
+- _019_ sky130_fd_sc_ls__conb_1 + PLACED ( 207360 129870 ) N ;
+- _020_ sky130_fd_sc_ls__conb_1 + PLACED ( 117600 279720 ) FS ;
+- _021_ sky130_fd_sc_ls__conb_1 + PLACED ( 35520 119880 ) S ;
+- _022_ sky130_fd_sc_ls__conb_1 + PLACED ( 275040 236430 ) N ;
+- _023_ sky130_fd_sc_ls__conb_1 + PLACED ( 65760 276390 ) N ;
+- _024_ sky130_fd_sc_ls__conb_1 + PLACED ( 170400 56610 ) FN ;
+- _025_ sky130_fd_sc_ls__conb_1 + PLACED ( 140160 56610 ) FN ;
+- _026_ sky130_fd_sc_ls__conb_1 + PLACED ( 34080 273060 ) FS ;
+- _027_ sky130_fd_sc_ls__conb_1 + PLACED ( 275040 209790 ) N ;
+- _028_ sky130_fd_sc_ls__conb_1 + PLACED ( 80160 56610 ) N ;
+- _029_ sky130_fd_sc_ls__conb_1 + PLACED ( 281280 63270 ) FN ;
+- _030_ sky130_fd_sc_ls__conb_1 + PLACED ( 158400 146520 ) FS ;
+- _031_ sky130_fd_sc_ls__conb_1 + PLACED ( 216480 79920 ) FS ;
+- _032_ sky130_fd_sc_ls__conb_1 + PLACED ( 104640 273060 ) FS ;
+- _033_ sky130_fd_sc_ls__conb_1 + PLACED ( 38400 59940 ) FS ;
+- _034_ sky130_fd_sc_ls__conb_1 + PLACED ( 96000 83250 ) N ;
+- _035_ sky130_fd_sc_ls__conb_1 + PLACED ( 81600 149850 ) N ;
+- _036_ sky130_fd_sc_ls__conb_1 + PLACED ( 231360 29970 ) FN ;
+- _037_ sky130_fd_sc_ls__conb_1 + PLACED ( 120960 103230 ) FN ;
+- _038_ sky130_fd_sc_ls__conb_1 + PLACED ( 267360 113220 ) S ;
+- _039_ sky130_fd_sc_ls__conb_1 + PLACED ( 192000 86580 ) S ;
+- _040_ sky130_fd_sc_ls__conb_1 + PLACED ( 216960 126540 ) S ;
+- _041_ sky130_fd_sc_ls__conb_1 + PLACED ( 155040 69930 ) FN ;
+- _042_ sky130_fd_sc_ls__conb_1 + PLACED ( 114240 36630 ) FN ;
+- _043_ sky130_fd_sc_ls__conb_1 + PLACED ( 153600 193140 ) FS ;
+- _044_ sky130_fd_sc_ls__conb_1 + PLACED ( 79200 253080 ) FS ;
+- _045_ sky130_fd_sc_ls__conb_1 + PLACED ( 169440 99900 ) S ;
+- _046_ sky130_fd_sc_ls__conb_1 + PLACED ( 140640 73260 ) S ;
+- _047_ sky130_fd_sc_ls__conb_1 + PLACED ( 287520 146520 ) S ;
+- _048_ sky130_fd_sc_ls__conb_1 + PLACED ( 236640 109890 ) FN ;
+- _049_ sky130_fd_sc_ls__conb_1 + PLACED ( 158400 203130 ) N ;
+- _050_ sky130_fd_sc_ls__conb_1 + PLACED ( 139200 119880 ) FS ;
+- _051_ sky130_fd_sc_ls__conb_1 + PLACED ( 219840 176490 ) N ;
+- _052_ sky130_fd_sc_ls__conb_1 + PLACED ( 163200 66600 ) FS ;
+- _053_ sky130_fd_sc_ls__conb_1 + PLACED ( 209760 66600 ) FS ;
+- _054_ sky130_fd_sc_ls__conb_1 + PLACED ( 184800 176490 ) N ;
+- _055_ sky130_fd_sc_ls__conb_1 + PLACED ( 87360 63270 ) N ;
+- _056_ sky130_fd_sc_ls__conb_1 + PLACED ( 7680 96570 ) N ;
+- _057_ sky130_fd_sc_ls__conb_1 + PLACED ( 21600 66600 ) FS ;
+- _058_ sky130_fd_sc_ls__conb_1 + PLACED ( 230880 13320 ) FS ;
+- _059_ sky130_fd_sc_ls__conb_1 + PLACED ( 94080 146520 ) FS ;
+- _060_ sky130_fd_sc_ls__conb_1 + PLACED ( 246720 213120 ) FS ;
+- _061_ sky130_fd_sc_ls__conb_1 + PLACED ( 184800 139860 ) FS ;
+- _062_ sky130_fd_sc_ls__conb_1 + PLACED ( 74400 39960 ) FS ;
+- _063_ sky130_fd_sc_ls__conb_1 + PLACED ( 278880 276390 ) FN ;
+- _064_ sky130_fd_sc_ls__conb_1 + PLACED ( 15840 59940 ) FS ;
+- _065_ sky130_fd_sc_ls__conb_1 + PLACED ( 25440 99900 ) FS ;
+- _066_ sky130_fd_sc_ls__conb_1 + PLACED ( 259200 213120 ) S ;
+- _067_ sky130_fd_sc_ls__conb_1 + PLACED ( 153600 173160 ) S ;
+- _068_ sky130_fd_sc_ls__conb_1 + PLACED ( 190560 13320 ) FS ;
+- _069_ sky130_fd_sc_ls__conb_1 + PLACED ( 137280 253080 ) S ;
+- _070_ sky130_fd_sc_ls__conb_1 + PLACED ( 180480 276390 ) FN ;
+- _071_ sky130_fd_sc_ls__conb_1 + PLACED ( 174240 186480 ) S ;
+- _072_ sky130_fd_sc_ls__conb_1 + PLACED ( 179040 83250 ) N ;
+- _073_ sky130_fd_sc_ls__conb_1 + PLACED ( 178080 203130 ) FN ;
+- _074_ sky130_fd_sc_ls__conb_1 + PLACED ( 235200 89910 ) N ;
+- _075_ sky130_fd_sc_ls__conb_1 + PLACED ( 142560 266400 ) S ;
+- _076_ sky130_fd_sc_ls__conb_1 + PLACED ( 232800 46620 ) FS ;
+- _077_ sky130_fd_sc_ls__conb_1 + PLACED ( 214080 193140 ) S ;
+- _078_ sky130_fd_sc_ls__conb_1 + PLACED ( 191040 206460 ) S ;
+- _079_ sky130_fd_sc_ls__conb_1 + PLACED ( 107040 73260 ) FS ;
+- _080_ sky130_fd_sc_ls__conb_1 + PLACED ( 29760 139860 ) FS ;
+- _081_ sky130_fd_sc_ls__conb_1 + PLACED ( 96000 96570 ) N ;
+- _082_ sky130_fd_sc_ls__conb_1 + PLACED ( 19200 203130 ) N ;
+- _083_ sky130_fd_sc_ls__conb_1 + PLACED ( 224160 116550 ) N ;
+- _084_ sky130_fd_sc_ls__conb_1 + PLACED ( 273120 66600 ) FS ;
+- _085_ sky130_fd_sc_ls__conb_1 + PLACED ( 49440 66600 ) FS ;
+- _086_ sky130_fd_sc_ls__conb_1 + PLACED ( 240480 59940 ) FS ;
+- _087_ sky130_fd_sc_ls__conb_1 + PLACED ( 192960 129870 ) N ;
+- _088_ sky130_fd_sc_ls__conb_1 + PLACED ( 140160 196470 ) FN ;
+- _089_ sky130_fd_sc_ls__conb_1 + PLACED ( 230400 49950 ) N ;
+- _090_ sky130_fd_sc_ls__conb_1 + PLACED ( 174240 69930 ) N ;
+- _091_ sky130_fd_sc_ls__conb_1 + PLACED ( 81120 209790 ) N ;
+- _092_ sky130_fd_sc_ls__conb_1 + PLACED ( 55200 103230 ) N ;
+- _093_ sky130_fd_sc_ls__conb_1 + PLACED ( 198240 99900 ) FS ;
+- _094_ sky130_fd_sc_ls__conb_1 + PLACED ( 64800 156510 ) N ;
+- _095_ sky130_fd_sc_ls__conb_1 + PLACED ( 288000 69930 ) N ;
+- _096_ sky130_fd_sc_ls__conb_1 + PLACED ( 157440 196470 ) N ;
+- _097_ sky130_fd_sc_ls__conb_1 + PLACED ( 260160 223110 ) FN ;
+- _098_ sky130_fd_sc_ls__conb_1 + PLACED ( 240000 203130 ) FN ;
+- _099_ sky130_fd_sc_ls__conb_1 + PLACED ( 117120 243090 ) N ;
+- _100_ sky130_fd_sc_ls__conb_1 + PLACED ( 36000 263070 ) N ;
+- _101_ sky130_fd_sc_ls__conb_1 + PLACED ( 253440 39960 ) FS ;
+- _102_ sky130_fd_sc_ls__conb_1 + PLACED ( 271680 226440 ) S ;
+- _103_ sky130_fd_sc_ls__conb_1 + PLACED ( 36000 146520 ) FS ;
+- _104_ sky130_fd_sc_ls__conb_1 + PLACED ( 146880 79920 ) FS ;
+- _105_ sky130_fd_sc_ls__conb_1 + PLACED ( 100320 36630 ) N ;
+- _106_ sky130_fd_sc_ls__conb_1 + PLACED ( 168480 233100 ) S ;
+- _107_ sky130_fd_sc_ls__conb_1 + PLACED ( 217440 13320 ) FS ;
+- _108_ sky130_fd_sc_ls__conb_1 + PLACED ( 259680 56610 ) N ;
+- _109_ sky130_fd_sc_ls__conb_1 + PLACED ( 108000 213120 ) FS ;
+- _110_ sky130_fd_sc_ls__conb_1 + PLACED ( 12960 69930 ) N ;
+- _111_ sky130_fd_sc_ls__conb_1 + PLACED ( 47520 96570 ) N ;
+- _112_ sky130_fd_sc_ls__conb_1 + PLACED ( 56160 213120 ) FS ;
+- _113_ sky130_fd_sc_ls__conb_1 + PLACED ( 253440 126540 ) FS ;
+- _114_ sky130_fd_sc_ls__conb_1 + PLACED ( 222720 229770 ) FN ;
+- _115_ sky130_fd_sc_ls__conb_1 + PLACED ( 112800 19980 ) FS ;
+- _116_ sky130_fd_sc_ls__conb_1 + PLACED ( 272640 53280 ) FS ;
+- _117_ sky130_fd_sc_ls__conb_1 + PLACED ( 265920 206460 ) S ;
+- _118_ sky130_fd_sc_ls__conb_1 + PLACED ( 158880 273060 ) FS ;
+- _119_ sky130_fd_sc_ls__conb_1 + PLACED ( 173280 269730 ) N ;
+- _120_ sky130_fd_sc_ls__conb_1 + PLACED ( 271200 219780 ) S ;
+- _121_ sky130_fd_sc_ls__conb_1 + PLACED ( 34560 89910 ) N ;
+- _122_ sky130_fd_sc_ls__conb_1 + PLACED ( 109920 13320 ) FS ;
+- _123_ sky130_fd_sc_ls__conb_1 + PLACED ( 135360 219780 ) FS ;
+- _124_ sky130_fd_sc_ls__conb_1 + PLACED ( 72000 176490 ) N ;
+- _125_ sky130_fd_sc_ls__conb_1 + PLACED ( 127200 219780 ) FS ;
+- _126_ sky130_fd_sc_ls__conb_1 + PLACED ( 48000 233100 ) FS ;
+- _127_ sky130_fd_sc_ls__conb_1 + PLACED ( 134880 39960 ) FS ;
+- _128_ sky130_fd_sc_ls__conb_1 + PLACED ( 74400 246420 ) FS ;
+- _129_ sky130_fd_sc_ls__conb_1 + PLACED ( 245760 203130 ) FN ;
+- _130_ sky130_fd_sc_ls__conb_1 + PLACED ( 124800 76590 ) N ;
+- _131_ sky130_fd_sc_ls__conb_1 + PLACED ( 42240 99900 ) FS ;
+- _132_ sky130_fd_sc_ls__conb_1 + PLACED ( 102720 66600 ) FS ;
+- _133_ sky130_fd_sc_ls__conb_1 + PLACED ( 245760 116550 ) N ;
+- _134_ sky130_fd_sc_ls__conb_1 + PLACED ( 87360 276390 ) N ;
+- _135_ sky130_fd_sc_ls__conb_1 + PLACED ( 17280 209790 ) N ;
+- _136_ sky130_fd_sc_ls__conb_1 + PLACED ( 271680 159840 ) FS ;
+- _137_ sky130_fd_sc_ls__conb_1 + PLACED ( 123360 13320 ) FS ;
+- _138_ sky130_fd_sc_ls__conb_1 + PLACED ( 75840 253080 ) FS ;
+- _139_ sky130_fd_sc_ls__conb_1 + PLACED ( 223200 226440 ) FS ;
+- _140_ sky130_fd_sc_ls__conb_1 + PLACED ( 207360 276390 ) N ;
+- _141_ sky130_fd_sc_ls__conb_1 + PLACED ( 219360 229770 ) N ;
+- _142_ sky130_fd_sc_ls__conb_1 + PLACED ( 273600 203130 ) N ;
+- _143_ sky130_fd_sc_ls__conb_1 + PLACED ( 76320 59940 ) FS ;
+- _144_ sky130_fd_sc_ls__conb_1 + PLACED ( 27840 276390 ) N ;
+- _145_ sky130_fd_sc_ls__conb_1 + PLACED ( 154080 219780 ) FS ;
+- _146_ sky130_fd_sc_ls__conb_1 + PLACED ( 102720 203130 ) N ;
+- _147_ sky130_fd_sc_ls__conb_1 + PLACED ( 207360 119880 ) FS ;
+- _148_ sky130_fd_sc_ls__conb_1 + PLACED ( 106560 239760 ) FS ;
+- _149_ sky130_fd_sc_ls__conb_1 + PLACED ( 74400 53280 ) FS ;
+- _150_ sky130_fd_sc_ls__conb_1 + PLACED ( 232800 173160 ) FS ;
+- _151_ sky130_fd_sc_ls__conb_1 + PLACED ( 219360 149850 ) N ;
+- _152_ sky130_fd_sc_ls__conb_1 + PLACED ( 197760 236430 ) N ;
+- _153_ sky130_fd_sc_ls__conb_1 + PLACED ( 236160 46620 ) FS ;
+- _154_ sky130_fd_sc_ls__conb_1 + PLACED ( 90240 213120 ) FS ;
+- _155_ sky130_fd_sc_ls__conb_1 + PLACED ( 16800 189810 ) N ;
+- _156_ sky130_fd_sc_ls__conb_1 + PLACED ( 96960 123210 ) N ;
+- _157_ sky130_fd_sc_ls__conb_1 + PLACED ( 176640 43290 ) N ;
+- _158_ sky130_fd_sc_ls__conb_1 + PLACED ( 175680 209790 ) N ;
+- _159_ sky130_fd_sc_ls__conb_1 + PLACED ( 147360 143190 ) N ;
+- _160_ sky130_fd_sc_ls__conb_1 + PLACED ( 276000 26640 ) FS ;
+- _161_ sky130_fd_sc_ls__conb_1 + PLACED ( 136800 13320 ) FS ;
+- _162_ sky130_fd_sc_ls__conb_1 + PLACED ( 288000 89910 ) N ;
+- _163_ sky130_fd_sc_ls__conb_1 + PLACED ( 21600 119880 ) FS ;
+- _164_ sky130_fd_sc_ls__conb_1 + PLACED ( 277440 269730 ) FN ;
+- _165_ sky130_fd_sc_ls__conb_1 + PLACED ( 54720 109890 ) N ;
+- _166_ sky130_fd_sc_ls__conb_1 + PLACED ( 121440 273060 ) FS ;
+- _167_ sky130_fd_sc_ls__conb_1 + PLACED ( 48000 153180 ) FS ;
+- _168_ sky130_fd_sc_ls__conb_1 + PLACED ( 256320 56610 ) N ;
+- _169_ sky130_fd_sc_ls__conb_1 + PLACED ( 34560 233100 ) FS ;
+- _170_ sky130_fd_sc_ls__conb_1 + PLACED ( 285600 73260 ) FS ;
+- _171_ sky130_fd_sc_ls__conb_1 + PLACED ( 172800 53280 ) FS ;
+- _172_ sky130_fd_sc_ls__conb_1 + PLACED ( 168960 39960 ) FS ;
+- _173_ sky130_fd_sc_ls__conb_1 + PLACED ( 166080 113220 ) FS ;
+- _174_ sky130_fd_sc_ls__conb_1 + PLACED ( 137280 266400 ) FS ;
+- _175_ sky130_fd_sc_ls__conb_1 + PLACED ( 221760 136530 ) N ;
+- _176_ sky130_fd_sc_ls__conb_1 + PLACED ( 129600 196470 ) N ;
+- _177_ sky130_fd_sc_ls__conb_1 + PLACED ( 288960 23310 ) N ;
+- _178_ sky130_fd_sc_ls__conb_1 + PLACED ( 93600 166500 ) FS ;
+- _179_ sky130_fd_sc_ls__conb_1 + PLACED ( 168960 83250 ) N ;
+- _180_ sky130_fd_sc_ls__conb_1 + PLACED ( 66240 199800 ) FS ;
+- _181_ sky130_fd_sc_ls__conb_1 + PLACED ( 92640 36630 ) N ;
+- _182_ sky130_fd_sc_ls__conb_1 + PLACED ( 214560 59940 ) FS ;
+- _183_ sky130_fd_sc_ls__conb_1 + PLACED ( 150240 13320 ) FS ;
+- _184_ sky130_fd_sc_ls__conb_1 + PLACED ( 112320 39960 ) FS ;
+- _185_ sky130_fd_sc_ls__conb_1 + PLACED ( 273600 79920 ) FS ;
+- _186_ sky130_fd_sc_ls__conb_1 + PLACED ( 66720 93240 ) FS ;
+- _187_ sky130_fd_sc_ls__conb_1 + PLACED ( 121440 239760 ) S ;
+- _188_ sky130_fd_sc_ls__conb_1 + PLACED ( 65280 209790 ) FN ;
+- _189_ sky130_fd_sc_ls__conb_1 + PLACED ( 213120 176490 ) FN ;
+- _190_ sky130_fd_sc_ls__conb_1 + PLACED ( 232800 73260 ) FS ;
+- _191_ sky130_fd_sc_ls__conb_1 + PLACED ( 88320 163170 ) N ;
+- _192_ sky130_fd_sc_ls__conb_1 + PLACED ( 159840 106560 ) FS ;
+- _193_ sky130_fd_sc_ls__conb_1 + PLACED ( 84480 253080 ) S ;
+- _194_ sky130_fd_sc_ls__conb_1 + PLACED ( 34560 83250 ) N ;
+- _195_ sky130_fd_sc_ls__conb_1 + PLACED ( 142080 183150 ) FN ;
+- _196_ sky130_fd_sc_ls__conb_1 + PLACED ( 163680 13320 ) FS ;
+- _197_ sky130_fd_sc_ls__conb_1 + PLACED ( 96480 13320 ) FS ;
+- _198_ sky130_fd_sc_ls__conb_1 + PLACED ( 273120 229770 ) FN ;
+- _199_ sky130_fd_sc_ls__conb_1 + PLACED ( 103680 209790 ) FN ;
+- _200_ sky130_fd_sc_ls__conb_1 + PLACED ( 90240 26640 ) FS ;
+- _201_ sky130_fd_sc_ls__conb_1 + PLACED ( 13440 259740 ) FS ;
+- _202_ sky130_fd_sc_ls__conb_1 + PLACED ( 166560 56610 ) N ;
+- _203_ sky130_fd_sc_ls__conb_1 + PLACED ( 271200 206460 ) S ;
+- _204_ sky130_fd_sc_ls__conb_1 + PLACED ( 60960 249750 ) FN ;
+- _205_ sky130_fd_sc_ls__conb_1 + PLACED ( 206400 19980 ) S ;
+- _206_ sky130_fd_sc_ls__conb_1 + PLACED ( 45600 209790 ) N ;
+- _207_ sky130_fd_sc_ls__conb_1 + PLACED ( 140160 143190 ) N ;
+- _208_ sky130_fd_sc_ls__conb_1 + PLACED ( 87360 229770 ) FN ;
+- _209_ sky130_fd_sc_ls__conb_1 + PLACED ( 187200 79920 ) FS ;
+- _210_ sky130_fd_sc_ls__conb_1 + PLACED ( 166560 109890 ) N ;
+- _211_ sky130_fd_sc_ls__conb_1 + PLACED ( 195360 133200 ) FS ;
+- _212_ sky130_fd_sc_ls__conb_1 + PLACED ( 74400 219780 ) S ;
+- _213_ sky130_fd_sc_ls__conb_1 + PLACED ( 25440 39960 ) FS ;
+- _214_ sky130_fd_sc_ls__conb_1 + PLACED ( 144000 39960 ) FS ;
+- _215_ sky130_fd_sc_ls__conb_1 + PLACED ( 91680 159840 ) FS ;
+- _216_ sky130_fd_sc_ls__conb_1 + PLACED ( 92160 206460 ) FS ;
+- _217_ sky130_fd_sc_ls__conb_1 + PLACED ( 107520 109890 ) N ;
 - PHY_0 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 13320 ) FS ;
 - PHY_1 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 13320 ) S ;
 - PHY_2 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 16650 ) N ;
@@ -1511,35 +1511,35 @@
 - PHY_1045 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 288000 283050 ) N ;
 - input1 sky130_fd_sc_ls__buf_2 + PLACED ( 7680 283050 ) N ;
 - input2 sky130_fd_sc_ls__buf_2 + PLACED ( 79680 283050 ) N ;
-- input3 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 88800 283050 ) N ;
+- input3 sky130_fd_sc_ls__buf_2 + PLACED ( 88800 283050 ) N ;
 - input4 sky130_fd_sc_ls__buf_2 + PLACED ( 95520 283050 ) N ;
-- input5 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 103200 283050 ) N ;
-- input6 sky130_fd_sc_ls__buf_2 + PLACED ( 115680 283050 ) N ;
-- input7 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 120000 283050 ) N ;
-- input8 sky130_fd_sc_ls__buf_2 + PLACED ( 129120 283050 ) N ;
-- input9 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 134880 283050 ) N ;
-- input10 sky130_fd_sc_ls__buf_2 + PLACED ( 143040 283050 ) N ;
-- input11 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 149760 283050 ) N ;
+- input5 sky130_fd_sc_ls__buf_2 + PLACED ( 103200 283050 ) N ;
+- input6 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 109440 283050 ) N ;
+- input7 sky130_fd_sc_ls__buf_2 + PLACED ( 119040 283050 ) N ;
+- input8 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 129120 283050 ) N ;
+- input9 sky130_fd_sc_ls__buf_2 + PLACED ( 134880 283050 ) N ;
+- input10 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 143040 283050 ) N ;
+- input11 sky130_fd_sc_ls__buf_2 + PLACED ( 149280 283050 ) N ;
 - input12 sky130_fd_sc_ls__buf_2 + PLACED ( 12000 283050 ) N ;
-- input13 sky130_fd_sc_ls__buf_2 + PLACED ( 158400 283050 ) N ;
+- input13 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 158400 283050 ) N ;
 - input14 sky130_fd_sc_ls__buf_2 + PLACED ( 169440 283050 ) N ;
-- input15 sky130_fd_sc_ls__buf_2 + PLACED ( 174240 283050 ) N ;
-- input16 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 182880 283050 ) N ;
-- input17 sky130_fd_sc_ls__clkbuf_4 + PLACED ( 189120 283050 ) N ;
+- input15 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 174240 283050 ) N ;
+- input16 sky130_fd_sc_ls__clkbuf_4 + PLACED ( 182880 283050 ) N ;
+- input17 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 190080 283050 ) N ;
 - input18 sky130_fd_sc_ls__clkbuf_4 + PLACED ( 198240 283050 ) N ;
 - input19 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 209760 283050 ) N ;
 - input20 sky130_fd_sc_ls__clkbuf_4 + PLACED ( 214080 283050 ) N ;
-- input21 sky130_fd_sc_ls__clkbuf_4 + PLACED ( 223200 283050 ) N ;
+- input21 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 223200 283050 ) N ;
 - input22 sky130_fd_sc_ls__clkbuf_4 + PLACED ( 229440 283050 ) N ;
 - input23 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 28800 283050 ) N ;
 - input24 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 237600 283050 ) N ;
 - input25 sky130_fd_sc_ls__clkbuf_4 + PLACED ( 242880 283050 ) N ;
 - input26 sky130_fd_sc_ls__clkbuf_4 + PLACED ( 253440 283050 ) N ;
-- input27 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 263520 283050 ) N ;
-- input28 sky130_fd_sc_ls__clkbuf_4 + PLACED ( 269280 283050 ) N ;
+- input27 sky130_fd_sc_ls__clkbuf_4 + PLACED ( 263520 283050 ) N ;
+- input28 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 269280 283050 ) N ;
 - input29 sky130_fd_sc_ls__clkbuf_4 + PLACED ( 276960 283050 ) N ;
-- input30 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 285600 279720 ) FS ;
-- input31 sky130_fd_sc_ls__clkbuf_4 + PLACED ( 283200 283050 ) N ;
+- input30 sky130_fd_sc_ls__clkbuf_4 + PLACED ( 283200 283050 ) N ;
+- input31 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 288480 279720 ) FS ;
 - input32 sky130_fd_sc_ls__buf_2 + PLACED ( 24480 283050 ) N ;
 - input33 sky130_fd_sc_ls__buf_2 + PLACED ( 35040 283050 ) N ;
 - input34 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 40320 283050 ) N ;
@@ -1558,7 +1558,7 @@
 - input47 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 267360 13320 ) FS ;
 - input48 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 263040 19980 ) FS ;
 - input49 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 266880 19980 ) FS ;
-- input50 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 82560 13320 ) FS ;
+- input50 sky130_fd_sc_ls__buf_1 + PLACED ( 82560 13320 ) FS ;
 - input51 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 272160 16650 ) N ;
 - input52 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 276960 13320 ) FS ;
 - input53 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 270720 19980 ) FS ;
@@ -1569,7 +1569,7 @@
 - input58 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 283680 16650 ) N ;
 - input59 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 287520 16650 ) N ;
 - input60 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 285600 19980 ) FS ;
-- input61 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 88800 13320 ) FS ;
+- input61 sky130_fd_sc_ls__buf_1 + PLACED ( 88800 13320 ) FS ;
 - input62 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 285120 23310 ) N ;
 - input63 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 287040 26640 ) FS ;
 - input64 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 281280 23310 ) N ;
@@ -1579,8 +1579,8 @@
 - input68 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 277440 23310 ) N ;
 - input69 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 279360 26640 ) FS ;
 - input70 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 87360 16650 ) N ;
-- input71 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 92640 13320 ) FS ;
-- input72 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 91200 16650 ) N ;
+- input71 sky130_fd_sc_ls__buf_1 + PLACED ( 92640 13320 ) FS ;
+- input72 sky130_fd_sc_ls__buf_1 + PLACED ( 91200 16650 ) N ;
 - input73 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 95040 16650 ) N ;
 - input74 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 102240 13320 ) FS ;
 - input75 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 98880 16650 ) N ;
@@ -1619,7 +1619,7 @@
 - input108 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 155520 16650 ) N ;
 - input109 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 159840 13320 ) FS ;
 - input110 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 154560 19980 ) FS ;
-- input111 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 75360 13320 ) FS ;
+- input111 sky130_fd_sc_ls__buf_1 + PLACED ( 75360 13320 ) FS ;
 - input112 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 159360 16650 ) N ;
 - input113 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 158400 19980 ) FS ;
 - input114 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 169440 13320 ) FS ;
@@ -1630,7 +1630,7 @@
 - input119 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 169440 19980 ) FS ;
 - input120 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 174240 16650 ) N ;
 - input121 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 173280 19980 ) FS ;
-- input122 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 75840 16650 ) N ;
+- input122 sky130_fd_sc_ls__buf_1 + PLACED ( 75840 16650 ) N ;
 - input123 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 178080 16650 ) N ;
 - input124 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 182880 13320 ) FS ;
 - input125 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 181920 16650 ) N ;
@@ -1652,7 +1652,7 @@
 - input141 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 213600 13320 ) FS ;
 - input142 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 212160 16650 ) N ;
 - input143 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 209760 19980 ) FS ;
-- input144 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 77280 19980 ) FS ;
+- input144 sky130_fd_sc_ls__buf_1 + PLACED ( 77280 19980 ) FS ;
 - input145 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 213600 19980 ) FS ;
 - input146 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 219360 16650 ) N ;
 - input147 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 223200 13320 ) FS ;
@@ -1663,7 +1663,7 @@
 - input152 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 224640 19980 ) FS ;
 - input153 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 230880 16650 ) N ;
 - input154 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 236640 13320 ) FS ;
-- input155 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 81120 19980 ) FS ;
+- input155 sky130_fd_sc_ls__buf_1 + PLACED ( 81120 19980 ) FS ;
 - input156 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 234720 16650 ) N ;
 - input157 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 240480 13320 ) FS ;
 - input158 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 233760 19980 ) FS ;
@@ -1878,33 +1878,33 @@
 - input367 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 7680 36630 ) N ;
 - output368 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 7680 279720 ) FS ;
 - output369 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 69120 283050 ) N ;
-- output370 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 107040 283050 ) N ;
+- output370 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 90240 279720 ) FS ;
 - output371 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 100800 279720 ) FS ;
 - output372 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 106080 279720 ) FS ;
 - output373 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 113760 279720 ) FS ;
 - output374 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 120960 279720 ) FS ;
 - output375 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 129600 279720 ) FS ;
-- output376 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 137760 279720 ) FS ;
-- output377 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 162720 283050 ) N ;
-- output378 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 153600 279720 ) FS ;
+- output376 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 137760 279720 ) S ;
+- output377 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 162240 283050 ) N ;
+- output378 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 153600 279720 ) S ;
 - output379 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 11520 279720 ) FS ;
 - output380 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 161280 279720 ) FS ;
-- output381 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 168960 279720 ) FS ;
-- output382 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 180000 279720 ) FS ;
-- output383 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 203040 283050 ) FN ;
-- output384 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 192960 279720 ) S ;
+- output381 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 168960 279720 ) S ;
+- output382 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 180000 279720 ) S ;
+- output383 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 203040 283050 ) N ;
+- output384 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 192960 279720 ) FS ;
 - output385 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 200160 279720 ) S ;
-- output386 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 208800 279720 ) FS ;
-- output387 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 216480 279720 ) FS ;
-- output388 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 224640 279720 ) FS ;
+- output386 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 208800 279720 ) S ;
+- output387 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 216480 279720 ) S ;
+- output388 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 224640 279720 ) S ;
 - output389 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 232800 279720 ) S ;
 - output390 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 21600 279720 ) FS ;
 - output391 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 240000 279720 ) FS ;
 - output392 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 248160 279720 ) FS ;
-- output393 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 259200 279720 ) S ;
-- output394 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 264000 279720 ) S ;
-- output395 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 271680 279720 ) FS ;
-- output396 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 279360 279720 ) FS ;
+- output393 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 259200 279720 ) FS ;
+- output394 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 264000 279720 ) FS ;
+- output395 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 271680 279720 ) S ;
+- output396 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 279360 279720 ) S ;
 - output397 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 287520 276390 ) FN ;
 - output398 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 288480 273060 ) S ;
 - output399 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 26880 279720 ) FS ;
@@ -1912,21 +1912,21 @@
 - output401 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 41760 279720 ) FS ;
 - output402 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 50880 279720 ) FS ;
 - output403 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 58560 279720 ) FS ;
-- output404 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 66720 279720 ) FS ;
+- output404 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 66720 279720 ) S ;
 - output405 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 74400 279720 ) FS ;
 - output406 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 7680 276390 ) N ;
 - output407 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 84960 279720 ) FS ;
-- output408 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 92640 279720 ) S ;
-- output409 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 100800 276390 ) FN ;
-- output410 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 109920 279720 ) S ;
-- output411 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 116640 276390 ) N ;
-- output412 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 124320 276390 ) FN ;
-- output413 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 133440 279720 ) FS ;
-- output414 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 141600 279720 ) S ;
+- output408 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 94080 279720 ) FS ;
+- output409 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 100800 276390 ) N ;
+- output410 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 109920 279720 ) FS ;
+- output411 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 116640 276390 ) FN ;
+- output412 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 124320 276390 ) N ;
+- output413 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 133440 279720 ) S ;
+- output414 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 141600 279720 ) FS ;
 - output415 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 147360 279720 ) S ;
-- output416 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 157440 279720 ) S ;
+- output416 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 157440 279720 ) FS ;
 - output417 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 15360 279720 ) FS ;
-- output418 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 165120 279720 ) FS ;
+- output418 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 165120 279720 ) S ;
 - output419 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 172800 279720 ) FS ;
 - output420 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 183840 279720 ) S ;
 - output421 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 187680 279720 ) S ;
@@ -1938,8 +1938,8 @@
 - output427 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 234720 276390 ) FN ;
 - output428 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 21600 276390 ) N ;
 - output429 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 243840 279720 ) S ;
-- output430 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 252000 279720 ) S ;
-- output431 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 258720 276390 ) N ;
+- output430 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 252000 279720 ) FS ;
+- output431 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 258720 276390 ) FN ;
 - output432 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 267840 279720 ) S ;
 - output433 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 275520 279720 ) S ;
 - output434 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 282240 276390 ) FN ;
@@ -1954,34 +1954,34 @@
 - output443 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 78240 279720 ) S ;
 - output444 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 68640 29970 ) N ;
 - output445 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 253440 29970 ) FN ;
-- output446 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 250560 33300 ) FS ;
-- output447 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 257280 29970 ) FN ;
+- output446 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 250560 33300 ) S ;
+- output447 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 257280 29970 ) N ;
 - output448 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 261120 29970 ) N ;
-- output449 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 259200 33300 ) FS ;
-- output450 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 258240 36630 ) FN ;
+- output449 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 259200 33300 ) S ;
+- output450 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 258240 36630 ) N ;
 - output451 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 263040 33300 ) FS ;
 - output452 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 262080 36630 ) N ;
 - output453 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 265920 36630 ) N ;
-- output454 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 265440 39960 ) FS ;
+- output454 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 265440 39960 ) S ;
 - output455 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 87360 29970 ) N ;
 - output456 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 269280 39960 ) FS ;
-- output457 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 272160 43290 ) N ;
-- output458 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 271200 46620 ) FS ;
-- output459 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 276000 43290 ) N ;
-- output460 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 275040 46620 ) S ;
-- output461 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 278880 46620 ) FS ;
-- output462 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 278400 49950 ) FN ;
+- output457 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 272160 43290 ) FN ;
+- output458 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 271200 46620 ) S ;
+- output459 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 276000 43290 ) FN ;
+- output460 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 275040 46620 ) FS ;
+- output461 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 278880 46620 ) S ;
+- output462 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 278400 49950 ) N ;
 - output463 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 282240 49950 ) N ;
-- output464 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 286080 49950 ) FN ;
+- output464 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 286080 49950 ) N ;
 - output465 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 285600 53280 ) FS ;
 - output466 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 85440 33300 ) FS ;
 - output467 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 285600 56610 ) FN ;
-- output468 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 287520 59940 ) S ;
-- output469 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 265920 43290 ) N ;
+- output468 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 287520 59940 ) FS ;
+- output469 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 265920 43290 ) FN ;
 - output470 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 279360 53280 ) FS ;
 - output471 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 274560 49950 ) FN ;
 - output472 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 261600 39960 ) FS ;
-- output473 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 254400 36630 ) N ;
+- output473 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 254400 36630 ) FN ;
 - output474 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 281760 56610 ) FN ;
 - output475 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 91200 29970 ) N ;
 - output476 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 89280 33300 ) FS ;
@@ -1989,7 +1989,7 @@
 - output478 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 93120 33300 ) FS ;
 - output479 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 98880 29970 ) N ;
 - output480 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 102720 29970 ) N ;
-- output481 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 100800 33300 ) S ;
+- output481 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 100800 33300 ) FS ;
 - output482 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 106560 29970 ) N ;
 - output483 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 67200 33300 ) FS ;
 - output484 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 104640 33300 ) FS ;
@@ -1997,20 +1997,20 @@
 - output486 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 108480 33300 ) FS ;
 - output487 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 113760 29970 ) N ;
 - output488 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 112320 33300 ) FS ;
-- output489 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 117600 29970 ) FN ;
-- output490 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 116160 33300 ) S ;
-- output491 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 121440 29970 ) FN ;
+- output489 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 117600 29970 ) N ;
+- output490 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 116160 33300 ) FS ;
+- output491 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 121440 29970 ) N ;
 - output492 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 120000 33300 ) S ;
 - output493 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 118560 36630 ) N ;
 - output494 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 72480 29970 ) N ;
-- output495 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 122400 36630 ) FN ;
+- output495 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 122400 36630 ) N ;
 - output496 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 127200 33300 ) FS ;
 - output497 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 126240 36630 ) N ;
 - output498 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 131040 33300 ) FS ;
-- output499 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 130080 36630 ) FN ;
-- output500 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 134880 33300 ) S ;
-- output501 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 140160 29970 ) FN ;
-- output502 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 133920 36630 ) N ;
+- output499 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 130080 36630 ) N ;
+- output500 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 134880 33300 ) FS ;
+- output501 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 140160 29970 ) N ;
+- output502 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 133920 36630 ) FN ;
 - output503 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 138720 33300 ) FS ;
 - output504 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 144000 29970 ) N ;
 - output505 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 76320 29970 ) N ;
@@ -2018,32 +2018,32 @@
 - output507 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 140640 36630 ) N ;
 - output508 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 146400 33300 ) FS ;
 - output509 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 152160 29970 ) N ;
-- output510 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 145920 36630 ) FN ;
-- output511 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 149760 36630 ) N ;
+- output510 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 145920 36630 ) N ;
+- output511 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 149760 36630 ) FN ;
 - output512 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 153600 33300 ) S ;
 - output513 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 159840 29970 ) N ;
-- output514 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 157440 33300 ) S ;
+- output514 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 157440 33300 ) FS ;
 - output515 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 155040 36630 ) N ;
-- output516 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 74400 33300 ) S ;
+- output516 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 74400 33300 ) FS ;
 - output517 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 161280 33300 ) FS ;
-- output518 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 166560 29970 ) FN ;
+- output518 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 166560 29970 ) N ;
 - output519 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 165120 33300 ) FS ;
 - output520 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 170400 29970 ) N ;
 - output521 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 168960 33300 ) FS ;
 - output522 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 174240 29970 ) FN ;
-- output523 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 168000 36630 ) N ;
+- output523 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 168000 36630 ) FN ;
 - output524 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 172800 33300 ) FS ;
-- output525 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 171840 36630 ) N ;
+- output525 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 171840 36630 ) FN ;
 - output526 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 175680 36630 ) N ;
-- output527 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 80160 29970 ) FN ;
+- output527 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 80160 29970 ) N ;
 - output528 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 180000 33300 ) FS ;
-- output529 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 185280 29970 ) FN ;
+- output529 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 185280 29970 ) N ;
 - output530 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 179520 36630 ) N ;
 - output531 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 183840 33300 ) FS ;
 - output532 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 183360 36630 ) FN ;
-- output533 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 187680 33300 ) FS ;
-- output534 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 191520 33300 ) S ;
-- output535 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 195360 33300 ) FS ;
+- output533 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 187680 33300 ) S ;
+- output534 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 191520 33300 ) FS ;
+- output535 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 195360 33300 ) S ;
 - output536 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 192960 36630 ) N ;
 - output537 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 199200 33300 ) S ;
 - output538 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 78240 33300 ) FS ;
@@ -2053,36 +2053,36 @@
 - output542 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 206400 33300 ) FS ;
 - output543 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 204480 36630 ) N ;
 - output544 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 213120 29970 ) N ;
-- output545 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 210240 33300 ) S ;
-- output546 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 208320 36630 ) N ;
+- output545 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 210240 33300 ) FS ;
+- output546 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 208320 36630 ) FN ;
 - output547 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 214080 33300 ) FS ;
 - output548 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 219360 29970 ) N ;
 - output549 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 78240 36630 ) N ;
-- output550 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 212160 36630 ) N ;
+- output550 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 212160 36630 ) FN ;
 - output551 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 217920 33300 ) S ;
 - output552 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 223200 29970 ) N ;
-- output553 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 221760 33300 ) S ;
-- output554 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 219360 36630 ) N ;
+- output553 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 221760 33300 ) FS ;
+- output554 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 219360 36630 ) FN ;
 - output555 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 225600 33300 ) S ;
-- output556 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 223200 36630 ) N ;
-- output557 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 227040 36630 ) N ;
+- output556 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 223200 36630 ) FN ;
+- output557 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 227040 36630 ) FN ;
 - output558 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 232800 33300 ) FS ;
-- output559 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 230880 36630 ) N ;
+- output559 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 230880 36630 ) FN ;
 - output560 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 80160 39960 ) FS ;
-- output561 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 236640 33300 ) FS ;
-- output562 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 234720 36630 ) N ;
+- output561 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 236640 33300 ) S ;
+- output562 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 234720 36630 ) FN ;
 - output563 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 240480 33300 ) FS ;
 - output564 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 238560 36630 ) N ;
-- output565 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 244320 33300 ) FS ;
+- output565 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 244320 33300 ) S ;
 - output566 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 240000 39960 ) FS ;
-- output567 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 245760 36630 ) N ;
-- output568 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 243840 39960 ) S ;
-- output569 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 249600 36630 ) N ;
+- output567 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 245760 36630 ) FN ;
+- output568 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 243840 39960 ) FS ;
+- output569 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 249600 36630 ) FN ;
 - output570 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 247680 39960 ) FS ;
 - output571 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 84000 39960 ) FS ;
 - output572 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 7680 39960 ) FS ;
 - output573 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 11520 36630 ) N ;
-- output574 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 29280 26640 ) S ;
+- output574 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 29280 26640 ) FS ;
 - output575 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 27360 29970 ) N ;
 - output576 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 29280 33300 ) FS ;
 - output577 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 34560 29970 ) N ;
@@ -2090,13 +2090,13 @@
 - output579 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 36960 33300 ) FS ;
 - output580 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 40800 33300 ) FS ;
 - output581 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 38400 39960 ) FS ;
-- output582 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 48000 33300 ) FS ;
+- output582 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 48000 33300 ) S ;
 - output583 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 46080 36630 ) N ;
 - output584 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 11520 39960 ) FS ;
 - output585 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 51840 33300 ) FS ;
 - output586 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 49920 36630 ) N ;
 - output587 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 48000 39960 ) FS ;
-- output588 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 53760 36630 ) N ;
+- output588 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 53760 36630 ) FN ;
 - output589 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 51840 39960 ) FS ;
 - output590 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 55680 39960 ) FS ;
 - output591 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 60960 36630 ) N ;
@@ -2113,247 +2113,271 @@
 - output602 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 23040 36630 ) N ;
 - output603 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 21600 39960 ) FS ;
 - output604 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 26880 36630 ) N ;
-- ANTENNA_0 sky130_fd_sc_ls__diode_2 + PLACED ( 205440 19980 ) FS ;
-- ANTENNA_1 sky130_fd_sc_ls__diode_2 + PLACED ( 68160 283050 ) N ;
-- ANTENNA_2 sky130_fd_sc_ls__diode_2 + PLACED ( 253440 103230 ) FN ;
-- ANTENNA_3 sky130_fd_sc_ls__diode_2 + PLACED ( 106080 283050 ) N ;
-- ANTENNA_4 sky130_fd_sc_ls__diode_2 + PLACED ( 109920 99900 ) FS ;
-- ANTENNA_5 sky130_fd_sc_ls__diode_2 + PLACED ( 99840 279720 ) FS ;
-- ANTENNA_6 sky130_fd_sc_ls__diode_2 + PLACED ( 213120 119880 ) S ;
-- ANTENNA_7 sky130_fd_sc_ls__diode_2 + PLACED ( 105120 279720 ) FS ;
-- ANTENNA_8 sky130_fd_sc_ls__diode_2 + PLACED ( 175200 76590 ) FN ;
-- ANTENNA_9 sky130_fd_sc_ls__diode_2 + PLACED ( 136800 279720 ) FS ;
-- ANTENNA_10 sky130_fd_sc_ls__diode_2 + PLACED ( 277440 56610 ) N ;
-- ANTENNA_11 sky130_fd_sc_ls__diode_2 + PLACED ( 10560 279720 ) FS ;
-- ANTENNA_12 sky130_fd_sc_ls__diode_2 + PLACED ( 13920 53280 ) FS ;
-- ANTENNA_13 sky130_fd_sc_ls__diode_2 + PLACED ( 202080 283050 ) FN ;
-- ANTENNA_14 sky130_fd_sc_ls__diode_2 + PLACED ( 204960 283050 ) FN ;
-- ANTENNA_15 sky130_fd_sc_ls__diode_2 + PLACED ( 149760 43290 ) FN ;
-- ANTENNA_16 sky130_fd_sc_ls__diode_2 + PLACED ( 192000 279720 ) S ;
-- ANTENNA_17 sky130_fd_sc_ls__diode_2 + PLACED ( 182880 73260 ) S ;
-- ANTENNA_18 sky130_fd_sc_ls__diode_2 + PLACED ( 199200 279720 ) S ;
-- ANTENNA_19 sky130_fd_sc_ls__diode_2 + PLACED ( 233760 123210 ) FN ;
-- ANTENNA_20 sky130_fd_sc_ls__diode_2 + PLACED ( 207840 279720 ) FS ;
-- ANTENNA_21 sky130_fd_sc_ls__diode_2 + PLACED ( 169920 39960 ) S ;
-- ANTENNA_22 sky130_fd_sc_ls__diode_2 + PLACED ( 231840 279720 ) S ;
-- ANTENNA_23 sky130_fd_sc_ls__diode_2 + PLACED ( 60000 16650 ) FN ;
-- ANTENNA_24 sky130_fd_sc_ls__diode_2 + PLACED ( 258240 279720 ) S ;
-- ANTENNA_25 sky130_fd_sc_ls__diode_2 + PLACED ( 261120 279720 ) S ;
-- ANTENNA_26 sky130_fd_sc_ls__diode_2 + PLACED ( 263040 279720 ) S ;
-- ANTENNA_27 sky130_fd_sc_ls__diode_2 + PLACED ( 220320 79920 ) FS ;
-- ANTENNA_28 sky130_fd_sc_ls__diode_2 + PLACED ( 286560 276390 ) FN ;
-- ANTENNA_29 sky130_fd_sc_ls__diode_2 + PLACED ( 181920 43290 ) FN ;
-- ANTENNA_30 sky130_fd_sc_ls__diode_2 + PLACED ( 287520 273060 ) S ;
-- ANTENNA_31 sky130_fd_sc_ls__diode_2 + PLACED ( 111360 86580 ) FS ;
-- ANTENNA_32 sky130_fd_sc_ls__diode_2 + PLACED ( 25920 279720 ) FS ;
-- ANTENNA_33 sky130_fd_sc_ls__diode_2 + PLACED ( 228960 89910 ) FN ;
-- ANTENNA_34 sky130_fd_sc_ls__diode_2 + PLACED ( 40800 279720 ) FS ;
-- ANTENNA_35 sky130_fd_sc_ls__diode_2 + PLACED ( 139200 136530 ) N ;
-- ANTENNA_36 sky130_fd_sc_ls__diode_2 + PLACED ( 65760 279720 ) FS ;
-- ANTENNA_37 sky130_fd_sc_ls__diode_2 + PLACED ( 205440 113220 ) S ;
-- ANTENNA_38 sky130_fd_sc_ls__diode_2 + PLACED ( 9600 276390 ) N ;
-- ANTENNA_39 sky130_fd_sc_ls__diode_2 + PLACED ( 209760 39960 ) S ;
-- ANTENNA_40 sky130_fd_sc_ls__diode_2 + PLACED ( 84000 279720 ) FS ;
-- ANTENNA_41 sky130_fd_sc_ls__diode_2 + PLACED ( 37440 43290 ) N ;
-- ANTENNA_42 sky130_fd_sc_ls__diode_2 + PLACED ( 91680 279720 ) S ;
-- ANTENNA_43 sky130_fd_sc_ls__diode_2 + PLACED ( 81120 33300 ) FS ;
-- ANTENNA_44 sky130_fd_sc_ls__diode_2 + PLACED ( 99840 276390 ) FN ;
-- ANTENNA_45 sky130_fd_sc_ls__diode_2 + PLACED ( 37440 49950 ) N ;
-- ANTENNA_46 sky130_fd_sc_ls__diode_2 + PLACED ( 108960 279720 ) S ;
-- ANTENNA_47 sky130_fd_sc_ls__diode_2 + PLACED ( 120480 136530 ) FN ;
-- ANTENNA_48 sky130_fd_sc_ls__diode_2 + PLACED ( 115680 276390 ) N ;
-- ANTENNA_49 sky130_fd_sc_ls__diode_2 + PLACED ( 37440 56610 ) N ;
-- ANTENNA_50 sky130_fd_sc_ls__diode_2 + PLACED ( 123360 276390 ) FN ;
-- ANTENNA_51 sky130_fd_sc_ls__diode_2 + PLACED ( 37440 63270 ) N ;
-- ANTENNA_52 sky130_fd_sc_ls__diode_2 + PLACED ( 140640 279720 ) S ;
-- ANTENNA_53 sky130_fd_sc_ls__diode_2 + PLACED ( 14400 66600 ) FS ;
-- ANTENNA_54 sky130_fd_sc_ls__diode_2 + PLACED ( 146400 279720 ) S ;
-- ANTENNA_55 sky130_fd_sc_ls__diode_2 + PLACED ( 37440 69930 ) N ;
-- ANTENNA_56 sky130_fd_sc_ls__diode_2 + PLACED ( 156480 279720 ) S ;
-- ANTENNA_57 sky130_fd_sc_ls__diode_2 + PLACED ( 54720 139860 ) S ;
-- ANTENNA_58 sky130_fd_sc_ls__diode_2 + PLACED ( 14400 279720 ) FS ;
-- ANTENNA_59 sky130_fd_sc_ls__diode_2 + PLACED ( 271200 123210 ) FN ;
-- ANTENNA_60 sky130_fd_sc_ls__diode_2 + PLACED ( 171840 279720 ) FS ;
-- ANTENNA_61 sky130_fd_sc_ls__diode_2 + PLACED ( 133440 109890 ) N ;
-- ANTENNA_62 sky130_fd_sc_ls__diode_2 + PLACED ( 182880 279720 ) S ;
-- ANTENNA_63 sky130_fd_sc_ls__diode_2 + PLACED ( 37440 76590 ) N ;
-- ANTENNA_64 sky130_fd_sc_ls__diode_2 + PLACED ( 186720 279720 ) S ;
-- ANTENNA_65 sky130_fd_sc_ls__diode_2 + PLACED ( 189600 279720 ) S ;
-- ANTENNA_66 sky130_fd_sc_ls__diode_2 + PLACED ( 176160 13320 ) FS ;
-- ANTENNA_67 sky130_fd_sc_ls__diode_2 + PLACED ( 194400 276390 ) FN ;
-- ANTENNA_68 sky130_fd_sc_ls__diode_2 + PLACED ( 37440 83250 ) N ;
-- ANTENNA_69 sky130_fd_sc_ls__diode_2 + PLACED ( 211680 279720 ) S ;
-- ANTENNA_70 sky130_fd_sc_ls__diode_2 + PLACED ( 9600 56610 ) N ;
-- ANTENNA_71 sky130_fd_sc_ls__diode_2 + PLACED ( 219360 279720 ) S ;
-- ANTENNA_72 sky130_fd_sc_ls__diode_2 + PLACED ( 222240 279720 ) S ;
-- ANTENNA_73 sky130_fd_sc_ls__diode_2 + PLACED ( 60000 96570 ) N ;
-- ANTENNA_74 sky130_fd_sc_ls__diode_2 + PLACED ( 226080 276390 ) FN ;
-- ANTENNA_75 sky130_fd_sc_ls__diode_2 + PLACED ( 228960 276390 ) FN ;
-- ANTENNA_76 sky130_fd_sc_ls__diode_2 + PLACED ( 198240 56610 ) N ;
-- ANTENNA_77 sky130_fd_sc_ls__diode_2 + PLACED ( 233760 276390 ) FN ;
-- ANTENNA_78 sky130_fd_sc_ls__diode_2 + PLACED ( 37440 23310 ) N ;
-- ANTENNA_79 sky130_fd_sc_ls__diode_2 + PLACED ( 20640 276390 ) N ;
-- ANTENNA_80 sky130_fd_sc_ls__diode_2 + PLACED ( 37440 89910 ) N ;
-- ANTENNA_81 sky130_fd_sc_ls__diode_2 + PLACED ( 242880 279720 ) S ;
-- ANTENNA_82 sky130_fd_sc_ls__diode_2 + PLACED ( 232800 46620 ) FS ;
-- ANTENNA_83 sky130_fd_sc_ls__diode_2 + PLACED ( 251040 279720 ) S ;
-- ANTENNA_84 sky130_fd_sc_ls__diode_2 + PLACED ( 37440 96570 ) N ;
-- ANTENNA_85 sky130_fd_sc_ls__diode_2 + PLACED ( 266880 279720 ) S ;
-- ANTENNA_86 sky130_fd_sc_ls__diode_2 + PLACED ( 269760 279720 ) S ;
-- ANTENNA_87 sky130_fd_sc_ls__diode_2 + PLACED ( 274560 279720 ) S ;
-- ANTENNA_88 sky130_fd_sc_ls__diode_2 + PLACED ( 281280 276390 ) FN ;
-- ANTENNA_89 sky130_fd_sc_ls__diode_2 + PLACED ( 37440 103230 ) N ;
-- ANTENNA_90 sky130_fd_sc_ls__diode_2 + PLACED ( 287520 269730 ) FN ;
-- ANTENNA_91 sky130_fd_sc_ls__diode_2 + PLACED ( 290400 269730 ) FN ;
-- ANTENNA_92 sky130_fd_sc_ls__diode_2 + PLACED ( 36960 66600 ) FS ;
-- ANTENNA_93 sky130_fd_sc_ls__diode_2 + PLACED ( 287520 266400 ) S ;
-- ANTENNA_94 sky130_fd_sc_ls__diode_2 + PLACED ( 290400 266400 ) S ;
-- ANTENNA_95 sky130_fd_sc_ls__diode_2 + PLACED ( 93600 133200 ) S ;
-- ANTENNA_96 sky130_fd_sc_ls__diode_2 + PLACED ( 29760 279720 ) FS ;
-- ANTENNA_97 sky130_fd_sc_ls__diode_2 + PLACED ( 182880 69930 ) FN ;
-- ANTENNA_98 sky130_fd_sc_ls__diode_2 + PLACED ( 36480 276390 ) N ;
-- ANTENNA_99 sky130_fd_sc_ls__diode_2 + PLACED ( 37440 29970 ) N ;
-- ANTENNA_100 sky130_fd_sc_ls__diode_2 + PLACED ( 44640 276390 ) FN ;
-- ANTENNA_101 sky130_fd_sc_ls__diode_2 + PLACED ( 176640 83250 ) FN ;
-- ANTENNA_102 sky130_fd_sc_ls__diode_2 + PLACED ( 53760 279720 ) FS ;
-- ANTENNA_103 sky130_fd_sc_ls__diode_2 + PLACED ( 37440 36630 ) N ;
-- ANTENNA_104 sky130_fd_sc_ls__diode_2 + PLACED ( 77280 279720 ) S ;
-- ANTENNA_105 sky130_fd_sc_ls__diode_2 + PLACED ( 252480 29970 ) FN ;
-- ANTENNA_106 sky130_fd_sc_ls__diode_2 + PLACED ( 21120 149850 ) N ;
-- ANTENNA_107 sky130_fd_sc_ls__diode_2 + PLACED ( 256320 29970 ) FN ;
-- ANTENNA_108 sky130_fd_sc_ls__diode_2 + PLACED ( 104640 176490 ) N ;
-- ANTENNA_109 sky130_fd_sc_ls__diode_2 + PLACED ( 257280 36630 ) FN ;
-- ANTENNA_110 sky130_fd_sc_ls__diode_2 + PLACED ( 263040 176490 ) FN ;
-- ANTENNA_111 sky130_fd_sc_ls__diode_2 + PLACED ( 86400 29970 ) N ;
-- ANTENNA_112 sky130_fd_sc_ls__diode_2 + PLACED ( 184800 216450 ) N ;
-- ANTENNA_113 sky130_fd_sc_ls__diode_2 + PLACED ( 274080 46620 ) S ;
-- ANTENNA_114 sky130_fd_sc_ls__diode_2 + PLACED ( 111840 273060 ) FS ;
-- ANTENNA_115 sky130_fd_sc_ls__diode_2 + PLACED ( 277440 49950 ) FN ;
-- ANTENNA_116 sky130_fd_sc_ls__diode_2 + PLACED ( 280320 49950 ) FN ;
-- ANTENNA_117 sky130_fd_sc_ls__diode_2 + PLACED ( 285120 49950 ) FN ;
-- ANTENNA_118 sky130_fd_sc_ls__diode_2 + PLACED ( 288000 196470 ) FN ;
-- ANTENNA_119 sky130_fd_sc_ls__diode_2 + PLACED ( 284640 53280 ) FS ;
-- ANTENNA_120 sky130_fd_sc_ls__diode_2 + PLACED ( 224160 246420 ) FS ;
-- ANTENNA_121 sky130_fd_sc_ls__diode_2 + PLACED ( 284640 56610 ) FN ;
-- ANTENNA_122 sky130_fd_sc_ls__diode_2 + PLACED ( 22080 233100 ) FS ;
-- ANTENNA_123 sky130_fd_sc_ls__diode_2 + PLACED ( 286560 59940 ) S ;
-- ANTENNA_124 sky130_fd_sc_ls__diode_2 + PLACED ( 289440 59940 ) S ;
-- ANTENNA_125 sky130_fd_sc_ls__diode_2 + PLACED ( 186240 253080 ) FS ;
-- ANTENNA_126 sky130_fd_sc_ls__diode_2 + PLACED ( 273600 49950 ) FN ;
-- ANTENNA_127 sky130_fd_sc_ls__diode_2 + PLACED ( 139200 229770 ) N ;
-- ANTENNA_128 sky130_fd_sc_ls__diode_2 + PLACED ( 280800 56610 ) FN ;
-- ANTENNA_129 sky130_fd_sc_ls__diode_2 + PLACED ( 283680 56610 ) FN ;
-- ANTENNA_130 sky130_fd_sc_ls__diode_2 + PLACED ( 119040 183150 ) FN ;
-- ANTENNA_131 sky130_fd_sc_ls__diode_2 + PLACED ( 90240 29970 ) N ;
-- ANTENNA_132 sky130_fd_sc_ls__diode_2 + PLACED ( 103200 179820 ) S ;
-- ANTENNA_133 sky130_fd_sc_ls__diode_2 + PLACED ( 88320 33300 ) FS ;
-- ANTENNA_134 sky130_fd_sc_ls__diode_2 + PLACED ( 286560 199800 ) S ;
-- ANTENNA_135 sky130_fd_sc_ls__diode_2 + PLACED ( 94080 29970 ) N ;
-- ANTENNA_136 sky130_fd_sc_ls__diode_2 + PLACED ( 198240 276390 ) FN ;
-- ANTENNA_137 sky130_fd_sc_ls__diode_2 + PLACED ( 101760 29970 ) N ;
-- ANTENNA_138 sky130_fd_sc_ls__diode_2 + PLACED ( 76320 233100 ) FS ;
-- ANTENNA_139 sky130_fd_sc_ls__diode_2 + PLACED ( 99840 33300 ) S ;
-- ANTENNA_140 sky130_fd_sc_ls__diode_2 + PLACED ( 166080 206460 ) S ;
-- ANTENNA_141 sky130_fd_sc_ls__diode_2 + PLACED ( 107520 33300 ) FS ;
-- ANTENNA_142 sky130_fd_sc_ls__diode_2 + PLACED ( 192000 216450 ) FN ;
-- ANTENNA_143 sky130_fd_sc_ls__diode_2 + PLACED ( 111360 33300 ) FS ;
-- ANTENNA_144 sky130_fd_sc_ls__diode_2 + PLACED ( 67680 233100 ) FS ;
-- ANTENNA_145 sky130_fd_sc_ls__diode_2 + PLACED ( 116640 29970 ) FN ;
-- ANTENNA_146 sky130_fd_sc_ls__diode_2 + PLACED ( 9120 243090 ) N ;
-- ANTENNA_147 sky130_fd_sc_ls__diode_2 + PLACED ( 115200 33300 ) S ;
-- ANTENNA_148 sky130_fd_sc_ls__diode_2 + PLACED ( 101280 176490 ) N ;
-- ANTENNA_149 sky130_fd_sc_ls__diode_2 + PLACED ( 120480 29970 ) FN ;
-- ANTENNA_150 sky130_fd_sc_ls__diode_2 + PLACED ( 12000 256410 ) N ;
-- ANTENNA_151 sky130_fd_sc_ls__diode_2 + PLACED ( 119040 33300 ) S ;
-- ANTENNA_152 sky130_fd_sc_ls__diode_2 + PLACED ( 206880 243090 ) FN ;
-- ANTENNA_153 sky130_fd_sc_ls__diode_2 + PLACED ( 117600 36630 ) N ;
-- ANTENNA_154 sky130_fd_sc_ls__diode_2 + PLACED ( 51360 193140 ) FS ;
-- ANTENNA_155 sky130_fd_sc_ls__diode_2 + PLACED ( 121440 36630 ) FN ;
-- ANTENNA_156 sky130_fd_sc_ls__diode_2 + PLACED ( 238080 219780 ) S ;
-- ANTENNA_157 sky130_fd_sc_ls__diode_2 + PLACED ( 126240 33300 ) FS ;
-- ANTENNA_158 sky130_fd_sc_ls__diode_2 + PLACED ( 132960 199800 ) S ;
-- ANTENNA_159 sky130_fd_sc_ls__diode_2 + PLACED ( 125280 36630 ) N ;
-- ANTENNA_160 sky130_fd_sc_ls__diode_2 + PLACED ( 285120 173160 ) S ;
-- ANTENNA_161 sky130_fd_sc_ls__diode_2 + PLACED ( 130080 33300 ) FS ;
-- ANTENNA_162 sky130_fd_sc_ls__diode_2 + PLACED ( 54240 213120 ) FS ;
-- ANTENNA_163 sky130_fd_sc_ls__diode_2 + PLACED ( 129120 36630 ) FN ;
-- ANTENNA_164 sky130_fd_sc_ls__diode_2 + PLACED ( 77280 173160 ) FS ;
-- ANTENNA_165 sky130_fd_sc_ls__diode_2 + PLACED ( 133920 33300 ) S ;
-- ANTENNA_166 sky130_fd_sc_ls__diode_2 + PLACED ( 129120 213120 ) FS ;
-- ANTENNA_167 sky130_fd_sc_ls__diode_2 + PLACED ( 139200 29970 ) FN ;
-- ANTENNA_168 sky130_fd_sc_ls__diode_2 + PLACED ( 203520 249750 ) FN ;
-- ANTENNA_169 sky130_fd_sc_ls__diode_2 + PLACED ( 137760 33300 ) FS ;
-- ANTENNA_170 sky130_fd_sc_ls__diode_2 + PLACED ( 170880 189810 ) FN ;
-- ANTENNA_171 sky130_fd_sc_ls__diode_2 + PLACED ( 143040 29970 ) N ;
-- ANTENNA_172 sky130_fd_sc_ls__diode_2 + PLACED ( 277920 276390 ) FN ;
-- ANTENNA_173 sky130_fd_sc_ls__diode_2 + PLACED ( 75360 29970 ) N ;
-- ANTENNA_174 sky130_fd_sc_ls__diode_2 + PLACED ( 9600 203130 ) N ;
-- ANTENNA_175 sky130_fd_sc_ls__diode_2 + PLACED ( 144960 36630 ) FN ;
-- ANTENNA_176 sky130_fd_sc_ls__diode_2 + PLACED ( 120000 193140 ) FS ;
-- ANTENNA_177 sky130_fd_sc_ls__diode_2 + PLACED ( 152640 33300 ) S ;
-- ANTENNA_178 sky130_fd_sc_ls__diode_2 + PLACED ( 100320 273060 ) FS ;
-- ANTENNA_179 sky130_fd_sc_ls__diode_2 + PLACED ( 156480 33300 ) S ;
-- ANTENNA_180 sky130_fd_sc_ls__diode_2 + PLACED ( 8160 263070 ) N ;
-- ANTENNA_181 sky130_fd_sc_ls__diode_2 + PLACED ( 73440 33300 ) S ;
-- ANTENNA_182 sky130_fd_sc_ls__diode_2 + PLACED ( 72480 183150 ) N ;
-- ANTENNA_183 sky130_fd_sc_ls__diode_2 + PLACED ( 165600 29970 ) FN ;
-- ANTENNA_184 sky130_fd_sc_ls__diode_2 + PLACED ( 173280 29970 ) FN ;
-- ANTENNA_185 sky130_fd_sc_ls__diode_2 + PLACED ( 28320 186480 ) FS ;
-- ANTENNA_186 sky130_fd_sc_ls__diode_2 + PLACED ( 79200 29970 ) FN ;
-- ANTENNA_187 sky130_fd_sc_ls__diode_2 + PLACED ( 184320 29970 ) FN ;
-- ANTENNA_188 sky130_fd_sc_ls__diode_2 + PLACED ( 156000 193140 ) FS ;
-- ANTENNA_189 sky130_fd_sc_ls__diode_2 + PLACED ( 182400 36630 ) FN ;
-- ANTENNA_190 sky130_fd_sc_ls__diode_2 + PLACED ( 179040 166500 ) FS ;
-- ANTENNA_191 sky130_fd_sc_ls__diode_2 + PLACED ( 190560 33300 ) S ;
-- ANTENNA_192 sky130_fd_sc_ls__diode_2 + PLACED ( 86400 236430 ) N ;
-- ANTENNA_193 sky130_fd_sc_ls__diode_2 + PLACED ( 198240 33300 ) S ;
-- ANTENNA_194 sky130_fd_sc_ls__diode_2 + PLACED ( 35520 273060 ) FS ;
-- ANTENNA_195 sky130_fd_sc_ls__diode_2 + PLACED ( 195840 36630 ) FN ;
-- ANTENNA_196 sky130_fd_sc_ls__diode_2 + PLACED ( 204480 29970 ) FN ;
-- ANTENNA_197 sky130_fd_sc_ls__diode_2 + PLACED ( 80640 209790 ) N ;
-- ANTENNA_198 sky130_fd_sc_ls__diode_2 + PLACED ( 199680 36630 ) FN ;
-- ANTENNA_199 sky130_fd_sc_ls__diode_2 + PLACED ( 86880 276390 ) N ;
-- ANTENNA_200 sky130_fd_sc_ls__diode_2 + PLACED ( 209280 33300 ) S ;
-- ANTENNA_201 sky130_fd_sc_ls__diode_2 + PLACED ( 226080 233100 ) S ;
-- ANTENNA_202 sky130_fd_sc_ls__diode_2 + PLACED ( 77280 36630 ) N ;
-- ANTENNA_203 sky130_fd_sc_ls__diode_2 + PLACED ( 216960 33300 ) S ;
-- ANTENNA_204 sky130_fd_sc_ls__diode_2 + PLACED ( 220800 33300 ) S ;
-- ANTENNA_205 sky130_fd_sc_ls__diode_2 + PLACED ( 224640 33300 ) S ;
-- ANTENNA_206 sky130_fd_sc_ls__diode_2 + PLACED ( 284640 253080 ) S ;
-- ANTENNA_207 sky130_fd_sc_ls__diode_2 + PLACED ( 229920 36630 ) N ;
-- ANTENNA_208 sky130_fd_sc_ls__diode_2 + PLACED ( 288000 193140 ) S ;
-- ANTENNA_209 sky130_fd_sc_ls__diode_2 + PLACED ( 233760 36630 ) N ;
-- ANTENNA_210 sky130_fd_sc_ls__diode_2 + PLACED ( 242880 39960 ) S ;
-- ANTENNA_211 sky130_fd_sc_ls__diode_2 + PLACED ( 264960 173160 ) S ;
-- ANTENNA_212 sky130_fd_sc_ls__diode_2 + PLACED ( 9600 39960 ) FS ;
-- ANTENNA_213 sky130_fd_sc_ls__diode_2 + PLACED ( 20640 239760 ) FS ;
-- ANTENNA_214 sky130_fd_sc_ls__diode_2 + PLACED ( 28320 26640 ) S ;
-- ANTENNA_215 sky130_fd_sc_ls__diode_2 + PLACED ( 276000 189810 ) FN ;
-- ANTENNA_216 sky130_fd_sc_ls__diode_2 + PLACED ( 26400 29970 ) N ;
-- ANTENNA_217 sky130_fd_sc_ls__diode_2 + PLACED ( 156480 173160 ) S ;
-- ANTENNA_218 sky130_fd_sc_ls__diode_2 + PLACED ( 33600 29970 ) N ;
-- ANTENNA_219 sky130_fd_sc_ls__diode_2 + PLACED ( 282240 176490 ) FN ;
-- ANTENNA_220 sky130_fd_sc_ls__diode_2 + PLACED ( 32160 33300 ) FS ;
-- ANTENNA_221 sky130_fd_sc_ls__diode_2 + PLACED ( 233760 219780 ) S ;
-- ANTENNA_222 sky130_fd_sc_ls__diode_2 + PLACED ( 50880 33300 ) FS ;
-- ANTENNA_223 sky130_fd_sc_ls__diode_2 + PLACED ( 221760 179820 ) S ;
-- ANTENNA_224 sky130_fd_sc_ls__diode_2 + PLACED ( 47040 39960 ) FS ;
-- ANTENNA_225 sky130_fd_sc_ls__diode_2 + PLACED ( 226080 213120 ) S ;
-- ANTENNA_226 sky130_fd_sc_ls__diode_2 + PLACED ( 54720 39960 ) FS ;
-- ANTENNA_227 sky130_fd_sc_ls__diode_2 + PLACED ( 194400 223110 ) FN ;
-- ANTENNA_228 sky130_fd_sc_ls__diode_2 + PLACED ( 58560 39960 ) FS ;
-- ANTENNA_229 sky130_fd_sc_ls__diode_2 + PLACED ( 239520 246420 ) S ;
-- ANTENNA_230 sky130_fd_sc_ls__diode_2 + PLACED ( 63840 36630 ) N ;
-- ANTENNA_231 sky130_fd_sc_ls__diode_2 + PLACED ( 84480 179820 ) S ;
-- ANTENNA_232 sky130_fd_sc_ls__diode_2 + PLACED ( 14400 36630 ) N ;
-- ANTENNA_233 sky130_fd_sc_ls__diode_2 + PLACED ( 103680 276390 ) FN ;
-- ANTENNA_234 sky130_fd_sc_ls__diode_2 + PLACED ( 20640 33300 ) FS ;
-- ANTENNA_235 sky130_fd_sc_ls__diode_2 + PLACED ( 53280 176490 ) FN ;
-- ANTENNA_236 sky130_fd_sc_ls__diode_2 + PLACED ( 14400 39960 ) FS ;
-- ANTENNA_237 sky130_fd_sc_ls__diode_2 + PLACED ( 169440 203130 ) FN ;
-- ANTENNA_238 sky130_fd_sc_ls__diode_2 + PLACED ( 20640 39960 ) FS ;
-- ANTENNA_239 sky130_fd_sc_ls__diode_2 + PLACED ( 197280 39960 ) S ;
-- ANTENNA_240 sky130_fd_sc_ls__diode_2 + PLACED ( 25920 36630 ) N ;
+- ANTENNA_0 sky130_fd_sc_ls__diode_2 + PLACED ( 176160 13320 ) FS ;
+- ANTENNA_1 sky130_fd_sc_ls__diode_2 + PLACED ( 9600 279720 ) FS ;
+- ANTENNA_2 sky130_fd_sc_ls__diode_2 + PLACED ( 179040 66600 ) FS ;
+- ANTENNA_3 sky130_fd_sc_ls__diode_2 + PLACED ( 99840 279720 ) FS ;
+- ANTENNA_4 sky130_fd_sc_ls__diode_2 + PLACED ( 169440 56610 ) FN ;
+- ANTENNA_5 sky130_fd_sc_ls__diode_2 + PLACED ( 112800 279720 ) FS ;
+- ANTENNA_6 sky130_fd_sc_ls__diode_2 + PLACED ( 139200 56610 ) FN ;
+- ANTENNA_7 sky130_fd_sc_ls__diode_2 + PLACED ( 128640 279720 ) FS ;
+- ANTENNA_8 sky130_fd_sc_ls__diode_2 + PLACED ( 83520 139860 ) S ;
+- ANTENNA_9 sky130_fd_sc_ls__diode_2 + PLACED ( 136800 279720 ) S ;
+- ANTENNA_10 sky130_fd_sc_ls__diode_2 + PLACED ( 7680 63270 ) FN ;
+- ANTENNA_11 sky130_fd_sc_ls__diode_2 + PLACED ( 152640 279720 ) S ;
+- ANTENNA_12 sky130_fd_sc_ls__diode_2 + PLACED ( 155520 279720 ) S ;
+- ANTENNA_13 sky130_fd_sc_ls__diode_2 + PLACED ( 78240 13320 ) FS ;
+- ANTENNA_14 sky130_fd_sc_ls__diode_2 + PLACED ( 10560 279720 ) FS ;
+- ANTENNA_15 sky130_fd_sc_ls__diode_2 + PLACED ( 13440 279720 ) FS ;
+- ANTENNA_16 sky130_fd_sc_ls__diode_2 + PLACED ( 25920 43290 ) FN ;
+- ANTENNA_17 sky130_fd_sc_ls__diode_2 + PLACED ( 168000 279720 ) S ;
+- ANTENNA_18 sky130_fd_sc_ls__diode_2 + PLACED ( 79200 56610 ) N ;
+- ANTENNA_19 sky130_fd_sc_ls__diode_2 + PLACED ( 179040 279720 ) S ;
+- ANTENNA_20 sky130_fd_sc_ls__diode_2 + PLACED ( 280320 63270 ) FN ;
+- ANTENNA_21 sky130_fd_sc_ls__diode_2 + PLACED ( 192000 279720 ) FS ;
+- ANTENNA_22 sky130_fd_sc_ls__diode_2 + PLACED ( 112800 109890 ) FN ;
+- ANTENNA_23 sky130_fd_sc_ls__diode_2 + PLACED ( 199200 279720 ) S ;
+- ANTENNA_24 sky130_fd_sc_ls__diode_2 + PLACED ( 157440 146520 ) FS ;
+- ANTENNA_25 sky130_fd_sc_ls__diode_2 + PLACED ( 207840 279720 ) S ;
+- ANTENNA_26 sky130_fd_sc_ls__diode_2 + PLACED ( 91680 139860 ) S ;
+- ANTENNA_27 sky130_fd_sc_ls__diode_2 + PLACED ( 215520 279720 ) S ;
+- ANTENNA_28 sky130_fd_sc_ls__diode_2 + PLACED ( 215520 79920 ) FS ;
+- ANTENNA_29 sky130_fd_sc_ls__diode_2 + PLACED ( 223680 279720 ) S ;
+- ANTENNA_30 sky130_fd_sc_ls__diode_2 + PLACED ( 202560 109890 ) FN ;
+- ANTENNA_31 sky130_fd_sc_ls__diode_2 + PLACED ( 231840 279720 ) S ;
+- ANTENNA_32 sky130_fd_sc_ls__diode_2 + PLACED ( 37440 59940 ) FS ;
+- ANTENNA_33 sky130_fd_sc_ls__diode_2 + PLACED ( 270720 279720 ) S ;
+- ANTENNA_34 sky130_fd_sc_ls__diode_2 + PLACED ( 186720 86580 ) S ;
+- ANTENNA_35 sky130_fd_sc_ls__diode_2 + PLACED ( 278400 279720 ) S ;
+- ANTENNA_36 sky130_fd_sc_ls__diode_2 + PLACED ( 20160 63270 ) FN ;
+- ANTENNA_37 sky130_fd_sc_ls__diode_2 + PLACED ( 286560 276390 ) FN ;
+- ANTENNA_38 sky130_fd_sc_ls__diode_2 + PLACED ( 289440 276390 ) FN ;
+- ANTENNA_39 sky130_fd_sc_ls__diode_2 + PLACED ( 95040 83250 ) N ;
+- ANTENNA_40 sky130_fd_sc_ls__diode_2 + PLACED ( 287520 273060 ) S ;
+- ANTENNA_41 sky130_fd_sc_ls__diode_2 + PLACED ( 290400 273060 ) S ;
+- ANTENNA_42 sky130_fd_sc_ls__diode_2 + PLACED ( 231840 136530 ) N ;
+- ANTENNA_43 sky130_fd_sc_ls__diode_2 + PLACED ( 25920 279720 ) FS ;
+- ANTENNA_44 sky130_fd_sc_ls__diode_2 + PLACED ( 206400 129870 ) N ;
+- ANTENNA_45 sky130_fd_sc_ls__diode_2 + PLACED ( 49920 279720 ) FS ;
+- ANTENNA_46 sky130_fd_sc_ls__diode_2 + PLACED ( 34560 119880 ) S ;
+- ANTENNA_47 sky130_fd_sc_ls__diode_2 + PLACED ( 65760 279720 ) S ;
+- ANTENNA_48 sky130_fd_sc_ls__diode_2 + PLACED ( 113280 36630 ) FN ;
+- ANTENNA_49 sky130_fd_sc_ls__diode_2 + PLACED ( 84000 279720 ) FS ;
+- ANTENNA_50 sky130_fd_sc_ls__diode_2 + PLACED ( 168480 99900 ) S ;
+- ANTENNA_51 sky130_fd_sc_ls__diode_2 + PLACED ( 108960 279720 ) FS ;
+- ANTENNA_52 sky130_fd_sc_ls__diode_2 + PLACED ( 37440 43290 ) N ;
+- ANTENNA_53 sky130_fd_sc_ls__diode_2 + PLACED ( 115680 276390 ) FN ;
+- ANTENNA_54 sky130_fd_sc_ls__diode_2 + PLACED ( 139680 73260 ) S ;
+- ANTENNA_55 sky130_fd_sc_ls__diode_2 + PLACED ( 123360 276390 ) N ;
+- ANTENNA_56 sky130_fd_sc_ls__diode_2 + PLACED ( 37440 49950 ) N ;
+- ANTENNA_57 sky130_fd_sc_ls__diode_2 + PLACED ( 132480 279720 ) S ;
+- ANTENNA_58 sky130_fd_sc_ls__diode_2 + PLACED ( 286560 146520 ) S ;
+- ANTENNA_59 sky130_fd_sc_ls__diode_2 + PLACED ( 140640 279720 ) FS ;
+- ANTENNA_60 sky130_fd_sc_ls__diode_2 + PLACED ( 37440 56610 ) N ;
+- ANTENNA_61 sky130_fd_sc_ls__diode_2 + PLACED ( 146400 279720 ) S ;
+- ANTENNA_62 sky130_fd_sc_ls__diode_2 + PLACED ( 235680 109890 ) FN ;
+- ANTENNA_63 sky130_fd_sc_ls__diode_2 + PLACED ( 156480 279720 ) FS ;
+- ANTENNA_64 sky130_fd_sc_ls__diode_2 + PLACED ( 230400 29970 ) FN ;
+- ANTENNA_65 sky130_fd_sc_ls__diode_2 + PLACED ( 14400 279720 ) FS ;
+- ANTENNA_66 sky130_fd_sc_ls__diode_2 + PLACED ( 17280 279720 ) FS ;
+- ANTENNA_67 sky130_fd_sc_ls__diode_2 + PLACED ( 37440 63270 ) N ;
+- ANTENNA_68 sky130_fd_sc_ls__diode_2 + PLACED ( 164160 279720 ) S ;
+- ANTENNA_69 sky130_fd_sc_ls__diode_2 + PLACED ( 37440 69930 ) N ;
+- ANTENNA_70 sky130_fd_sc_ls__diode_2 + PLACED ( 182880 279720 ) S ;
+- ANTENNA_71 sky130_fd_sc_ls__diode_2 + PLACED ( 138240 119880 ) FS ;
+- ANTENNA_72 sky130_fd_sc_ls__diode_2 + PLACED ( 186720 279720 ) S ;
+- ANTENNA_73 sky130_fd_sc_ls__diode_2 + PLACED ( 37440 76590 ) N ;
+- ANTENNA_74 sky130_fd_sc_ls__diode_2 + PLACED ( 194400 276390 ) FN ;
+- ANTENNA_75 sky130_fd_sc_ls__diode_2 + PLACED ( 37440 83250 ) N ;
+- ANTENNA_76 sky130_fd_sc_ls__diode_2 + PLACED ( 211680 279720 ) S ;
+- ANTENNA_77 sky130_fd_sc_ls__diode_2 + PLACED ( 162240 66600 ) FS ;
+- ANTENNA_78 sky130_fd_sc_ls__diode_2 + PLACED ( 219360 279720 ) S ;
+- ANTENNA_79 sky130_fd_sc_ls__diode_2 + PLACED ( 37440 89910 ) N ;
+- ANTENNA_80 sky130_fd_sc_ls__diode_2 + PLACED ( 226080 276390 ) FN ;
+- ANTENNA_81 sky130_fd_sc_ls__diode_2 + PLACED ( 208800 66600 ) FS ;
+- ANTENNA_82 sky130_fd_sc_ls__diode_2 + PLACED ( 233760 276390 ) FN ;
+- ANTENNA_83 sky130_fd_sc_ls__diode_2 + PLACED ( 37440 23310 ) N ;
+- ANTENNA_84 sky130_fd_sc_ls__diode_2 + PLACED ( 20640 276390 ) N ;
+- ANTENNA_85 sky130_fd_sc_ls__diode_2 + PLACED ( 37440 96570 ) N ;
+- ANTENNA_86 sky130_fd_sc_ls__diode_2 + PLACED ( 242880 279720 ) S ;
+- ANTENNA_87 sky130_fd_sc_ls__diode_2 + PLACED ( 86400 63270 ) N ;
+- ANTENNA_88 sky130_fd_sc_ls__diode_2 + PLACED ( 257760 276390 ) FN ;
+- ANTENNA_89 sky130_fd_sc_ls__diode_2 + PLACED ( 260640 276390 ) FN ;
+- ANTENNA_90 sky130_fd_sc_ls__diode_2 + PLACED ( 9120 96570 ) N ;
+- ANTENNA_91 sky130_fd_sc_ls__diode_2 + PLACED ( 266880 279720 ) S ;
+- ANTENNA_92 sky130_fd_sc_ls__diode_2 + PLACED ( 269760 279720 ) S ;
+- ANTENNA_93 sky130_fd_sc_ls__diode_2 + PLACED ( 37440 103230 ) N ;
+- ANTENNA_94 sky130_fd_sc_ls__diode_2 + PLACED ( 274560 279720 ) S ;
+- ANTENNA_95 sky130_fd_sc_ls__diode_2 + PLACED ( 277440 279720 ) S ;
+- ANTENNA_96 sky130_fd_sc_ls__diode_2 + PLACED ( 20640 66600 ) FS ;
+- ANTENNA_97 sky130_fd_sc_ls__diode_2 + PLACED ( 281280 276390 ) FN ;
+- ANTENNA_98 sky130_fd_sc_ls__diode_2 + PLACED ( 284160 276390 ) FN ;
+- ANTENNA_99 sky130_fd_sc_ls__diode_2 + PLACED ( 229920 13320 ) FS ;
+- ANTENNA_100 sky130_fd_sc_ls__diode_2 + PLACED ( 287520 269730 ) FN ;
+- ANTENNA_101 sky130_fd_sc_ls__diode_2 + PLACED ( 37440 109890 ) N ;
+- ANTENNA_102 sky130_fd_sc_ls__diode_2 + PLACED ( 287520 266400 ) S ;
+- ANTENNA_103 sky130_fd_sc_ls__diode_2 + PLACED ( 120000 103230 ) FN ;
+- ANTENNA_104 sky130_fd_sc_ls__diode_2 + PLACED ( 29760 279720 ) FS ;
+- ANTENNA_105 sky130_fd_sc_ls__diode_2 + PLACED ( 266400 113220 ) S ;
+- ANTENNA_106 sky130_fd_sc_ls__diode_2 + PLACED ( 36480 276390 ) N ;
+- ANTENNA_107 sky130_fd_sc_ls__diode_2 + PLACED ( 37440 29970 ) N ;
+- ANTENNA_108 sky130_fd_sc_ls__diode_2 + PLACED ( 44640 276390 ) FN ;
+- ANTENNA_109 sky130_fd_sc_ls__diode_2 + PLACED ( 191040 86580 ) S ;
+- ANTENNA_110 sky130_fd_sc_ls__diode_2 + PLACED ( 53760 279720 ) FS ;
+- ANTENNA_111 sky130_fd_sc_ls__diode_2 + PLACED ( 216000 126540 ) S ;
+- ANTENNA_112 sky130_fd_sc_ls__diode_2 + PLACED ( 61440 279720 ) FS ;
+- ANTENNA_113 sky130_fd_sc_ls__diode_2 + PLACED ( 154080 69930 ) FN ;
+- ANTENNA_114 sky130_fd_sc_ls__diode_2 + PLACED ( 68160 276390 ) N ;
+- ANTENNA_115 sky130_fd_sc_ls__diode_2 + PLACED ( 37440 36630 ) N ;
+- ANTENNA_116 sky130_fd_sc_ls__diode_2 + PLACED ( 77280 279720 ) S ;
+- ANTENNA_117 sky130_fd_sc_ls__diode_2 + PLACED ( 89280 213120 ) FS ;
+- ANTENNA_118 sky130_fd_sc_ls__diode_2 + PLACED ( 252480 29970 ) FN ;
+- ANTENNA_119 sky130_fd_sc_ls__diode_2 + PLACED ( 15840 189810 ) N ;
+- ANTENNA_120 sky130_fd_sc_ls__diode_2 + PLACED ( 249600 33300 ) S ;
+- ANTENNA_121 sky130_fd_sc_ls__diode_2 + PLACED ( 174720 209790 ) N ;
+- ANTENNA_122 sky130_fd_sc_ls__diode_2 + PLACED ( 258240 33300 ) S ;
+- ANTENNA_123 sky130_fd_sc_ls__diode_2 + PLACED ( 264480 39960 ) S ;
+- ANTENNA_124 sky130_fd_sc_ls__diode_2 + PLACED ( 258240 213120 ) S ;
+- ANTENNA_125 sky130_fd_sc_ls__diode_2 + PLACED ( 86400 29970 ) N ;
+- ANTENNA_126 sky130_fd_sc_ls__diode_2 + PLACED ( 276480 269730 ) FN ;
+- ANTENNA_127 sky130_fd_sc_ls__diode_2 + PLACED ( 268320 39960 ) FS ;
+- ANTENNA_128 sky130_fd_sc_ls__diode_2 + PLACED ( 271200 43290 ) FN ;
+- ANTENNA_129 sky130_fd_sc_ls__diode_2 + PLACED ( 120480 273060 ) FS ;
+- ANTENNA_130 sky130_fd_sc_ls__diode_2 + PLACED ( 270240 46620 ) S ;
+- ANTENNA_131 sky130_fd_sc_ls__diode_2 + PLACED ( 273120 46620 ) S ;
+- ANTENNA_132 sky130_fd_sc_ls__diode_2 + PLACED ( 275040 43290 ) FN ;
+- ANTENNA_133 sky130_fd_sc_ls__diode_2 + PLACED ( 33600 233100 ) FS ;
+- ANTENNA_134 sky130_fd_sc_ls__diode_2 + PLACED ( 277920 46620 ) S ;
+- ANTENNA_135 sky130_fd_sc_ls__diode_2 + PLACED ( 280800 46620 ) S ;
+- ANTENNA_136 sky130_fd_sc_ls__diode_2 + PLACED ( 152640 173160 ) S ;
+- ANTENNA_137 sky130_fd_sc_ls__diode_2 + PLACED ( 84480 33300 ) FS ;
+- ANTENNA_138 sky130_fd_sc_ls__diode_2 + PLACED ( 136320 266400 ) FS ;
+- ANTENNA_139 sky130_fd_sc_ls__diode_2 + PLACED ( 284640 56610 ) FN ;
+- ANTENNA_140 sky130_fd_sc_ls__diode_2 + PLACED ( 287520 56610 ) FN ;
+- ANTENNA_141 sky130_fd_sc_ls__diode_2 + PLACED ( 128640 196470 ) N ;
+- ANTENNA_142 sky130_fd_sc_ls__diode_2 + PLACED ( 264960 43290 ) FN ;
+- ANTENNA_143 sky130_fd_sc_ls__diode_2 + PLACED ( 267840 43290 ) FN ;
+- ANTENNA_144 sky130_fd_sc_ls__diode_2 + PLACED ( 273600 49950 ) FN ;
+- ANTENNA_145 sky130_fd_sc_ls__diode_2 + PLACED ( 65280 199800 ) FS ;
+- ANTENNA_146 sky130_fd_sc_ls__diode_2 + PLACED ( 253440 36630 ) FN ;
+- ANTENNA_147 sky130_fd_sc_ls__diode_2 + PLACED ( 256320 36630 ) FN ;
+- ANTENNA_148 sky130_fd_sc_ls__diode_2 + PLACED ( 280800 56610 ) FN ;
+- ANTENNA_149 sky130_fd_sc_ls__diode_2 + PLACED ( 136320 253080 ) S ;
+- ANTENNA_150 sky130_fd_sc_ls__diode_2 + PLACED ( 94080 29970 ) N ;
+- ANTENNA_151 sky130_fd_sc_ls__diode_2 + PLACED ( 179520 276390 ) FN ;
+- ANTENNA_152 sky130_fd_sc_ls__diode_2 + PLACED ( 97920 29970 ) N ;
+- ANTENNA_153 sky130_fd_sc_ls__diode_2 + PLACED ( 173280 186480 ) S ;
+- ANTENNA_154 sky130_fd_sc_ls__diode_2 + PLACED ( 101760 29970 ) N ;
+- ANTENNA_155 sky130_fd_sc_ls__diode_2 + PLACED ( 177120 203130 ) FN ;
+- ANTENNA_156 sky130_fd_sc_ls__diode_2 + PLACED ( 105600 29970 ) N ;
+- ANTENNA_157 sky130_fd_sc_ls__diode_2 + PLACED ( 141600 266400 ) S ;
+- ANTENNA_158 sky130_fd_sc_ls__diode_2 + PLACED ( 102720 36630 ) N ;
+- ANTENNA_159 sky130_fd_sc_ls__diode_2 + PLACED ( 213120 193140 ) S ;
+- ANTENNA_160 sky130_fd_sc_ls__diode_2 + PLACED ( 112800 29970 ) N ;
+- ANTENNA_161 sky130_fd_sc_ls__diode_2 + PLACED ( 190080 206460 ) S ;
+- ANTENNA_162 sky130_fd_sc_ls__diode_2 + PLACED ( 111360 33300 ) FS ;
+- ANTENNA_163 sky130_fd_sc_ls__diode_2 + PLACED ( 18240 203130 ) N ;
+- ANTENNA_164 sky130_fd_sc_ls__diode_2 + PLACED ( 119040 33300 ) S ;
+- ANTENNA_165 sky130_fd_sc_ls__diode_2 + PLACED ( 139200 196470 ) FN ;
+- ANTENNA_166 sky130_fd_sc_ls__diode_2 + PLACED ( 129120 36630 ) N ;
+- ANTENNA_167 sky130_fd_sc_ls__diode_2 + PLACED ( 80160 209790 ) N ;
+- ANTENNA_168 sky130_fd_sc_ls__diode_2 + PLACED ( 132960 36630 ) FN ;
+- ANTENNA_169 sky130_fd_sc_ls__diode_2 + PLACED ( 259200 223110 ) FN ;
+- ANTENNA_170 sky130_fd_sc_ls__diode_2 + PLACED ( 151200 29970 ) N ;
+- ANTENNA_171 sky130_fd_sc_ls__diode_2 + PLACED ( 239040 203130 ) FN ;
+- ANTENNA_172 sky130_fd_sc_ls__diode_2 + PLACED ( 144960 36630 ) N ;
+- ANTENNA_173 sky130_fd_sc_ls__diode_2 + PLACED ( 116160 243090 ) N ;
+- ANTENNA_174 sky130_fd_sc_ls__diode_2 + PLACED ( 148800 36630 ) FN ;
+- ANTENNA_175 sky130_fd_sc_ls__diode_2 + PLACED ( 35040 263070 ) N ;
+- ANTENNA_176 sky130_fd_sc_ls__diode_2 + PLACED ( 152640 33300 ) S ;
+- ANTENNA_177 sky130_fd_sc_ls__diode_2 + PLACED ( 270720 226440 ) S ;
+- ANTENNA_178 sky130_fd_sc_ls__diode_2 + PLACED ( 156480 33300 ) FS ;
+- ANTENNA_179 sky130_fd_sc_ls__diode_2 + PLACED ( 167520 233100 ) S ;
+- ANTENNA_180 sky130_fd_sc_ls__diode_2 + PLACED ( 164160 33300 ) FS ;
+- ANTENNA_181 sky130_fd_sc_ls__diode_2 + PLACED ( 107040 213120 ) FS ;
+- ANTENNA_182 sky130_fd_sc_ls__diode_2 + PLACED ( 173280 29970 ) FN ;
+- ANTENNA_183 sky130_fd_sc_ls__diode_2 + PLACED ( 167040 36630 ) FN ;
+- ANTENNA_184 sky130_fd_sc_ls__diode_2 + PLACED ( 55200 213120 ) FS ;
+- ANTENNA_185 sky130_fd_sc_ls__diode_2 + PLACED ( 170880 36630 ) FN ;
+- ANTENNA_186 sky130_fd_sc_ls__diode_2 + PLACED ( 277920 276390 ) FN ;
+- ANTENNA_187 sky130_fd_sc_ls__diode_2 + PLACED ( 79200 29970 ) N ;
+- ANTENNA_188 sky130_fd_sc_ls__diode_2 + PLACED ( 221760 229770 ) FN ;
+- ANTENNA_189 sky130_fd_sc_ls__diode_2 + PLACED ( 179040 33300 ) FS ;
+- ANTENNA_190 sky130_fd_sc_ls__diode_2 + PLACED ( 264960 206460 ) S ;
+- ANTENNA_191 sky130_fd_sc_ls__diode_2 + PLACED ( 182880 33300 ) FS ;
+- ANTENNA_192 sky130_fd_sc_ls__diode_2 + PLACED ( 157920 273060 ) FS ;
+- ANTENNA_193 sky130_fd_sc_ls__diode_2 + PLACED ( 182400 36630 ) FN ;
+- ANTENNA_194 sky130_fd_sc_ls__diode_2 + PLACED ( 172320 269730 ) N ;
+- ANTENNA_195 sky130_fd_sc_ls__diode_2 + PLACED ( 186720 33300 ) S ;
+- ANTENNA_196 sky130_fd_sc_ls__diode_2 + PLACED ( 270240 219780 ) S ;
+- ANTENNA_197 sky130_fd_sc_ls__diode_2 + PLACED ( 190560 33300 ) FS ;
+- ANTENNA_198 sky130_fd_sc_ls__diode_2 + PLACED ( 194400 33300 ) S ;
+- ANTENNA_199 sky130_fd_sc_ls__diode_2 + PLACED ( 134400 219780 ) FS ;
+- ANTENNA_200 sky130_fd_sc_ls__diode_2 + PLACED ( 198240 33300 ) S ;
+- ANTENNA_201 sky130_fd_sc_ls__diode_2 + PLACED ( 71040 176490 ) N ;
+- ANTENNA_202 sky130_fd_sc_ls__diode_2 + PLACED ( 195840 36630 ) FN ;
+- ANTENNA_203 sky130_fd_sc_ls__diode_2 + PLACED ( 126240 219780 ) FS ;
+- ANTENNA_204 sky130_fd_sc_ls__diode_2 + PLACED ( 204480 29970 ) FN ;
+- ANTENNA_205 sky130_fd_sc_ls__diode_2 + PLACED ( 47040 233100 ) FS ;
+- ANTENNA_206 sky130_fd_sc_ls__diode_2 + PLACED ( 199680 36630 ) FN ;
+- ANTENNA_207 sky130_fd_sc_ls__diode_2 + PLACED ( 244800 203130 ) FN ;
+- ANTENNA_208 sky130_fd_sc_ls__diode_2 + PLACED ( 212160 29970 ) N ;
+- ANTENNA_209 sky130_fd_sc_ls__diode_2 + PLACED ( 207360 36630 ) FN ;
+- ANTENNA_210 sky130_fd_sc_ls__diode_2 + PLACED ( 86400 276390 ) N ;
+- ANTENNA_211 sky130_fd_sc_ls__diode_2 + PLACED ( 211200 36630 ) FN ;
+- ANTENNA_212 sky130_fd_sc_ls__diode_2 + PLACED ( 16320 209790 ) N ;
+- ANTENNA_213 sky130_fd_sc_ls__diode_2 + PLACED ( 216960 33300 ) S ;
+- ANTENNA_214 sky130_fd_sc_ls__diode_2 + PLACED ( 219840 33300 ) S ;
+- ANTENNA_215 sky130_fd_sc_ls__diode_2 + PLACED ( 74880 253080 ) FS ;
+- ANTENNA_216 sky130_fd_sc_ls__diode_2 + PLACED ( 218400 36630 ) FN ;
+- ANTENNA_217 sky130_fd_sc_ls__diode_2 + PLACED ( 221280 36630 ) FN ;
+- ANTENNA_218 sky130_fd_sc_ls__diode_2 + PLACED ( 222240 226440 ) FS ;
+- ANTENNA_219 sky130_fd_sc_ls__diode_2 + PLACED ( 224640 33300 ) S ;
+- ANTENNA_220 sky130_fd_sc_ls__diode_2 + PLACED ( 206400 276390 ) N ;
+- ANTENNA_221 sky130_fd_sc_ls__diode_2 + PLACED ( 222240 36630 ) FN ;
+- ANTENNA_222 sky130_fd_sc_ls__diode_2 + PLACED ( 218400 229770 ) N ;
+- ANTENNA_223 sky130_fd_sc_ls__diode_2 + PLACED ( 226080 36630 ) FN ;
+- ANTENNA_224 sky130_fd_sc_ls__diode_2 + PLACED ( 229920 36630 ) FN ;
+- ANTENNA_225 sky130_fd_sc_ls__diode_2 + PLACED ( 26880 276390 ) N ;
+- ANTENNA_226 sky130_fd_sc_ls__diode_2 + PLACED ( 235680 33300 ) S ;
+- ANTENNA_227 sky130_fd_sc_ls__diode_2 + PLACED ( 238560 33300 ) S ;
+- ANTENNA_228 sky130_fd_sc_ls__diode_2 + PLACED ( 153120 219780 ) FS ;
+- ANTENNA_229 sky130_fd_sc_ls__diode_2 + PLACED ( 233760 36630 ) FN ;
+- ANTENNA_230 sky130_fd_sc_ls__diode_2 + PLACED ( 105600 239760 ) FS ;
+- ANTENNA_231 sky130_fd_sc_ls__diode_2 + PLACED ( 243360 33300 ) S ;
+- ANTENNA_232 sky130_fd_sc_ls__diode_2 + PLACED ( 231840 173160 ) FS ;
+- ANTENNA_233 sky130_fd_sc_ls__diode_2 + PLACED ( 244800 36630 ) FN ;
+- ANTENNA_234 sky130_fd_sc_ls__diode_2 + PLACED ( 196800 236430 ) N ;
+- ANTENNA_235 sky130_fd_sc_ls__diode_2 + PLACED ( 248640 36630 ) FN ;
+- ANTENNA_236 sky130_fd_sc_ls__diode_2 + PLACED ( 83520 253080 ) S ;
+- ANTENNA_237 sky130_fd_sc_ls__diode_2 + PLACED ( 28320 26640 ) FS ;
+- ANTENNA_238 sky130_fd_sc_ls__diode_2 + PLACED ( 141120 183150 ) FN ;
+- ANTENNA_239 sky130_fd_sc_ls__diode_2 + PLACED ( 28320 33300 ) FS ;
+- ANTENNA_240 sky130_fd_sc_ls__diode_2 + PLACED ( 272160 229770 ) FN ;
+- ANTENNA_241 sky130_fd_sc_ls__diode_2 + PLACED ( 36000 33300 ) FS ;
+- ANTENNA_242 sky130_fd_sc_ls__diode_2 + PLACED ( 38880 33300 ) FS ;
+- ANTENNA_243 sky130_fd_sc_ls__diode_2 + PLACED ( 102720 209790 ) FN ;
+- ANTENNA_244 sky130_fd_sc_ls__diode_2 + PLACED ( 39840 33300 ) FS ;
+- ANTENNA_245 sky130_fd_sc_ls__diode_2 + PLACED ( 12480 259740 ) FS ;
+- ANTENNA_246 sky130_fd_sc_ls__diode_2 + PLACED ( 47040 33300 ) S ;
+- ANTENNA_247 sky130_fd_sc_ls__diode_2 + PLACED ( 270240 206460 ) S ;
+- ANTENNA_248 sky130_fd_sc_ls__diode_2 + PLACED ( 50880 33300 ) FS ;
+- ANTENNA_249 sky130_fd_sc_ls__diode_2 + PLACED ( 60000 249750 ) FN ;
+- ANTENNA_250 sky130_fd_sc_ls__diode_2 + PLACED ( 48960 36630 ) N ;
+- ANTENNA_251 sky130_fd_sc_ls__diode_2 + PLACED ( 205440 19980 ) S ;
+- ANTENNA_252 sky130_fd_sc_ls__diode_2 + PLACED ( 47040 39960 ) FS ;
+- ANTENNA_253 sky130_fd_sc_ls__diode_2 + PLACED ( 44640 209790 ) N ;
+- ANTENNA_254 sky130_fd_sc_ls__diode_2 + PLACED ( 52800 36630 ) FN ;
+- ANTENNA_255 sky130_fd_sc_ls__diode_2 + PLACED ( 86400 229770 ) FN ;
+- ANTENNA_256 sky130_fd_sc_ls__diode_2 + PLACED ( 54720 39960 ) FS ;
+- ANTENNA_257 sky130_fd_sc_ls__diode_2 + PLACED ( 73440 219780 ) S ;
+- ANTENNA_258 sky130_fd_sc_ls__diode_2 + PLACED ( 62400 39960 ) FS ;
+- ANTENNA_259 sky130_fd_sc_ls__diode_2 + PLACED ( 120480 239760 ) S ;
+- ANTENNA_260 sky130_fd_sc_ls__diode_2 + PLACED ( 14400 39960 ) FS ;
+- ANTENNA_261 sky130_fd_sc_ls__diode_2 + PLACED ( 64320 209790 ) FN ;
+- ANTENNA_262 sky130_fd_sc_ls__diode_2 + PLACED ( 18240 36630 ) N ;
+- ANTENNA_263 sky130_fd_sc_ls__diode_2 + PLACED ( 212160 176490 ) FN ;
+- ANTENNA_264 sky130_fd_sc_ls__diode_2 + PLACED ( 24480 33300 ) FS ;
 - FILLER_0_8 sky130_fd_sc_ls__decap_4 + PLACED ( 9600 13320 ) FS ;
 - FILLER_0_16 sky130_fd_sc_ls__decap_4 + PLACED ( 13440 13320 ) FS ;
 - FILLER_0_24 sky130_fd_sc_ls__decap_4 + PLACED ( 17280 13320 ) FS ;
@@ -2364,8 +2388,9 @@
 - FILLER_0_55 sky130_fd_sc_ls__fill_1 + PLACED ( 32160 13320 ) FS ;
 - FILLER_0_57 sky130_fd_sc_ls__decap_4 + PLACED ( 33120 13320 ) FS ;
 - FILLER_0_65 sky130_fd_sc_ls__decap_4 + PLACED ( 36960 13320 ) FS ;
-- FILLER_0_73 sky130_fd_sc_ls__decap_4 + PLACED ( 40800 13320 ) FS ;
-- FILLER_0_80 sky130_fd_sc_ls__decap_4 + PLACED ( 44160 13320 ) FS ;
+- FILLER_0_73 sky130_fd_sc_ls__decap_8 + PLACED ( 40800 13320 ) FS ;
+- FILLER_0_81 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44640 13320 ) FS ;
+- FILLER_0_83 sky130_fd_sc_ls__fill_1 + PLACED ( 45600 13320 ) FS ;
 - FILLER_0_85 sky130_fd_sc_ls__decap_4 + PLACED ( 46560 13320 ) FS ;
 - FILLER_0_93 sky130_fd_sc_ls__decap_4 + PLACED ( 50400 13320 ) FS ;
 - FILLER_0_101 sky130_fd_sc_ls__decap_8 + PLACED ( 54240 13320 ) FS ;
@@ -2377,69 +2402,64 @@
 - FILLER_0_135 sky130_fd_sc_ls__decap_4 + PLACED ( 70560 13320 ) FS ;
 - FILLER_0_139 sky130_fd_sc_ls__fill_1 + PLACED ( 72480 13320 ) FS ;
 - FILLER_0_141 sky130_fd_sc_ls__decap_4 + PLACED ( 73440 13320 ) FS ;
-- FILLER_0_149 sky130_fd_sc_ls__decap_8 + PLACED ( 77280 13320 ) FS ;
-- FILLER_0_157 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 81120 13320 ) FS ;
-- FILLER_0_159 sky130_fd_sc_ls__fill_1 + PLACED ( 82080 13320 ) FS ;
+- FILLER_0_149 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 77280 13320 ) FS ;
+- FILLER_0_156 sky130_fd_sc_ls__decap_4 + PLACED ( 80640 13320 ) FS ;
 - FILLER_0_164 sky130_fd_sc_ls__decap_4 + PLACED ( 84480 13320 ) FS ;
 - FILLER_0_169 sky130_fd_sc_ls__decap_4 + PLACED ( 86880 13320 ) FS ;
 - FILLER_0_177 sky130_fd_sc_ls__decap_4 + PLACED ( 90720 13320 ) FS ;
-- FILLER_0_185 sky130_fd_sc_ls__decap_8 + PLACED ( 94560 13320 ) FS ;
-- FILLER_0_193 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 98400 13320 ) FS ;
-- FILLER_0_195 sky130_fd_sc_ls__fill_1 + PLACED ( 99360 13320 ) FS ;
+- FILLER_0_185 sky130_fd_sc_ls__decap_4 + PLACED ( 94560 13320 ) FS ;
+- FILLER_0_192 sky130_fd_sc_ls__decap_4 + PLACED ( 97920 13320 ) FS ;
 - FILLER_0_197 sky130_fd_sc_ls__decap_4 + PLACED ( 100320 13320 ) FS ;
 - FILLER_0_205 sky130_fd_sc_ls__decap_4 + PLACED ( 104160 13320 ) FS ;
-- FILLER_0_213 sky130_fd_sc_ls__decap_8 + PLACED ( 108000 13320 ) FS ;
-- FILLER_0_221 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 111840 13320 ) FS ;
-- FILLER_0_223 sky130_fd_sc_ls__fill_1 + PLACED ( 112800 13320 ) FS ;
+- FILLER_0_213 sky130_fd_sc_ls__decap_4 + PLACED ( 108000 13320 ) FS ;
+- FILLER_0_220 sky130_fd_sc_ls__decap_4 + PLACED ( 111360 13320 ) FS ;
 - FILLER_0_225 sky130_fd_sc_ls__decap_4 + PLACED ( 113760 13320 ) FS ;
 - FILLER_0_233 sky130_fd_sc_ls__decap_4 + PLACED ( 117600 13320 ) FS ;
-- FILLER_0_241 sky130_fd_sc_ls__decap_8 + PLACED ( 121440 13320 ) FS ;
-- FILLER_0_249 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 125280 13320 ) FS ;
-- FILLER_0_251 sky130_fd_sc_ls__fill_1 + PLACED ( 126240 13320 ) FS ;
+- FILLER_0_241 sky130_fd_sc_ls__decap_4 + PLACED ( 121440 13320 ) FS ;
+- FILLER_0_248 sky130_fd_sc_ls__decap_4 + PLACED ( 124800 13320 ) FS ;
 - FILLER_0_253 sky130_fd_sc_ls__decap_4 + PLACED ( 127200 13320 ) FS ;
 - FILLER_0_261 sky130_fd_sc_ls__decap_4 + PLACED ( 131040 13320 ) FS ;
-- FILLER_0_269 sky130_fd_sc_ls__decap_8 + PLACED ( 134880 13320 ) FS ;
-- FILLER_0_277 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 138720 13320 ) FS ;
-- FILLER_0_279 sky130_fd_sc_ls__fill_1 + PLACED ( 139680 13320 ) FS ;
+- FILLER_0_269 sky130_fd_sc_ls__decap_4 + PLACED ( 134880 13320 ) FS ;
+- FILLER_0_276 sky130_fd_sc_ls__decap_4 + PLACED ( 138240 13320 ) FS ;
 - FILLER_0_281 sky130_fd_sc_ls__decap_4 + PLACED ( 140640 13320 ) FS ;
 - FILLER_0_289 sky130_fd_sc_ls__decap_4 + PLACED ( 144480 13320 ) FS ;
-- FILLER_0_297 sky130_fd_sc_ls__decap_8 + PLACED ( 148320 13320 ) FS ;
-- FILLER_0_305 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 152160 13320 ) FS ;
-- FILLER_0_307 sky130_fd_sc_ls__fill_1 + PLACED ( 153120 13320 ) FS ;
+- FILLER_0_297 sky130_fd_sc_ls__decap_4 + PLACED ( 148320 13320 ) FS ;
+- FILLER_0_304 sky130_fd_sc_ls__decap_4 + PLACED ( 151680 13320 ) FS ;
 - FILLER_0_309 sky130_fd_sc_ls__decap_4 + PLACED ( 154080 13320 ) FS ;
 - FILLER_0_317 sky130_fd_sc_ls__decap_4 + PLACED ( 157920 13320 ) FS ;
-- FILLER_0_325 sky130_fd_sc_ls__decap_8 + PLACED ( 161760 13320 ) FS ;
-- FILLER_0_333 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 165600 13320 ) FS ;
-- FILLER_0_335 sky130_fd_sc_ls__fill_1 + PLACED ( 166560 13320 ) FS ;
+- FILLER_0_325 sky130_fd_sc_ls__decap_4 + PLACED ( 161760 13320 ) FS ;
+- FILLER_0_332 sky130_fd_sc_ls__decap_4 + PLACED ( 165120 13320 ) FS ;
 - FILLER_0_337 sky130_fd_sc_ls__decap_4 + PLACED ( 167520 13320 ) FS ;
 - FILLER_0_345 sky130_fd_sc_ls__decap_4 + PLACED ( 171360 13320 ) FS ;
 - FILLER_0_353 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 175200 13320 ) FS ;
 - FILLER_0_360 sky130_fd_sc_ls__decap_4 + PLACED ( 178560 13320 ) FS ;
 - FILLER_0_365 sky130_fd_sc_ls__decap_4 + PLACED ( 180960 13320 ) FS ;
 - FILLER_0_373 sky130_fd_sc_ls__decap_4 + PLACED ( 184800 13320 ) FS ;
-- FILLER_0_381 sky130_fd_sc_ls__decap_8 + PLACED ( 188640 13320 ) FS ;
-- FILLER_0_389 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 192480 13320 ) FS ;
-- FILLER_0_391 sky130_fd_sc_ls__fill_1 + PLACED ( 193440 13320 ) FS ;
+- FILLER_0_381 sky130_fd_sc_ls__decap_4 + PLACED ( 188640 13320 ) FS ;
+- FILLER_0_388 sky130_fd_sc_ls__decap_4 + PLACED ( 192000 13320 ) FS ;
 - FILLER_0_393 sky130_fd_sc_ls__decap_4 + PLACED ( 194400 13320 ) FS ;
 - FILLER_0_401 sky130_fd_sc_ls__decap_4 + PLACED ( 198240 13320 ) FS ;
-- FILLER_0_409 sky130_fd_sc_ls__decap_4 + PLACED ( 202080 13320 ) FS ;
-- FILLER_0_416 sky130_fd_sc_ls__decap_4 + PLACED ( 205440 13320 ) FS ;
+- FILLER_0_409 sky130_fd_sc_ls__decap_8 + PLACED ( 202080 13320 ) FS ;
+- FILLER_0_417 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 205920 13320 ) FS ;
+- FILLER_0_419 sky130_fd_sc_ls__fill_1 + PLACED ( 206880 13320 ) FS ;
 - FILLER_0_421 sky130_fd_sc_ls__decap_4 + PLACED ( 207840 13320 ) FS ;
 - FILLER_0_429 sky130_fd_sc_ls__decap_4 + PLACED ( 211680 13320 ) FS ;
 - FILLER_0_437 sky130_fd_sc_ls__decap_4 + PLACED ( 215520 13320 ) FS ;
 - FILLER_0_444 sky130_fd_sc_ls__decap_4 + PLACED ( 218880 13320 ) FS ;
 - FILLER_0_449 sky130_fd_sc_ls__decap_4 + PLACED ( 221280 13320 ) FS ;
 - FILLER_0_457 sky130_fd_sc_ls__decap_4 + PLACED ( 225120 13320 ) FS ;
-- FILLER_0_465 sky130_fd_sc_ls__decap_4 + PLACED ( 228960 13320 ) FS ;
+- FILLER_0_465 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 228960 13320 ) FS ;
 - FILLER_0_472 sky130_fd_sc_ls__decap_4 + PLACED ( 232320 13320 ) FS ;
 - FILLER_0_477 sky130_fd_sc_ls__decap_4 + PLACED ( 234720 13320 ) FS ;
 - FILLER_0_485 sky130_fd_sc_ls__decap_4 + PLACED ( 238560 13320 ) FS ;
-- FILLER_0_493 sky130_fd_sc_ls__decap_4 + PLACED ( 242400 13320 ) FS ;
-- FILLER_0_500 sky130_fd_sc_ls__decap_4 + PLACED ( 245760 13320 ) FS ;
+- FILLER_0_493 sky130_fd_sc_ls__decap_8 + PLACED ( 242400 13320 ) FS ;
+- FILLER_0_501 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 246240 13320 ) FS ;
+- FILLER_0_503 sky130_fd_sc_ls__fill_1 + PLACED ( 247200 13320 ) FS ;
 - FILLER_0_505 sky130_fd_sc_ls__decap_4 + PLACED ( 248160 13320 ) FS ;
 - FILLER_0_513 sky130_fd_sc_ls__decap_4 + PLACED ( 252000 13320 ) FS ;
-- FILLER_0_521 sky130_fd_sc_ls__decap_4 + PLACED ( 255840 13320 ) FS ;
-- FILLER_0_528 sky130_fd_sc_ls__decap_4 + PLACED ( 259200 13320 ) FS ;
+- FILLER_0_521 sky130_fd_sc_ls__decap_8 + PLACED ( 255840 13320 ) FS ;
+- FILLER_0_529 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 259680 13320 ) FS ;
+- FILLER_0_531 sky130_fd_sc_ls__fill_1 + PLACED ( 260640 13320 ) FS ;
 - FILLER_0_533 sky130_fd_sc_ls__decap_4 + PLACED ( 261600 13320 ) FS ;
 - FILLER_0_541 sky130_fd_sc_ls__decap_4 + PLACED ( 265440 13320 ) FS ;
 - FILLER_0_549 sky130_fd_sc_ls__decap_8 + PLACED ( 269280 13320 ) FS ;
@@ -2467,8 +2487,9 @@
 - FILLER_1_96 sky130_fd_sc_ls__decap_4 + PLACED ( 51840 16650 ) N ;
 - FILLER_1_104 sky130_fd_sc_ls__decap_4 + PLACED ( 55680 16650 ) N ;
 - FILLER_1_108 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 57600 16650 ) N ;
-- FILLER_1_111 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 59040 16650 ) N ;
-- FILLER_1_118 sky130_fd_sc_ls__decap_4 + PLACED ( 62400 16650 ) N ;
+- FILLER_1_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 16650 ) N ;
+- FILLER_1_119 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 62880 16650 ) N ;
+- FILLER_1_121 sky130_fd_sc_ls__fill_1 + PLACED ( 63840 16650 ) N ;
 - FILLER_1_126 sky130_fd_sc_ls__decap_4 + PLACED ( 66240 16650 ) N ;
 - FILLER_1_134 sky130_fd_sc_ls__decap_4 + PLACED ( 70080 16650 ) N ;
 - FILLER_1_142 sky130_fd_sc_ls__decap_4 + PLACED ( 73920 16650 ) N ;
@@ -2570,9 +2591,8 @@
 - FILLER_2_198 sky130_fd_sc_ls__fill_1 + PLACED ( 100800 19980 ) FS ;
 - FILLER_2_203 sky130_fd_sc_ls__decap_4 + PLACED ( 103200 19980 ) FS ;
 - FILLER_2_211 sky130_fd_sc_ls__decap_4 + PLACED ( 107040 19980 ) FS ;
-- FILLER_2_219 sky130_fd_sc_ls__decap_8 + PLACED ( 110880 19980 ) FS ;
-- FILLER_2_227 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 114720 19980 ) FS ;
-- FILLER_2_229 sky130_fd_sc_ls__fill_1 + PLACED ( 115680 19980 ) FS ;
+- FILLER_2_219 sky130_fd_sc_ls__decap_4 + PLACED ( 110880 19980 ) FS ;
+- FILLER_2_226 sky130_fd_sc_ls__decap_4 + PLACED ( 114240 19980 ) FS ;
 - FILLER_2_234 sky130_fd_sc_ls__decap_4 + PLACED ( 118080 19980 ) FS ;
 - FILLER_2_242 sky130_fd_sc_ls__decap_4 + PLACED ( 121920 19980 ) FS ;
 - FILLER_2_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 19980 ) FS ;
@@ -2607,8 +2627,9 @@
 - FILLER_2_421 sky130_fd_sc_ls__decap_4 + PLACED ( 207840 19980 ) FS ;
 - FILLER_2_429 sky130_fd_sc_ls__decap_4 + PLACED ( 211680 19980 ) FS ;
 - FILLER_2_437 sky130_fd_sc_ls__decap_4 + PLACED ( 215520 19980 ) FS ;
-- FILLER_2_445 sky130_fd_sc_ls__decap_4 + PLACED ( 219360 19980 ) FS ;
-- FILLER_2_452 sky130_fd_sc_ls__decap_4 + PLACED ( 222720 19980 ) FS ;
+- FILLER_2_445 sky130_fd_sc_ls__decap_8 + PLACED ( 219360 19980 ) FS ;
+- FILLER_2_453 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 223200 19980 ) FS ;
+- FILLER_2_455 sky130_fd_sc_ls__fill_1 + PLACED ( 224160 19980 ) FS ;
 - FILLER_2_460 sky130_fd_sc_ls__decap_8 + PLACED ( 226560 19980 ) FS ;
 - FILLER_2_469 sky130_fd_sc_ls__decap_4 + PLACED ( 230880 19980 ) FS ;
 - FILLER_2_473 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 232800 19980 ) FS ;
@@ -2622,8 +2643,9 @@
 - FILLER_2_532 sky130_fd_sc_ls__decap_4 + PLACED ( 261120 19980 ) FS ;
 - FILLER_2_540 sky130_fd_sc_ls__decap_4 + PLACED ( 264960 19980 ) FS ;
 - FILLER_2_548 sky130_fd_sc_ls__decap_4 + PLACED ( 268800 19980 ) FS ;
-- FILLER_2_556 sky130_fd_sc_ls__decap_4 + PLACED ( 272640 19980 ) FS ;
-- FILLER_2_563 sky130_fd_sc_ls__decap_4 + PLACED ( 276000 19980 ) FS ;
+- FILLER_2_556 sky130_fd_sc_ls__decap_8 + PLACED ( 272640 19980 ) FS ;
+- FILLER_2_564 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 276480 19980 ) FS ;
+- FILLER_2_566 sky130_fd_sc_ls__fill_1 + PLACED ( 277440 19980 ) FS ;
 - FILLER_2_571 sky130_fd_sc_ls__decap_4 + PLACED ( 279840 19980 ) FS ;
 - FILLER_2_575 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 281760 19980 ) FS ;
 - FILLER_2_577 sky130_fd_sc_ls__fill_1 + PLACED ( 282720 19980 ) FS ;
@@ -2748,9 +2770,8 @@
 - FILLER_4_148 sky130_fd_sc_ls__decap_4 + PLACED ( 76800 26640 ) FS ;
 - FILLER_4_156 sky130_fd_sc_ls__decap_4 + PLACED ( 80640 26640 ) FS ;
 - FILLER_4_164 sky130_fd_sc_ls__decap_4 + PLACED ( 84480 26640 ) FS ;
-- FILLER_4_172 sky130_fd_sc_ls__decap_8 + PLACED ( 88320 26640 ) FS ;
-- FILLER_4_180 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 92160 26640 ) FS ;
-- FILLER_4_182 sky130_fd_sc_ls__fill_1 + PLACED ( 93120 26640 ) FS ;
+- FILLER_4_172 sky130_fd_sc_ls__decap_4 + PLACED ( 88320 26640 ) FS ;
+- FILLER_4_179 sky130_fd_sc_ls__decap_4 + PLACED ( 91680 26640 ) FS ;
 - FILLER_4_187 sky130_fd_sc_ls__decap_4 + PLACED ( 95520 26640 ) FS ;
 - FILLER_4_191 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 97440 26640 ) FS ;
 - FILLER_4_194 sky130_fd_sc_ls__decap_4 + PLACED ( 98880 26640 ) FS ;
@@ -2821,10 +2842,10 @@
 - FILLER_5_20 sky130_fd_sc_ls__fill_1 + PLACED ( 15360 29970 ) N ;
 - FILLER_5_25 sky130_fd_sc_ls__decap_4 + PLACED ( 17760 29970 ) N ;
 - FILLER_5_33 sky130_fd_sc_ls__decap_4 + PLACED ( 21600 29970 ) N ;
-- FILLER_5_41 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 25440 29970 ) N ;
+- FILLER_5_41 sky130_fd_sc_ls__decap_4 + PLACED ( 25440 29970 ) N ;
 - FILLER_5_49 sky130_fd_sc_ls__decap_4 + PLACED ( 29280 29970 ) N ;
 - FILLER_5_53 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 31200 29970 ) N ;
-- FILLER_5_56 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 32640 29970 ) N ;
+- FILLER_5_56 sky130_fd_sc_ls__decap_4 + PLACED ( 32640 29970 ) N ;
 - FILLER_5_64 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 36480 29970 ) N ;
 - FILLER_5_80 sky130_fd_sc_ls__decap_4 + PLACED ( 44160 29970 ) N ;
 - FILLER_5_84 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 46080 29970 ) N ;
@@ -2835,40 +2856,41 @@
 - FILLER_5_119 sky130_fd_sc_ls__decap_4 + PLACED ( 62880 29970 ) N ;
 - FILLER_5_127 sky130_fd_sc_ls__decap_4 + PLACED ( 66720 29970 ) N ;
 - FILLER_5_135 sky130_fd_sc_ls__decap_4 + PLACED ( 70560 29970 ) N ;
-- FILLER_5_143 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 74400 29970 ) N ;
+- FILLER_5_143 sky130_fd_sc_ls__decap_4 + PLACED ( 74400 29970 ) N ;
 - FILLER_5_151 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 78240 29970 ) N ;
 - FILLER_5_159 sky130_fd_sc_ls__decap_4 + PLACED ( 82080 29970 ) N ;
 - FILLER_5_163 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 84000 29970 ) N ;
 - FILLER_5_166 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 85440 29970 ) N ;
-- FILLER_5_174 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 89280 29970 ) N ;
+- FILLER_5_174 sky130_fd_sc_ls__decap_4 + PLACED ( 89280 29970 ) N ;
 - FILLER_5_182 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 93120 29970 ) N ;
-- FILLER_5_190 sky130_fd_sc_ls__decap_4 + PLACED ( 96960 29970 ) N ;
+- FILLER_5_190 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 96960 29970 ) N ;
 - FILLER_5_198 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 100800 29970 ) N ;
-- FILLER_5_206 sky130_fd_sc_ls__decap_4 + PLACED ( 104640 29970 ) N ;
+- FILLER_5_206 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 104640 29970 ) N ;
 - FILLER_5_214 sky130_fd_sc_ls__decap_4 + PLACED ( 108480 29970 ) N ;
 - FILLER_5_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 29970 ) N ;
-- FILLER_5_221 sky130_fd_sc_ls__decap_4 + PLACED ( 111840 29970 ) N ;
-- FILLER_5_229 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 115680 29970 ) N ;
-- FILLER_5_237 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 119520 29970 ) N ;
+- FILLER_5_221 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 111840 29970 ) N ;
+- FILLER_5_229 sky130_fd_sc_ls__decap_4 + PLACED ( 115680 29970 ) N ;
+- FILLER_5_237 sky130_fd_sc_ls__decap_4 + PLACED ( 119520 29970 ) N ;
 - FILLER_5_245 sky130_fd_sc_ls__decap_8 + PLACED ( 123360 29970 ) N ;
 - FILLER_5_253 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 127200 29970 ) N ;
 - FILLER_5_259 sky130_fd_sc_ls__decap_8 + PLACED ( 130080 29970 ) N ;
 - FILLER_5_271 sky130_fd_sc_ls__decap_4 + PLACED ( 135840 29970 ) N ;
-- FILLER_5_276 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 138240 29970 ) N ;
-- FILLER_5_284 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 142080 29970 ) N ;
+- FILLER_5_276 sky130_fd_sc_ls__decap_4 + PLACED ( 138240 29970 ) N ;
+- FILLER_5_284 sky130_fd_sc_ls__decap_4 + PLACED ( 142080 29970 ) N ;
 - FILLER_5_292 sky130_fd_sc_ls__decap_4 + PLACED ( 145920 29970 ) N ;
 - FILLER_5_296 sky130_fd_sc_ls__fill_1 + PLACED ( 147840 29970 ) N ;
-- FILLER_5_301 sky130_fd_sc_ls__decap_4 + PLACED ( 150240 29970 ) N ;
+- FILLER_5_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 29970 ) N ;
 - FILLER_5_309 sky130_fd_sc_ls__decap_4 + PLACED ( 154080 29970 ) N ;
 - FILLER_5_317 sky130_fd_sc_ls__decap_4 + PLACED ( 157920 29970 ) N ;
 - FILLER_5_325 sky130_fd_sc_ls__decap_4 + PLACED ( 161760 29970 ) N ;
 - FILLER_5_329 sky130_fd_sc_ls__fill_1 + PLACED ( 163680 29970 ) N ;
-- FILLER_5_331 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 164640 29970 ) N ;
+- FILLER_5_331 sky130_fd_sc_ls__decap_4 + PLACED ( 164640 29970 ) N ;
 - FILLER_5_339 sky130_fd_sc_ls__decap_4 + PLACED ( 168480 29970 ) N ;
 - FILLER_5_347 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 172320 29970 ) N ;
-- FILLER_5_355 sky130_fd_sc_ls__decap_4 + PLACED ( 176160 29970 ) N ;
-- FILLER_5_362 sky130_fd_sc_ls__decap_4 + PLACED ( 179520 29970 ) N ;
-- FILLER_5_370 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 183360 29970 ) N ;
+- FILLER_5_355 sky130_fd_sc_ls__decap_8 + PLACED ( 176160 29970 ) N ;
+- FILLER_5_363 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 180000 29970 ) N ;
+- FILLER_5_365 sky130_fd_sc_ls__fill_1 + PLACED ( 180960 29970 ) N ;
+- FILLER_5_370 sky130_fd_sc_ls__decap_4 + PLACED ( 183360 29970 ) N ;
 - FILLER_5_378 sky130_fd_sc_ls__decap_4 + PLACED ( 187200 29970 ) N ;
 - FILLER_5_382 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189120 29970 ) N ;
 - FILLER_5_384 sky130_fd_sc_ls__fill_1 + PLACED ( 190080 29970 ) N ;
@@ -2880,22 +2902,21 @@
 - FILLER_5_407 sky130_fd_sc_ls__fill_1 + PLACED ( 201120 29970 ) N ;
 - FILLER_5_412 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 203520 29970 ) N ;
 - FILLER_5_420 sky130_fd_sc_ls__decap_4 + PLACED ( 207360 29970 ) N ;
-- FILLER_5_428 sky130_fd_sc_ls__decap_4 + PLACED ( 211200 29970 ) N ;
+- FILLER_5_428 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 211200 29970 ) N ;
 - FILLER_5_436 sky130_fd_sc_ls__decap_4 + PLACED ( 215040 29970 ) N ;
 - FILLER_5_441 sky130_fd_sc_ls__decap_4 + PLACED ( 217440 29970 ) N ;
 - FILLER_5_449 sky130_fd_sc_ls__decap_4 + PLACED ( 221280 29970 ) N ;
 - FILLER_5_457 sky130_fd_sc_ls__decap_4 + PLACED ( 225120 29970 ) N ;
 - FILLER_5_461 sky130_fd_sc_ls__fill_1 + PLACED ( 227040 29970 ) N ;
-- FILLER_5_466 sky130_fd_sc_ls__decap_8 + PLACED ( 229440 29970 ) N ;
-- FILLER_5_474 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 233280 29970 ) N ;
-- FILLER_5_476 sky130_fd_sc_ls__fill_1 + PLACED ( 234240 29970 ) N ;
+- FILLER_5_466 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 229440 29970 ) N ;
+- FILLER_5_473 sky130_fd_sc_ls__decap_4 + PLACED ( 232800 29970 ) N ;
 - FILLER_5_481 sky130_fd_sc_ls__decap_4 + PLACED ( 236640 29970 ) N ;
 - FILLER_5_489 sky130_fd_sc_ls__decap_4 + PLACED ( 240480 29970 ) N ;
 - FILLER_5_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 29970 ) N ;
 - FILLER_5_496 sky130_fd_sc_ls__decap_4 + PLACED ( 243840 29970 ) N ;
 - FILLER_5_504 sky130_fd_sc_ls__decap_4 + PLACED ( 247680 29970 ) N ;
 - FILLER_5_512 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 251520 29970 ) N ;
-- FILLER_5_520 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255360 29970 ) N ;
+- FILLER_5_520 sky130_fd_sc_ls__decap_4 + PLACED ( 255360 29970 ) N ;
 - FILLER_5_528 sky130_fd_sc_ls__decap_4 + PLACED ( 259200 29970 ) N ;
 - FILLER_5_536 sky130_fd_sc_ls__decap_4 + PLACED ( 263040 29970 ) N ;
 - FILLER_5_540 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 264960 29970 ) N ;
@@ -2911,15 +2932,14 @@
 - FILLER_6_12 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 11520 33300 ) FS ;
 - FILLER_6_18 sky130_fd_sc_ls__decap_8 + PLACED ( 14400 33300 ) FS ;
 - FILLER_6_26 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 18240 33300 ) FS ;
-- FILLER_6_29 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 19680 33300 ) FS ;
-- FILLER_6_37 sky130_fd_sc_ls__decap_4 + PLACED ( 23520 33300 ) FS ;
-- FILLER_6_45 sky130_fd_sc_ls__decap_4 + PLACED ( 27360 33300 ) FS ;
-- FILLER_6_53 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 31200 33300 ) FS ;
-- FILLER_6_61 sky130_fd_sc_ls__decap_4 + PLACED ( 35040 33300 ) FS ;
-- FILLER_6_69 sky130_fd_sc_ls__decap_4 + PLACED ( 38880 33300 ) FS ;
+- FILLER_6_29 sky130_fd_sc_ls__decap_4 + PLACED ( 19680 33300 ) FS ;
+- FILLER_6_37 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 23520 33300 ) FS ;
+- FILLER_6_45 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 27360 33300 ) FS ;
+- FILLER_6_53 sky130_fd_sc_ls__decap_4 + PLACED ( 31200 33300 ) FS ;
+- FILLER_6_61 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 35040 33300 ) FS ;
 - FILLER_6_77 sky130_fd_sc_ls__decap_4 + PLACED ( 42720 33300 ) FS ;
 - FILLER_6_81 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44640 33300 ) FS ;
-- FILLER_6_84 sky130_fd_sc_ls__decap_4 + PLACED ( 46080 33300 ) FS ;
+- FILLER_6_84 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 46080 33300 ) FS ;
 - FILLER_6_92 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 49920 33300 ) FS ;
 - FILLER_6_100 sky130_fd_sc_ls__decap_4 + PLACED ( 53760 33300 ) FS ;
 - FILLER_6_104 sky130_fd_sc_ls__fill_1 + PLACED ( 55680 33300 ) FS ;
@@ -2929,27 +2949,27 @@
 - FILLER_6_124 sky130_fd_sc_ls__decap_4 + PLACED ( 65280 33300 ) FS ;
 - FILLER_6_132 sky130_fd_sc_ls__decap_4 + PLACED ( 69120 33300 ) FS ;
 - FILLER_6_136 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 71040 33300 ) FS ;
-- FILLER_6_139 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 72480 33300 ) FS ;
+- FILLER_6_139 sky130_fd_sc_ls__decap_4 + PLACED ( 72480 33300 ) FS ;
 - FILLER_6_147 sky130_fd_sc_ls__decap_4 + PLACED ( 76320 33300 ) FS ;
-- FILLER_6_155 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 80160 33300 ) FS ;
-- FILLER_6_162 sky130_fd_sc_ls__decap_4 + PLACED ( 83520 33300 ) FS ;
-- FILLER_6_170 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 87360 33300 ) FS ;
+- FILLER_6_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 33300 ) FS ;
+- FILLER_6_163 sky130_fd_sc_ls__fill_1 + PLACED ( 84000 33300 ) FS ;
+- FILLER_6_170 sky130_fd_sc_ls__decap_4 + PLACED ( 87360 33300 ) FS ;
 - FILLER_6_178 sky130_fd_sc_ls__decap_4 + PLACED ( 91200 33300 ) FS ;
 - FILLER_6_186 sky130_fd_sc_ls__decap_4 + PLACED ( 95040 33300 ) FS ;
 - FILLER_6_190 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 96960 33300 ) FS ;
 - FILLER_6_192 sky130_fd_sc_ls__fill_1 + PLACED ( 97920 33300 ) FS ;
-- FILLER_6_194 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 98880 33300 ) FS ;
+- FILLER_6_194 sky130_fd_sc_ls__decap_4 + PLACED ( 98880 33300 ) FS ;
 - FILLER_6_202 sky130_fd_sc_ls__decap_4 + PLACED ( 102720 33300 ) FS ;
-- FILLER_6_210 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 106560 33300 ) FS ;
+- FILLER_6_210 sky130_fd_sc_ls__decap_4 + PLACED ( 106560 33300 ) FS ;
 - FILLER_6_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 33300 ) FS ;
-- FILLER_6_226 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 114240 33300 ) FS ;
+- FILLER_6_226 sky130_fd_sc_ls__decap_4 + PLACED ( 114240 33300 ) FS ;
 - FILLER_6_234 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 118080 33300 ) FS ;
 - FILLER_6_242 sky130_fd_sc_ls__decap_4 + PLACED ( 121920 33300 ) FS ;
 - FILLER_6_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 33300 ) FS ;
-- FILLER_6_249 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 125280 33300 ) FS ;
-- FILLER_6_257 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 129120 33300 ) FS ;
-- FILLER_6_265 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 132960 33300 ) FS ;
-- FILLER_6_273 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136800 33300 ) FS ;
+- FILLER_6_249 sky130_fd_sc_ls__decap_4 + PLACED ( 125280 33300 ) FS ;
+- FILLER_6_257 sky130_fd_sc_ls__decap_4 + PLACED ( 129120 33300 ) FS ;
+- FILLER_6_265 sky130_fd_sc_ls__decap_4 + PLACED ( 132960 33300 ) FS ;
+- FILLER_6_273 sky130_fd_sc_ls__decap_4 + PLACED ( 136800 33300 ) FS ;
 - FILLER_6_281 sky130_fd_sc_ls__decap_4 + PLACED ( 140640 33300 ) FS ;
 - FILLER_6_289 sky130_fd_sc_ls__decap_4 + PLACED ( 144480 33300 ) FS ;
 - FILLER_6_297 sky130_fd_sc_ls__decap_4 + PLACED ( 148320 33300 ) FS ;
@@ -2957,36 +2977,37 @@
 - FILLER_6_304 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 151680 33300 ) FS ;
 - FILLER_6_312 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 155520 33300 ) FS ;
 - FILLER_6_320 sky130_fd_sc_ls__decap_4 + PLACED ( 159360 33300 ) FS ;
-- FILLER_6_328 sky130_fd_sc_ls__decap_4 + PLACED ( 163200 33300 ) FS ;
+- FILLER_6_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 33300 ) FS ;
 - FILLER_6_336 sky130_fd_sc_ls__decap_4 + PLACED ( 167040 33300 ) FS ;
 - FILLER_6_344 sky130_fd_sc_ls__decap_4 + PLACED ( 170880 33300 ) FS ;
 - FILLER_6_352 sky130_fd_sc_ls__decap_4 + PLACED ( 174720 33300 ) FS ;
 - FILLER_6_356 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176640 33300 ) FS ;
-- FILLER_6_359 sky130_fd_sc_ls__decap_4 + PLACED ( 178080 33300 ) FS ;
-- FILLER_6_367 sky130_fd_sc_ls__decap_4 + PLACED ( 181920 33300 ) FS ;
-- FILLER_6_375 sky130_fd_sc_ls__decap_4 + PLACED ( 185760 33300 ) FS ;
+- FILLER_6_359 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 178080 33300 ) FS ;
+- FILLER_6_367 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 181920 33300 ) FS ;
+- FILLER_6_375 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 185760 33300 ) FS ;
 - FILLER_6_383 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189600 33300 ) FS ;
-- FILLER_6_391 sky130_fd_sc_ls__decap_4 + PLACED ( 193440 33300 ) FS ;
+- FILLER_6_391 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 193440 33300 ) FS ;
 - FILLER_6_399 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 197280 33300 ) FS ;
 - FILLER_6_407 sky130_fd_sc_ls__decap_4 + PLACED ( 201120 33300 ) FS ;
 - FILLER_6_411 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 203040 33300 ) FS ;
 - FILLER_6_414 sky130_fd_sc_ls__decap_4 + PLACED ( 204480 33300 ) FS ;
-- FILLER_6_422 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 208320 33300 ) FS ;
+- FILLER_6_422 sky130_fd_sc_ls__decap_4 + PLACED ( 208320 33300 ) FS ;
 - FILLER_6_430 sky130_fd_sc_ls__decap_4 + PLACED ( 212160 33300 ) FS ;
 - FILLER_6_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 33300 ) FS ;
-- FILLER_6_446 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 219840 33300 ) FS ;
+- FILLER_6_448 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 220800 33300 ) FS ;
 - FILLER_6_454 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 223680 33300 ) FS ;
 - FILLER_6_462 sky130_fd_sc_ls__decap_4 + PLACED ( 227520 33300 ) FS ;
 - FILLER_6_466 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 229440 33300 ) FS ;
 - FILLER_6_469 sky130_fd_sc_ls__decap_4 + PLACED ( 230880 33300 ) FS ;
-- FILLER_6_477 sky130_fd_sc_ls__decap_4 + PLACED ( 234720 33300 ) FS ;
-- FILLER_6_485 sky130_fd_sc_ls__decap_4 + PLACED ( 238560 33300 ) FS ;
-- FILLER_6_493 sky130_fd_sc_ls__decap_4 + PLACED ( 242400 33300 ) FS ;
-- FILLER_6_501 sky130_fd_sc_ls__decap_8 + PLACED ( 246240 33300 ) FS ;
-- FILLER_6_509 sky130_fd_sc_ls__fill_1 + PLACED ( 250080 33300 ) FS ;
+- FILLER_6_477 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 234720 33300 ) FS ;
+- FILLER_6_487 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 239520 33300 ) FS ;
+- FILLER_6_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 33300 ) FS ;
+- FILLER_6_501 sky130_fd_sc_ls__decap_4 + PLACED ( 246240 33300 ) FS ;
+- FILLER_6_505 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 248160 33300 ) FS ;
+- FILLER_6_507 sky130_fd_sc_ls__fill_1 + PLACED ( 249120 33300 ) FS ;
 - FILLER_6_514 sky130_fd_sc_ls__decap_8 + PLACED ( 252480 33300 ) FS ;
 - FILLER_6_522 sky130_fd_sc_ls__fill_1 + PLACED ( 256320 33300 ) FS ;
-- FILLER_6_524 sky130_fd_sc_ls__decap_4 + PLACED ( 257280 33300 ) FS ;
+- FILLER_6_524 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 257280 33300 ) FS ;
 - FILLER_6_532 sky130_fd_sc_ls__decap_4 + PLACED ( 261120 33300 ) FS ;
 - FILLER_6_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 33300 ) FS ;
 - FILLER_6_548 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268800 33300 ) FS ;
@@ -2997,61 +3018,61 @@
 - FILLER_6_587 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 287520 33300 ) FS ;
 - FILLER_6_593 sky130_fd_sc_ls__decap_4 + PLACED ( 290400 33300 ) FS ;
 - FILLER_7_8 sky130_fd_sc_ls__decap_4 + PLACED ( 9600 36630 ) N ;
-- FILLER_7_16 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 13440 36630 ) N ;
-- FILLER_7_24 sky130_fd_sc_ls__decap_4 + PLACED ( 17280 36630 ) N ;
+- FILLER_7_16 sky130_fd_sc_ls__decap_4 + PLACED ( 13440 36630 ) N ;
+- FILLER_7_24 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 17280 36630 ) N ;
 - FILLER_7_32 sky130_fd_sc_ls__decap_4 + PLACED ( 21120 36630 ) N ;
-- FILLER_7_40 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 24960 36630 ) N ;
+- FILLER_7_40 sky130_fd_sc_ls__decap_4 + PLACED ( 24960 36630 ) N ;
 - FILLER_7_48 sky130_fd_sc_ls__decap_4 + PLACED ( 28800 36630 ) N ;
 - FILLER_7_52 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 30720 36630 ) N ;
 - FILLER_7_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 36630 ) N ;
-- FILLER_7_56 sky130_fd_sc_ls__decap_4 + PLACED ( 32640 36630 ) N ;
-- FILLER_7_63 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 36000 36630 ) N ;
-- FILLER_7_65 sky130_fd_sc_ls__fill_1 + PLACED ( 36960 36630 ) N ;
+- FILLER_7_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 36630 ) N ;
+- FILLER_7_64 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 36480 36630 ) N ;
 - FILLER_7_80 sky130_fd_sc_ls__decap_4 + PLACED ( 44160 36630 ) N ;
-- FILLER_7_88 sky130_fd_sc_ls__decap_4 + PLACED ( 48000 36630 ) N ;
-- FILLER_7_96 sky130_fd_sc_ls__decap_4 + PLACED ( 51840 36630 ) N ;
+- FILLER_7_88 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 48000 36630 ) N ;
+- FILLER_7_96 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 51840 36630 ) N ;
 - FILLER_7_104 sky130_fd_sc_ls__decap_4 + PLACED ( 55680 36630 ) N ;
 - FILLER_7_108 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 57600 36630 ) N ;
 - FILLER_7_111 sky130_fd_sc_ls__decap_4 + PLACED ( 59040 36630 ) N ;
-- FILLER_7_119 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 62880 36630 ) N ;
+- FILLER_7_119 sky130_fd_sc_ls__decap_4 + PLACED ( 62880 36630 ) N ;
 - FILLER_7_127 sky130_fd_sc_ls__decap_4 + PLACED ( 66720 36630 ) N ;
 - FILLER_7_135 sky130_fd_sc_ls__decap_8 + PLACED ( 70560 36630 ) N ;
-- FILLER_7_143 sky130_fd_sc_ls__fill_1 + PLACED ( 74400 36630 ) N ;
-- FILLER_7_147 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 76320 36630 ) N ;
+- FILLER_7_143 sky130_fd_sc_ls__decap_8 + PLACED ( 74400 36630 ) N ;
 - FILLER_7_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 36630 ) N ;
 - FILLER_7_163 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 84000 36630 ) N ;
 - FILLER_7_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 36630 ) N ;
-- FILLER_7_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 36630 ) N ;
-- FILLER_7_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 36630 ) N ;
-- FILLER_7_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 36630 ) N ;
-- FILLER_7_198 sky130_fd_sc_ls__decap_4 + PLACED ( 100800 36630 ) N ;
-- FILLER_7_202 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 102720 36630 ) N ;
-- FILLER_7_208 sky130_fd_sc_ls__decap_4 + PLACED ( 105600 36630 ) N ;
-- FILLER_7_215 sky130_fd_sc_ls__decap_4 + PLACED ( 108960 36630 ) N ;
-- FILLER_7_219 sky130_fd_sc_ls__fill_1 + PLACED ( 110880 36630 ) N ;
-- FILLER_7_221 sky130_fd_sc_ls__decap_4 + PLACED ( 111840 36630 ) N ;
-- FILLER_7_225 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 113760 36630 ) N ;
-- FILLER_7_227 sky130_fd_sc_ls__fill_1 + PLACED ( 114720 36630 ) N ;
-- FILLER_7_231 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 116640 36630 ) N ;
-- FILLER_7_239 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 120480 36630 ) N ;
-- FILLER_7_247 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 124320 36630 ) N ;
+- FILLER_7_174 sky130_fd_sc_ls__decap_4 + PLACED ( 89280 36630 ) N ;
+- FILLER_7_178 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 91200 36630 ) N ;
+- FILLER_7_180 sky130_fd_sc_ls__fill_1 + PLACED ( 92160 36630 ) N ;
+- FILLER_7_184 sky130_fd_sc_ls__decap_8 + PLACED ( 94080 36630 ) N ;
+- FILLER_7_192 sky130_fd_sc_ls__decap_4 + PLACED ( 97920 36630 ) N ;
+- FILLER_7_196 sky130_fd_sc_ls__fill_1 + PLACED ( 99840 36630 ) N ;
+- FILLER_7_200 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 101760 36630 ) N ;
+- FILLER_7_208 sky130_fd_sc_ls__decap_8 + PLACED ( 105600 36630 ) N ;
+- FILLER_7_216 sky130_fd_sc_ls__decap_4 + PLACED ( 109440 36630 ) N ;
+- FILLER_7_221 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 111840 36630 ) N ;
+- FILLER_7_223 sky130_fd_sc_ls__fill_1 + PLACED ( 112800 36630 ) N ;
+- FILLER_7_229 sky130_fd_sc_ls__decap_4 + PLACED ( 115680 36630 ) N ;
+- FILLER_7_233 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 117600 36630 ) N ;
+- FILLER_7_239 sky130_fd_sc_ls__decap_4 + PLACED ( 120480 36630 ) N ;
+- FILLER_7_247 sky130_fd_sc_ls__decap_4 + PLACED ( 124320 36630 ) N ;
 - FILLER_7_255 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 128160 36630 ) N ;
-- FILLER_7_263 sky130_fd_sc_ls__decap_4 + PLACED ( 132000 36630 ) N ;
+- FILLER_7_263 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 132000 36630 ) N ;
 - FILLER_7_271 sky130_fd_sc_ls__decap_4 + PLACED ( 135840 36630 ) N ;
 - FILLER_7_276 sky130_fd_sc_ls__decap_4 + PLACED ( 138240 36630 ) N ;
 - FILLER_7_280 sky130_fd_sc_ls__fill_1 + PLACED ( 140160 36630 ) N ;
 - FILLER_7_285 sky130_fd_sc_ls__decap_4 + PLACED ( 142560 36630 ) N ;
 - FILLER_7_289 sky130_fd_sc_ls__fill_1 + PLACED ( 144480 36630 ) N ;
-- FILLER_7_296 sky130_fd_sc_ls__decap_4 + PLACED ( 147840 36630 ) N ;
+- FILLER_7_296 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 147840 36630 ) N ;
 - FILLER_7_304 sky130_fd_sc_ls__decap_4 + PLACED ( 151680 36630 ) N ;
 - FILLER_7_308 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 153600 36630 ) N ;
 - FILLER_7_310 sky130_fd_sc_ls__fill_1 + PLACED ( 154560 36630 ) N ;
 - FILLER_7_315 sky130_fd_sc_ls__decap_8 + PLACED ( 156960 36630 ) N ;
-- FILLER_7_326 sky130_fd_sc_ls__decap_4 + PLACED ( 162240 36630 ) N ;
+- FILLER_7_323 sky130_fd_sc_ls__decap_4 + PLACED ( 160800 36630 ) N ;
+- FILLER_7_327 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 162720 36630 ) N ;
+- FILLER_7_329 sky130_fd_sc_ls__fill_1 + PLACED ( 163680 36630 ) N ;
 - FILLER_7_331 sky130_fd_sc_ls__decap_4 + PLACED ( 164640 36630 ) N ;
-- FILLER_7_335 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 166560 36630 ) N ;
-- FILLER_7_337 sky130_fd_sc_ls__fill_1 + PLACED ( 167520 36630 ) N ;
-- FILLER_7_342 sky130_fd_sc_ls__decap_4 + PLACED ( 169920 36630 ) N ;
+- FILLER_7_335 sky130_fd_sc_ls__fill_1 + PLACED ( 166560 36630 ) N ;
+- FILLER_7_342 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 169920 36630 ) N ;
 - FILLER_7_350 sky130_fd_sc_ls__decap_4 + PLACED ( 173760 36630 ) N ;
 - FILLER_7_358 sky130_fd_sc_ls__decap_4 + PLACED ( 177600 36630 ) N ;
 - FILLER_7_366 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 181440 36630 ) N ;
@@ -3062,23 +3083,21 @@
 - FILLER_7_394 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 194880 36630 ) N ;
 - FILLER_7_402 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 198720 36630 ) N ;
 - FILLER_7_410 sky130_fd_sc_ls__decap_4 + PLACED ( 202560 36630 ) N ;
-- FILLER_7_418 sky130_fd_sc_ls__decap_4 + PLACED ( 206400 36630 ) N ;
-- FILLER_7_426 sky130_fd_sc_ls__decap_4 + PLACED ( 210240 36630 ) N ;
+- FILLER_7_418 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 206400 36630 ) N ;
+- FILLER_7_426 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 210240 36630 ) N ;
 - FILLER_7_434 sky130_fd_sc_ls__decap_4 + PLACED ( 214080 36630 ) N ;
 - FILLER_7_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 36630 ) N ;
-- FILLER_7_441 sky130_fd_sc_ls__decap_4 + PLACED ( 217440 36630 ) N ;
-- FILLER_7_449 sky130_fd_sc_ls__decap_4 + PLACED ( 221280 36630 ) N ;
-- FILLER_7_457 sky130_fd_sc_ls__decap_4 + PLACED ( 225120 36630 ) N ;
+- FILLER_7_441 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 217440 36630 ) N ;
+- FILLER_7_457 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 225120 36630 ) N ;
 - FILLER_7_465 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 228960 36630 ) N ;
 - FILLER_7_473 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 232800 36630 ) N ;
 - FILLER_7_481 sky130_fd_sc_ls__decap_4 + PLACED ( 236640 36630 ) N ;
 - FILLER_7_489 sky130_fd_sc_ls__decap_4 + PLACED ( 240480 36630 ) N ;
 - FILLER_7_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 36630 ) N ;
-- FILLER_7_496 sky130_fd_sc_ls__decap_4 + PLACED ( 243840 36630 ) N ;
-- FILLER_7_504 sky130_fd_sc_ls__decap_4 + PLACED ( 247680 36630 ) N ;
+- FILLER_7_496 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 243840 36630 ) N ;
+- FILLER_7_504 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 247680 36630 ) N ;
 - FILLER_7_512 sky130_fd_sc_ls__decap_4 + PLACED ( 251520 36630 ) N ;
-- FILLER_7_516 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 253440 36630 ) N ;
-- FILLER_7_522 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 256320 36630 ) N ;
+- FILLER_7_524 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 257280 36630 ) N ;
 - FILLER_7_530 sky130_fd_sc_ls__decap_4 + PLACED ( 260160 36630 ) N ;
 - FILLER_7_538 sky130_fd_sc_ls__decap_4 + PLACED ( 264000 36630 ) N ;
 - FILLER_7_546 sky130_fd_sc_ls__decap_4 + PLACED ( 267840 36630 ) N ;
@@ -3088,73 +3107,68 @@
 - FILLER_7_573 sky130_fd_sc_ls__decap_4 + PLACED ( 280800 36630 ) N ;
 - FILLER_7_581 sky130_fd_sc_ls__decap_4 + PLACED ( 284640 36630 ) N ;
 - FILLER_7_589 sky130_fd_sc_ls__decap_8 + PLACED ( 288480 36630 ) N ;
-- FILLER_8_10 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 10560 39960 ) FS ;
+- FILLER_8_8 sky130_fd_sc_ls__decap_4 + PLACED ( 9600 39960 ) FS ;
 - FILLER_8_16 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 13440 39960 ) FS ;
 - FILLER_8_24 sky130_fd_sc_ls__decap_4 + PLACED ( 17280 39960 ) FS ;
-- FILLER_8_29 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 19680 39960 ) FS ;
-- FILLER_8_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 39960 ) FS ;
-- FILLER_8_45 sky130_fd_sc_ls__decap_4 + PLACED ( 27360 39960 ) FS ;
-- FILLER_8_49 sky130_fd_sc_ls__fill_1 + PLACED ( 29280 39960 ) FS ;
-- FILLER_8_53 sky130_fd_sc_ls__decap_4 + PLACED ( 31200 39960 ) FS ;
-- FILLER_8_57 sky130_fd_sc_ls__fill_1 + PLACED ( 33120 39960 ) FS ;
-- FILLER_8_61 sky130_fd_sc_ls__decap_4 + PLACED ( 35040 39960 ) FS ;
-- FILLER_8_65 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 36960 39960 ) FS ;
-- FILLER_8_67 sky130_fd_sc_ls__fill_1 + PLACED ( 37920 39960 ) FS ;
+- FILLER_8_29 sky130_fd_sc_ls__decap_4 + PLACED ( 19680 39960 ) FS ;
+- FILLER_8_37 sky130_fd_sc_ls__decap_4 + PLACED ( 23520 39960 ) FS ;
+- FILLER_8_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 39960 ) FS ;
+- FILLER_8_52 sky130_fd_sc_ls__decap_8 + PLACED ( 30720 39960 ) FS ;
+- FILLER_8_60 sky130_fd_sc_ls__decap_8 + PLACED ( 34560 39960 ) FS ;
 - FILLER_8_72 sky130_fd_sc_ls__decap_8 + PLACED ( 40320 39960 ) FS ;
 - FILLER_8_80 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44160 39960 ) FS ;
 - FILLER_8_82 sky130_fd_sc_ls__fill_1 + PLACED ( 45120 39960 ) FS ;
 - FILLER_8_84 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 46080 39960 ) FS ;
 - FILLER_8_92 sky130_fd_sc_ls__decap_4 + PLACED ( 49920 39960 ) FS ;
 - FILLER_8_100 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 53760 39960 ) FS ;
-- FILLER_8_108 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 57600 39960 ) FS ;
-- FILLER_8_116 sky130_fd_sc_ls__decap_4 + PLACED ( 61440 39960 ) FS ;
+- FILLER_8_108 sky130_fd_sc_ls__decap_4 + PLACED ( 57600 39960 ) FS ;
+- FILLER_8_116 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 61440 39960 ) FS ;
 - FILLER_8_124 sky130_fd_sc_ls__decap_4 + PLACED ( 65280 39960 ) FS ;
 - FILLER_8_132 sky130_fd_sc_ls__decap_4 + PLACED ( 69120 39960 ) FS ;
 - FILLER_8_136 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 71040 39960 ) FS ;
-- FILLER_8_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 39960 ) FS ;
-- FILLER_8_147 sky130_fd_sc_ls__decap_8 + PLACED ( 76320 39960 ) FS ;
+- FILLER_8_139 sky130_fd_sc_ls__decap_4 + PLACED ( 72480 39960 ) FS ;
+- FILLER_8_146 sky130_fd_sc_ls__decap_8 + PLACED ( 75840 39960 ) FS ;
+- FILLER_8_154 sky130_fd_sc_ls__fill_1 + PLACED ( 79680 39960 ) FS ;
 - FILLER_8_159 sky130_fd_sc_ls__decap_4 + PLACED ( 82080 39960 ) FS ;
-- FILLER_8_167 sky130_fd_sc_ls__decap_4 + PLACED ( 85920 39960 ) FS ;
-- FILLER_8_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 39960 ) FS ;
-- FILLER_8_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 39960 ) FS ;
-- FILLER_8_190 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 96960 39960 ) FS ;
-- FILLER_8_192 sky130_fd_sc_ls__fill_1 + PLACED ( 97920 39960 ) FS ;
+- FILLER_8_167 sky130_fd_sc_ls__decap_8 + PLACED ( 85920 39960 ) FS ;
+- FILLER_8_175 sky130_fd_sc_ls__decap_8 + PLACED ( 89760 39960 ) FS ;
+- FILLER_8_183 sky130_fd_sc_ls__decap_8 + PLACED ( 93600 39960 ) FS ;
+- FILLER_8_191 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 97440 39960 ) FS ;
 - FILLER_8_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 39960 ) FS ;
 - FILLER_8_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 39960 ) FS ;
-- FILLER_8_210 sky130_fd_sc_ls__decap_4 + PLACED ( 106560 39960 ) FS ;
-- FILLER_8_214 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 108480 39960 ) FS ;
-- FILLER_8_219 sky130_fd_sc_ls__decap_8 + PLACED ( 110880 39960 ) FS ;
-- FILLER_8_227 sky130_fd_sc_ls__decap_8 + PLACED ( 114720 39960 ) FS ;
-- FILLER_8_235 sky130_fd_sc_ls__decap_8 + PLACED ( 118560 39960 ) FS ;
-- FILLER_8_243 sky130_fd_sc_ls__decap_4 + PLACED ( 122400 39960 ) FS ;
+- FILLER_8_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 39960 ) FS ;
+- FILLER_8_218 sky130_fd_sc_ls__decap_4 + PLACED ( 110400 39960 ) FS ;
+- FILLER_8_225 sky130_fd_sc_ls__decap_8 + PLACED ( 113760 39960 ) FS ;
+- FILLER_8_233 sky130_fd_sc_ls__decap_8 + PLACED ( 117600 39960 ) FS ;
+- FILLER_8_241 sky130_fd_sc_ls__decap_4 + PLACED ( 121440 39960 ) FS ;
+- FILLER_8_245 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123360 39960 ) FS ;
 - FILLER_8_247 sky130_fd_sc_ls__fill_1 + PLACED ( 124320 39960 ) FS ;
-- FILLER_8_249 sky130_fd_sc_ls__decap_4 + PLACED ( 125280 39960 ) FS ;
-- FILLER_8_253 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 127200 39960 ) FS ;
-- FILLER_8_255 sky130_fd_sc_ls__fill_1 + PLACED ( 128160 39960 ) FS ;
-- FILLER_8_259 sky130_fd_sc_ls__decap_8 + PLACED ( 130080 39960 ) FS ;
-- FILLER_8_267 sky130_fd_sc_ls__decap_8 + PLACED ( 133920 39960 ) FS ;
-- FILLER_8_275 sky130_fd_sc_ls__decap_8 + PLACED ( 137760 39960 ) FS ;
-- FILLER_8_283 sky130_fd_sc_ls__decap_8 + PLACED ( 141600 39960 ) FS ;
+- FILLER_8_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 39960 ) FS ;
+- FILLER_8_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 39960 ) FS ;
+- FILLER_8_265 sky130_fd_sc_ls__decap_4 + PLACED ( 132960 39960 ) FS ;
+- FILLER_8_272 sky130_fd_sc_ls__decap_8 + PLACED ( 136320 39960 ) FS ;
+- FILLER_8_280 sky130_fd_sc_ls__decap_8 + PLACED ( 140160 39960 ) FS ;
 - FILLER_8_291 sky130_fd_sc_ls__decap_8 + PLACED ( 145440 39960 ) FS ;
 - FILLER_8_299 sky130_fd_sc_ls__decap_4 + PLACED ( 149280 39960 ) FS ;
 - FILLER_8_304 sky130_fd_sc_ls__decap_8 + PLACED ( 151680 39960 ) FS ;
 - FILLER_8_312 sky130_fd_sc_ls__decap_8 + PLACED ( 155520 39960 ) FS ;
 - FILLER_8_320 sky130_fd_sc_ls__decap_8 + PLACED ( 159360 39960 ) FS ;
 - FILLER_8_328 sky130_fd_sc_ls__decap_8 + PLACED ( 163200 39960 ) FS ;
-- FILLER_8_339 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 168480 39960 ) FS ;
-- FILLER_8_341 sky130_fd_sc_ls__fill_1 + PLACED ( 169440 39960 ) FS ;
-- FILLER_8_347 sky130_fd_sc_ls__decap_4 + PLACED ( 172320 39960 ) FS ;
-- FILLER_8_354 sky130_fd_sc_ls__decap_4 + PLACED ( 175680 39960 ) FS ;
+- FILLER_8_336 sky130_fd_sc_ls__decap_4 + PLACED ( 167040 39960 ) FS ;
+- FILLER_8_343 sky130_fd_sc_ls__decap_8 + PLACED ( 170400 39960 ) FS ;
+- FILLER_8_351 sky130_fd_sc_ls__decap_4 + PLACED ( 174240 39960 ) FS ;
+- FILLER_8_355 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176160 39960 ) FS ;
+- FILLER_8_357 sky130_fd_sc_ls__fill_1 + PLACED ( 177120 39960 ) FS ;
 - FILLER_8_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 39960 ) FS ;
 - FILLER_8_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 39960 ) FS ;
 - FILLER_8_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 39960 ) FS ;
 - FILLER_8_383 sky130_fd_sc_ls__decap_8 + PLACED ( 189600 39960 ) FS ;
 - FILLER_8_391 sky130_fd_sc_ls__decap_8 + PLACED ( 193440 39960 ) FS ;
-- FILLER_8_404 sky130_fd_sc_ls__decap_8 + PLACED ( 199680 39960 ) FS ;
-- FILLER_8_412 sky130_fd_sc_ls__fill_1 + PLACED ( 203520 39960 ) FS ;
+- FILLER_8_399 sky130_fd_sc_ls__decap_8 + PLACED ( 197280 39960 ) FS ;
+- FILLER_8_407 sky130_fd_sc_ls__decap_4 + PLACED ( 201120 39960 ) FS ;
+- FILLER_8_411 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 203040 39960 ) FS ;
 - FILLER_8_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 39960 ) FS ;
-- FILLER_8_422 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 208320 39960 ) FS ;
-- FILLER_8_424 sky130_fd_sc_ls__fill_1 + PLACED ( 209280 39960 ) FS ;
+- FILLER_8_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 39960 ) FS ;
 - FILLER_8_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 39960 ) FS ;
 - FILLER_8_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 39960 ) FS ;
 - FILLER_8_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 39960 ) FS ;
@@ -3165,16 +3179,14 @@
 - FILLER_8_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 39960 ) FS ;
 - FILLER_8_485 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 238560 39960 ) FS ;
 - FILLER_8_487 sky130_fd_sc_ls__fill_1 + PLACED ( 239520 39960 ) FS ;
-- FILLER_8_492 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 241920 39960 ) FS ;
+- FILLER_8_492 sky130_fd_sc_ls__decap_4 + PLACED ( 241920 39960 ) FS ;
 - FILLER_8_500 sky130_fd_sc_ls__decap_4 + PLACED ( 245760 39960 ) FS ;
 - FILLER_8_508 sky130_fd_sc_ls__decap_8 + PLACED ( 249600 39960 ) FS ;
-- FILLER_8_516 sky130_fd_sc_ls__decap_4 + PLACED ( 253440 39960 ) FS ;
-- FILLER_8_520 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255360 39960 ) FS ;
-- FILLER_8_522 sky130_fd_sc_ls__fill_1 + PLACED ( 256320 39960 ) FS ;
+- FILLER_8_519 sky130_fd_sc_ls__decap_4 + PLACED ( 254880 39960 ) FS ;
 - FILLER_8_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 39960 ) FS ;
 - FILLER_8_532 sky130_fd_sc_ls__fill_1 + PLACED ( 261120 39960 ) FS ;
-- FILLER_8_537 sky130_fd_sc_ls__decap_4 + PLACED ( 263520 39960 ) FS ;
-- FILLER_8_545 sky130_fd_sc_ls__decap_4 + PLACED ( 267360 39960 ) FS ;
+- FILLER_8_537 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 263520 39960 ) FS ;
+- FILLER_8_545 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 267360 39960 ) FS ;
 - FILLER_8_553 sky130_fd_sc_ls__decap_8 + PLACED ( 271200 39960 ) FS ;
 - FILLER_8_561 sky130_fd_sc_ls__fill_1 + PLACED ( 275040 39960 ) FS ;
 - FILLER_8_566 sky130_fd_sc_ls__decap_4 + PLACED ( 277440 39960 ) FS ;
@@ -3183,19 +3195,18 @@
 - FILLER_8_587 sky130_fd_sc_ls__decap_8 + PLACED ( 287520 39960 ) FS ;
 - FILLER_8_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 39960 ) FS ;
 - FILLER_9_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 43290 ) N ;
-- FILLER_9_12 sky130_fd_sc_ls__decap_4 + PLACED ( 11520 43290 ) N ;
-- FILLER_9_16 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 13440 43290 ) N ;
-- FILLER_9_21 sky130_fd_sc_ls__decap_8 + PLACED ( 15840 43290 ) N ;
-- FILLER_9_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 43290 ) N ;
-- FILLER_9_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 43290 ) N ;
-- FILLER_9_45 sky130_fd_sc_ls__decap_8 + PLACED ( 27360 43290 ) N ;
-- FILLER_9_53 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 31200 43290 ) N ;
+- FILLER_9_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 43290 ) N ;
+- FILLER_9_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 43290 ) N ;
+- FILLER_9_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 43290 ) N ;
+- FILLER_9_36 sky130_fd_sc_ls__decap_4 + PLACED ( 23040 43290 ) N ;
+- FILLER_9_40 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 24960 43290 ) N ;
+- FILLER_9_47 sky130_fd_sc_ls__decap_8 + PLACED ( 28320 43290 ) N ;
 - FILLER_9_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 43290 ) N ;
 - FILLER_9_64 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 36480 43290 ) N ;
-- FILLER_9_77 sky130_fd_sc_ls__decap_8 + PLACED ( 42720 43290 ) N ;
-- FILLER_9_85 sky130_fd_sc_ls__decap_8 + PLACED ( 46560 43290 ) N ;
-- FILLER_9_93 sky130_fd_sc_ls__decap_8 + PLACED ( 50400 43290 ) N ;
-- FILLER_9_101 sky130_fd_sc_ls__decap_8 + PLACED ( 54240 43290 ) N ;
+- FILLER_9_83 sky130_fd_sc_ls__decap_8 + PLACED ( 45600 43290 ) N ;
+- FILLER_9_91 sky130_fd_sc_ls__decap_8 + PLACED ( 49440 43290 ) N ;
+- FILLER_9_99 sky130_fd_sc_ls__decap_8 + PLACED ( 53280 43290 ) N ;
+- FILLER_9_107 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 57120 43290 ) N ;
 - FILLER_9_109 sky130_fd_sc_ls__fill_1 + PLACED ( 58080 43290 ) N ;
 - FILLER_9_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 43290 ) N ;
 - FILLER_9_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 43290 ) N ;
@@ -3224,17 +3235,18 @@
 - FILLER_9_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 43290 ) N ;
 - FILLER_9_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 43290 ) N ;
 - FILLER_9_292 sky130_fd_sc_ls__decap_8 + PLACED ( 145920 43290 ) N ;
-- FILLER_9_305 sky130_fd_sc_ls__decap_8 + PLACED ( 152160 43290 ) N ;
-- FILLER_9_313 sky130_fd_sc_ls__decap_8 + PLACED ( 156000 43290 ) N ;
-- FILLER_9_321 sky130_fd_sc_ls__decap_8 + PLACED ( 159840 43290 ) N ;
-- FILLER_9_329 sky130_fd_sc_ls__fill_1 + PLACED ( 163680 43290 ) N ;
+- FILLER_9_300 sky130_fd_sc_ls__decap_8 + PLACED ( 149760 43290 ) N ;
+- FILLER_9_308 sky130_fd_sc_ls__decap_8 + PLACED ( 153600 43290 ) N ;
+- FILLER_9_316 sky130_fd_sc_ls__decap_8 + PLACED ( 157440 43290 ) N ;
+- FILLER_9_324 sky130_fd_sc_ls__decap_4 + PLACED ( 161280 43290 ) N ;
+- FILLER_9_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 43290 ) N ;
 - FILLER_9_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 43290 ) N ;
 - FILLER_9_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 43290 ) N ;
 - FILLER_9_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 43290 ) N ;
-- FILLER_9_355 sky130_fd_sc_ls__decap_8 + PLACED ( 176160 43290 ) N ;
-- FILLER_9_363 sky130_fd_sc_ls__decap_4 + PLACED ( 180000 43290 ) N ;
-- FILLER_9_372 sky130_fd_sc_ls__decap_4 + PLACED ( 184320 43290 ) N ;
-- FILLER_9_379 sky130_fd_sc_ls__decap_4 + PLACED ( 187680 43290 ) N ;
+- FILLER_9_355 sky130_fd_sc_ls__fill_1 + PLACED ( 176160 43290 ) N ;
+- FILLER_9_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 43290 ) N ;
+- FILLER_9_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 43290 ) N ;
+- FILLER_9_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 43290 ) N ;
 - FILLER_9_383 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189600 43290 ) N ;
 - FILLER_9_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 43290 ) N ;
 - FILLER_9_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 43290 ) N ;
@@ -3244,26 +3256,23 @@
 - FILLER_9_426 sky130_fd_sc_ls__decap_8 + PLACED ( 210240 43290 ) N ;
 - FILLER_9_434 sky130_fd_sc_ls__decap_4 + PLACED ( 214080 43290 ) N ;
 - FILLER_9_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 43290 ) N ;
-- FILLER_9_441 sky130_fd_sc_ls__decap_4 + PLACED ( 217440 43290 ) N ;
-- FILLER_9_448 sky130_fd_sc_ls__decap_8 + PLACED ( 220800 43290 ) N ;
-- FILLER_9_456 sky130_fd_sc_ls__decap_8 + PLACED ( 224640 43290 ) N ;
-- FILLER_9_464 sky130_fd_sc_ls__decap_8 + PLACED ( 228480 43290 ) N ;
-- FILLER_9_472 sky130_fd_sc_ls__decap_8 + PLACED ( 232320 43290 ) N ;
-- FILLER_9_480 sky130_fd_sc_ls__decap_8 + PLACED ( 236160 43290 ) N ;
-- FILLER_9_488 sky130_fd_sc_ls__decap_4 + PLACED ( 240000 43290 ) N ;
-- FILLER_9_492 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 241920 43290 ) N ;
-- FILLER_9_494 sky130_fd_sc_ls__fill_1 + PLACED ( 242880 43290 ) N ;
-- FILLER_9_496 sky130_fd_sc_ls__decap_4 + PLACED ( 243840 43290 ) N ;
-- FILLER_9_503 sky130_fd_sc_ls__decap_8 + PLACED ( 247200 43290 ) N ;
-- FILLER_9_511 sky130_fd_sc_ls__decap_8 + PLACED ( 251040 43290 ) N ;
-- FILLER_9_519 sky130_fd_sc_ls__decap_8 + PLACED ( 254880 43290 ) N ;
-- FILLER_9_527 sky130_fd_sc_ls__decap_8 + PLACED ( 258720 43290 ) N ;
-- FILLER_9_535 sky130_fd_sc_ls__decap_4 + PLACED ( 262560 43290 ) N ;
-- FILLER_9_539 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 264480 43290 ) N ;
-- FILLER_9_541 sky130_fd_sc_ls__fill_1 + PLACED ( 265440 43290 ) N ;
-- FILLER_9_546 sky130_fd_sc_ls__decap_4 + PLACED ( 267840 43290 ) N ;
-- FILLER_9_551 sky130_fd_sc_ls__decap_4 + PLACED ( 270240 43290 ) N ;
-- FILLER_9_559 sky130_fd_sc_ls__decap_4 + PLACED ( 274080 43290 ) N ;
+- FILLER_9_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 43290 ) N ;
+- FILLER_9_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 43290 ) N ;
+- FILLER_9_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 43290 ) N ;
+- FILLER_9_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 43290 ) N ;
+- FILLER_9_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 43290 ) N ;
+- FILLER_9_481 sky130_fd_sc_ls__decap_8 + PLACED ( 236640 43290 ) N ;
+- FILLER_9_489 sky130_fd_sc_ls__decap_4 + PLACED ( 240480 43290 ) N ;
+- FILLER_9_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 43290 ) N ;
+- FILLER_9_496 sky130_fd_sc_ls__decap_8 + PLACED ( 243840 43290 ) N ;
+- FILLER_9_504 sky130_fd_sc_ls__decap_8 + PLACED ( 247680 43290 ) N ;
+- FILLER_9_512 sky130_fd_sc_ls__decap_8 + PLACED ( 251520 43290 ) N ;
+- FILLER_9_520 sky130_fd_sc_ls__decap_8 + PLACED ( 255360 43290 ) N ;
+- FILLER_9_528 sky130_fd_sc_ls__decap_8 + PLACED ( 259200 43290 ) N ;
+- FILLER_9_536 sky130_fd_sc_ls__decap_4 + PLACED ( 263040 43290 ) N ;
+- FILLER_9_548 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268800 43290 ) N ;
+- FILLER_9_551 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 270240 43290 ) N ;
+- FILLER_9_559 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 274080 43290 ) N ;
 - FILLER_9_567 sky130_fd_sc_ls__decap_8 + PLACED ( 277920 43290 ) N ;
 - FILLER_9_575 sky130_fd_sc_ls__fill_1 + PLACED ( 281760 43290 ) N ;
 - FILLER_9_580 sky130_fd_sc_ls__decap_4 + PLACED ( 284160 43290 ) N ;
@@ -3337,22 +3346,20 @@
 - FILLER_10_462 sky130_fd_sc_ls__decap_4 + PLACED ( 227520 46620 ) FS ;
 - FILLER_10_466 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 229440 46620 ) FS ;
 - FILLER_10_469 sky130_fd_sc_ls__decap_4 + PLACED ( 230880 46620 ) FS ;
-- FILLER_10_478 sky130_fd_sc_ls__decap_8 + PLACED ( 235200 46620 ) FS ;
-- FILLER_10_486 sky130_fd_sc_ls__decap_8 + PLACED ( 239040 46620 ) FS ;
-- FILLER_10_494 sky130_fd_sc_ls__decap_8 + PLACED ( 242880 46620 ) FS ;
-- FILLER_10_502 sky130_fd_sc_ls__decap_8 + PLACED ( 246720 46620 ) FS ;
-- FILLER_10_510 sky130_fd_sc_ls__decap_8 + PLACED ( 250560 46620 ) FS ;
-- FILLER_10_518 sky130_fd_sc_ls__decap_4 + PLACED ( 254400 46620 ) FS ;
-- FILLER_10_522 sky130_fd_sc_ls__fill_1 + PLACED ( 256320 46620 ) FS ;
+- FILLER_10_476 sky130_fd_sc_ls__decap_4 + PLACED ( 234240 46620 ) FS ;
+- FILLER_10_483 sky130_fd_sc_ls__decap_8 + PLACED ( 237600 46620 ) FS ;
+- FILLER_10_491 sky130_fd_sc_ls__decap_8 + PLACED ( 241440 46620 ) FS ;
+- FILLER_10_499 sky130_fd_sc_ls__decap_8 + PLACED ( 245280 46620 ) FS ;
+- FILLER_10_507 sky130_fd_sc_ls__decap_8 + PLACED ( 249120 46620 ) FS ;
+- FILLER_10_515 sky130_fd_sc_ls__decap_8 + PLACED ( 252960 46620 ) FS ;
 - FILLER_10_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 46620 ) FS ;
-- FILLER_10_532 sky130_fd_sc_ls__decap_4 + PLACED ( 261120 46620 ) FS ;
-- FILLER_10_536 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 263040 46620 ) FS ;
-- FILLER_10_538 sky130_fd_sc_ls__fill_1 + PLACED ( 264000 46620 ) FS ;
-- FILLER_10_542 sky130_fd_sc_ls__decap_4 + PLACED ( 265920 46620 ) FS ;
-- FILLER_10_549 sky130_fd_sc_ls__decap_4 + PLACED ( 269280 46620 ) FS ;
-- FILLER_10_557 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 273120 46620 ) FS ;
-- FILLER_10_565 sky130_fd_sc_ls__decap_4 + PLACED ( 276960 46620 ) FS ;
-- FILLER_10_573 sky130_fd_sc_ls__decap_4 + PLACED ( 280800 46620 ) FS ;
+- FILLER_10_532 sky130_fd_sc_ls__decap_8 + PLACED ( 261120 46620 ) FS ;
+- FILLER_10_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 46620 ) FS ;
+- FILLER_10_548 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268800 46620 ) FS ;
+- FILLER_10_550 sky130_fd_sc_ls__fill_1 + PLACED ( 269760 46620 ) FS ;
+- FILLER_10_559 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 274080 46620 ) FS ;
+- FILLER_10_565 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 276960 46620 ) FS ;
+- FILLER_10_575 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 281760 46620 ) FS ;
 - FILLER_10_577 sky130_fd_sc_ls__fill_1 + PLACED ( 282720 46620 ) FS ;
 - FILLER_10_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 46620 ) FS ;
 - FILLER_10_587 sky130_fd_sc_ls__fill_1 + PLACED ( 287520 46620 ) FS ;
@@ -3368,11 +3375,11 @@
 - FILLER_11_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 49950 ) N ;
 - FILLER_11_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 49950 ) N ;
 - FILLER_11_64 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 36480 49950 ) N ;
-- FILLER_11_74 sky130_fd_sc_ls__decap_8 + PLACED ( 41280 49950 ) N ;
-- FILLER_11_82 sky130_fd_sc_ls__decap_8 + PLACED ( 45120 49950 ) N ;
-- FILLER_11_90 sky130_fd_sc_ls__decap_8 + PLACED ( 48960 49950 ) N ;
-- FILLER_11_98 sky130_fd_sc_ls__decap_8 + PLACED ( 52800 49950 ) N ;
-- FILLER_11_106 sky130_fd_sc_ls__decap_4 + PLACED ( 56640 49950 ) N ;
+- FILLER_11_77 sky130_fd_sc_ls__decap_8 + PLACED ( 42720 49950 ) N ;
+- FILLER_11_85 sky130_fd_sc_ls__decap_8 + PLACED ( 46560 49950 ) N ;
+- FILLER_11_93 sky130_fd_sc_ls__decap_8 + PLACED ( 50400 49950 ) N ;
+- FILLER_11_101 sky130_fd_sc_ls__decap_8 + PLACED ( 54240 49950 ) N ;
+- FILLER_11_109 sky130_fd_sc_ls__fill_1 + PLACED ( 58080 49950 ) N ;
 - FILLER_11_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 49950 ) N ;
 - FILLER_11_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 49950 ) N ;
 - FILLER_11_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 49950 ) N ;
@@ -3381,15 +3388,14 @@
 - FILLER_11_151 sky130_fd_sc_ls__decap_8 + PLACED ( 78240 49950 ) N ;
 - FILLER_11_159 sky130_fd_sc_ls__decap_4 + PLACED ( 82080 49950 ) N ;
 - FILLER_11_163 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 84000 49950 ) N ;
-- FILLER_11_166 sky130_fd_sc_ls__decap_4 + PLACED ( 85440 49950 ) N ;
-- FILLER_11_173 sky130_fd_sc_ls__decap_8 + PLACED ( 88800 49950 ) N ;
-- FILLER_11_181 sky130_fd_sc_ls__decap_8 + PLACED ( 92640 49950 ) N ;
-- FILLER_11_189 sky130_fd_sc_ls__decap_8 + PLACED ( 96480 49950 ) N ;
-- FILLER_11_197 sky130_fd_sc_ls__decap_8 + PLACED ( 100320 49950 ) N ;
-- FILLER_11_205 sky130_fd_sc_ls__decap_8 + PLACED ( 104160 49950 ) N ;
-- FILLER_11_213 sky130_fd_sc_ls__decap_4 + PLACED ( 108000 49950 ) N ;
-- FILLER_11_217 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 109920 49950 ) N ;
-- FILLER_11_219 sky130_fd_sc_ls__fill_1 + PLACED ( 110880 49950 ) N ;
+- FILLER_11_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 49950 ) N ;
+- FILLER_11_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 49950 ) N ;
+- FILLER_11_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 49950 ) N ;
+- FILLER_11_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 49950 ) N ;
+- FILLER_11_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 49950 ) N ;
+- FILLER_11_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 49950 ) N ;
+- FILLER_11_214 sky130_fd_sc_ls__decap_4 + PLACED ( 108480 49950 ) N ;
+- FILLER_11_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 49950 ) N ;
 - FILLER_11_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 49950 ) N ;
 - FILLER_11_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 49950 ) N ;
 - FILLER_11_237 sky130_fd_sc_ls__decap_8 + PLACED ( 119520 49950 ) N ;
@@ -3425,11 +3431,11 @@
 - FILLER_11_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 49950 ) N ;
 - FILLER_11_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 49950 ) N ;
 - FILLER_11_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 49950 ) N ;
-- FILLER_11_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 49950 ) N ;
-- FILLER_11_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 49950 ) N ;
-- FILLER_11_481 sky130_fd_sc_ls__decap_8 + PLACED ( 236640 49950 ) N ;
-- FILLER_11_489 sky130_fd_sc_ls__decap_4 + PLACED ( 240480 49950 ) N ;
-- FILLER_11_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 49950 ) N ;
+- FILLER_11_465 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 228960 49950 ) N ;
+- FILLER_11_467 sky130_fd_sc_ls__fill_1 + PLACED ( 229920 49950 ) N ;
+- FILLER_11_471 sky130_fd_sc_ls__decap_8 + PLACED ( 231840 49950 ) N ;
+- FILLER_11_479 sky130_fd_sc_ls__decap_8 + PLACED ( 235680 49950 ) N ;
+- FILLER_11_487 sky130_fd_sc_ls__decap_8 + PLACED ( 239520 49950 ) N ;
 - FILLER_11_496 sky130_fd_sc_ls__decap_8 + PLACED ( 243840 49950 ) N ;
 - FILLER_11_504 sky130_fd_sc_ls__decap_8 + PLACED ( 247680 49950 ) N ;
 - FILLER_11_512 sky130_fd_sc_ls__decap_8 + PLACED ( 251520 49950 ) N ;
@@ -3441,16 +3447,14 @@
 - FILLER_11_551 sky130_fd_sc_ls__decap_4 + PLACED ( 270240 49950 ) N ;
 - FILLER_11_555 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 272160 49950 ) N ;
 - FILLER_11_557 sky130_fd_sc_ls__fill_1 + PLACED ( 273120 49950 ) N ;
-- FILLER_11_564 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 276480 49950 ) N ;
-- FILLER_11_574 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 281280 49950 ) N ;
-- FILLER_11_580 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 284160 49950 ) N ;
+- FILLER_11_564 sky130_fd_sc_ls__decap_4 + PLACED ( 276480 49950 ) N ;
+- FILLER_11_572 sky130_fd_sc_ls__decap_4 + PLACED ( 280320 49950 ) N ;
+- FILLER_11_580 sky130_fd_sc_ls__decap_4 + PLACED ( 284160 49950 ) N ;
 - FILLER_11_588 sky130_fd_sc_ls__decap_8 + PLACED ( 288000 49950 ) N ;
 - FILLER_11_596 sky130_fd_sc_ls__fill_1 + PLACED ( 291840 49950 ) N ;
 - FILLER_12_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 53280 ) FS ;
-- FILLER_12_12 sky130_fd_sc_ls__decap_4 + PLACED ( 11520 53280 ) FS ;
-- FILLER_12_16 sky130_fd_sc_ls__fill_1 + PLACED ( 13440 53280 ) FS ;
-- FILLER_12_22 sky130_fd_sc_ls__decap_4 + PLACED ( 16320 53280 ) FS ;
-- FILLER_12_26 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 18240 53280 ) FS ;
+- FILLER_12_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 53280 ) FS ;
+- FILLER_12_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 53280 ) FS ;
 - FILLER_12_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 53280 ) FS ;
 - FILLER_12_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 53280 ) FS ;
 - FILLER_12_45 sky130_fd_sc_ls__decap_8 + PLACED ( 27360 53280 ) FS ;
@@ -3467,14 +3471,15 @@
 - FILLER_12_124 sky130_fd_sc_ls__decap_8 + PLACED ( 65280 53280 ) FS ;
 - FILLER_12_132 sky130_fd_sc_ls__decap_4 + PLACED ( 69120 53280 ) FS ;
 - FILLER_12_136 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 71040 53280 ) FS ;
-- FILLER_12_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 53280 ) FS ;
-- FILLER_12_147 sky130_fd_sc_ls__decap_8 + PLACED ( 76320 53280 ) FS ;
-- FILLER_12_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 53280 ) FS ;
-- FILLER_12_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 53280 ) FS ;
-- FILLER_12_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 53280 ) FS ;
-- FILLER_12_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 53280 ) FS ;
-- FILLER_12_187 sky130_fd_sc_ls__decap_4 + PLACED ( 95520 53280 ) FS ;
-- FILLER_12_191 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 97440 53280 ) FS ;
+- FILLER_12_139 sky130_fd_sc_ls__decap_4 + PLACED ( 72480 53280 ) FS ;
+- FILLER_12_146 sky130_fd_sc_ls__decap_8 + PLACED ( 75840 53280 ) FS ;
+- FILLER_12_154 sky130_fd_sc_ls__decap_8 + PLACED ( 79680 53280 ) FS ;
+- FILLER_12_162 sky130_fd_sc_ls__decap_8 + PLACED ( 83520 53280 ) FS ;
+- FILLER_12_170 sky130_fd_sc_ls__decap_8 + PLACED ( 87360 53280 ) FS ;
+- FILLER_12_178 sky130_fd_sc_ls__decap_8 + PLACED ( 91200 53280 ) FS ;
+- FILLER_12_186 sky130_fd_sc_ls__decap_4 + PLACED ( 95040 53280 ) FS ;
+- FILLER_12_190 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 96960 53280 ) FS ;
+- FILLER_12_192 sky130_fd_sc_ls__fill_1 + PLACED ( 97920 53280 ) FS ;
 - FILLER_12_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 53280 ) FS ;
 - FILLER_12_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 53280 ) FS ;
 - FILLER_12_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 53280 ) FS ;
@@ -3496,9 +3501,10 @@
 - FILLER_12_320 sky130_fd_sc_ls__decap_8 + PLACED ( 159360 53280 ) FS ;
 - FILLER_12_328 sky130_fd_sc_ls__decap_8 + PLACED ( 163200 53280 ) FS ;
 - FILLER_12_336 sky130_fd_sc_ls__decap_8 + PLACED ( 167040 53280 ) FS ;
-- FILLER_12_344 sky130_fd_sc_ls__decap_8 + PLACED ( 170880 53280 ) FS ;
-- FILLER_12_352 sky130_fd_sc_ls__decap_4 + PLACED ( 174720 53280 ) FS ;
-- FILLER_12_356 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176640 53280 ) FS ;
+- FILLER_12_344 sky130_fd_sc_ls__decap_4 + PLACED ( 170880 53280 ) FS ;
+- FILLER_12_351 sky130_fd_sc_ls__decap_4 + PLACED ( 174240 53280 ) FS ;
+- FILLER_12_355 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176160 53280 ) FS ;
+- FILLER_12_357 sky130_fd_sc_ls__fill_1 + PLACED ( 177120 53280 ) FS ;
 - FILLER_12_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 53280 ) FS ;
 - FILLER_12_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 53280 ) FS ;
 - FILLER_12_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 53280 ) FS ;
@@ -3508,14 +3514,13 @@
 - FILLER_12_407 sky130_fd_sc_ls__decap_4 + PLACED ( 201120 53280 ) FS ;
 - FILLER_12_411 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 203040 53280 ) FS ;
 - FILLER_12_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 53280 ) FS ;
-- FILLER_12_425 sky130_fd_sc_ls__decap_8 + PLACED ( 209760 53280 ) FS ;
-- FILLER_12_433 sky130_fd_sc_ls__decap_8 + PLACED ( 213600 53280 ) FS ;
-- FILLER_12_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 53280 ) FS ;
-- FILLER_12_449 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 221280 53280 ) FS ;
-- FILLER_12_451 sky130_fd_sc_ls__fill_1 + PLACED ( 222240 53280 ) FS ;
-- FILLER_12_455 sky130_fd_sc_ls__decap_8 + PLACED ( 224160 53280 ) FS ;
-- FILLER_12_463 sky130_fd_sc_ls__decap_4 + PLACED ( 228000 53280 ) FS ;
-- FILLER_12_467 sky130_fd_sc_ls__fill_1 + PLACED ( 229920 53280 ) FS ;
+- FILLER_12_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 53280 ) FS ;
+- FILLER_12_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 53280 ) FS ;
+- FILLER_12_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 53280 ) FS ;
+- FILLER_12_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 53280 ) FS ;
+- FILLER_12_454 sky130_fd_sc_ls__decap_8 + PLACED ( 223680 53280 ) FS ;
+- FILLER_12_462 sky130_fd_sc_ls__decap_4 + PLACED ( 227520 53280 ) FS ;
+- FILLER_12_466 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 229440 53280 ) FS ;
 - FILLER_12_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 53280 ) FS ;
 - FILLER_12_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 53280 ) FS ;
 - FILLER_12_485 sky130_fd_sc_ls__decap_8 + PLACED ( 238560 53280 ) FS ;
@@ -3532,11 +3537,11 @@
 - FILLER_12_567 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 277920 53280 ) FS ;
 - FILLER_12_569 sky130_fd_sc_ls__fill_1 + PLACED ( 278880 53280 ) FS ;
 - FILLER_12_574 sky130_fd_sc_ls__decap_4 + PLACED ( 281280 53280 ) FS ;
-- FILLER_12_579 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 283680 53280 ) FS ;
+- FILLER_12_579 sky130_fd_sc_ls__decap_4 + PLACED ( 283680 53280 ) FS ;
 - FILLER_12_587 sky130_fd_sc_ls__decap_8 + PLACED ( 287520 53280 ) FS ;
 - FILLER_12_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 53280 ) FS ;
-- FILLER_13_4 sky130_fd_sc_ls__decap_4 + PLACED ( 7680 56610 ) N ;
-- FILLER_13_13 sky130_fd_sc_ls__decap_4 + PLACED ( 12000 56610 ) N ;
+- FILLER_13_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 56610 ) N ;
+- FILLER_13_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 56610 ) N ;
 - FILLER_13_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 56610 ) N ;
 - FILLER_13_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 56610 ) N ;
 - FILLER_13_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 56610 ) N ;
@@ -3545,19 +3550,20 @@
 - FILLER_13_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 56610 ) N ;
 - FILLER_13_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 56610 ) N ;
 - FILLER_13_64 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 36480 56610 ) N ;
-- FILLER_13_74 sky130_fd_sc_ls__decap_8 + PLACED ( 41280 56610 ) N ;
-- FILLER_13_82 sky130_fd_sc_ls__decap_8 + PLACED ( 45120 56610 ) N ;
-- FILLER_13_90 sky130_fd_sc_ls__decap_8 + PLACED ( 48960 56610 ) N ;
-- FILLER_13_98 sky130_fd_sc_ls__decap_8 + PLACED ( 52800 56610 ) N ;
-- FILLER_13_106 sky130_fd_sc_ls__decap_4 + PLACED ( 56640 56610 ) N ;
+- FILLER_13_80 sky130_fd_sc_ls__decap_8 + PLACED ( 44160 56610 ) N ;
+- FILLER_13_88 sky130_fd_sc_ls__decap_8 + PLACED ( 48000 56610 ) N ;
+- FILLER_13_96 sky130_fd_sc_ls__decap_8 + PLACED ( 51840 56610 ) N ;
+- FILLER_13_104 sky130_fd_sc_ls__decap_4 + PLACED ( 55680 56610 ) N ;
+- FILLER_13_108 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 57600 56610 ) N ;
 - FILLER_13_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 56610 ) N ;
 - FILLER_13_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 56610 ) N ;
 - FILLER_13_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 56610 ) N ;
 - FILLER_13_135 sky130_fd_sc_ls__decap_8 + PLACED ( 70560 56610 ) N ;
 - FILLER_13_143 sky130_fd_sc_ls__decap_8 + PLACED ( 74400 56610 ) N ;
-- FILLER_13_151 sky130_fd_sc_ls__decap_8 + PLACED ( 78240 56610 ) N ;
-- FILLER_13_159 sky130_fd_sc_ls__decap_4 + PLACED ( 82080 56610 ) N ;
-- FILLER_13_163 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 84000 56610 ) N ;
+- FILLER_13_151 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 78240 56610 ) N ;
+- FILLER_13_158 sky130_fd_sc_ls__decap_4 + PLACED ( 81600 56610 ) N ;
+- FILLER_13_162 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 83520 56610 ) N ;
+- FILLER_13_164 sky130_fd_sc_ls__fill_1 + PLACED ( 84480 56610 ) N ;
 - FILLER_13_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 56610 ) N ;
 - FILLER_13_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 56610 ) N ;
 - FILLER_13_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 56610 ) N ;
@@ -3574,30 +3580,32 @@
 - FILLER_13_261 sky130_fd_sc_ls__decap_8 + PLACED ( 131040 56610 ) N ;
 - FILLER_13_269 sky130_fd_sc_ls__decap_4 + PLACED ( 134880 56610 ) N ;
 - FILLER_13_273 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136800 56610 ) N ;
-- FILLER_13_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 56610 ) N ;
-- FILLER_13_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 56610 ) N ;
-- FILLER_13_292 sky130_fd_sc_ls__decap_8 + PLACED ( 145920 56610 ) N ;
-- FILLER_13_300 sky130_fd_sc_ls__decap_8 + PLACED ( 149760 56610 ) N ;
-- FILLER_13_308 sky130_fd_sc_ls__decap_8 + PLACED ( 153600 56610 ) N ;
-- FILLER_13_316 sky130_fd_sc_ls__decap_8 + PLACED ( 157440 56610 ) N ;
-- FILLER_13_324 sky130_fd_sc_ls__decap_4 + PLACED ( 161280 56610 ) N ;
-- FILLER_13_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 56610 ) N ;
-- FILLER_13_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 56610 ) N ;
-- FILLER_13_342 sky130_fd_sc_ls__decap_8 + PLACED ( 169920 56610 ) N ;
-- FILLER_13_350 sky130_fd_sc_ls__decap_8 + PLACED ( 173760 56610 ) N ;
-- FILLER_13_358 sky130_fd_sc_ls__decap_8 + PLACED ( 177600 56610 ) N ;
-- FILLER_13_366 sky130_fd_sc_ls__decap_8 + PLACED ( 181440 56610 ) N ;
-- FILLER_13_374 sky130_fd_sc_ls__decap_8 + PLACED ( 185280 56610 ) N ;
+- FILLER_13_276 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 138240 56610 ) N ;
+- FILLER_13_283 sky130_fd_sc_ls__decap_8 + PLACED ( 141600 56610 ) N ;
+- FILLER_13_291 sky130_fd_sc_ls__decap_8 + PLACED ( 145440 56610 ) N ;
+- FILLER_13_299 sky130_fd_sc_ls__decap_8 + PLACED ( 149280 56610 ) N ;
+- FILLER_13_307 sky130_fd_sc_ls__decap_8 + PLACED ( 153120 56610 ) N ;
+- FILLER_13_315 sky130_fd_sc_ls__decap_8 + PLACED ( 156960 56610 ) N ;
+- FILLER_13_323 sky130_fd_sc_ls__decap_4 + PLACED ( 160800 56610 ) N ;
+- FILLER_13_327 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 162720 56610 ) N ;
+- FILLER_13_329 sky130_fd_sc_ls__fill_1 + PLACED ( 163680 56610 ) N ;
+- FILLER_13_331 sky130_fd_sc_ls__decap_4 + PLACED ( 164640 56610 ) N ;
+- FILLER_13_338 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 168000 56610 ) N ;
+- FILLER_13_340 sky130_fd_sc_ls__fill_1 + PLACED ( 168960 56610 ) N ;
+- FILLER_13_346 sky130_fd_sc_ls__decap_8 + PLACED ( 171840 56610 ) N ;
+- FILLER_13_354 sky130_fd_sc_ls__decap_8 + PLACED ( 175680 56610 ) N ;
+- FILLER_13_362 sky130_fd_sc_ls__decap_8 + PLACED ( 179520 56610 ) N ;
+- FILLER_13_370 sky130_fd_sc_ls__decap_8 + PLACED ( 183360 56610 ) N ;
+- FILLER_13_378 sky130_fd_sc_ls__decap_4 + PLACED ( 187200 56610 ) N ;
 - FILLER_13_382 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189120 56610 ) N ;
 - FILLER_13_384 sky130_fd_sc_ls__fill_1 + PLACED ( 190080 56610 ) N ;
 - FILLER_13_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 56610 ) N ;
-- FILLER_13_394 sky130_fd_sc_ls__decap_4 + PLACED ( 194880 56610 ) N ;
-- FILLER_13_398 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 196800 56610 ) N ;
-- FILLER_13_400 sky130_fd_sc_ls__fill_1 + PLACED ( 197760 56610 ) N ;
-- FILLER_13_406 sky130_fd_sc_ls__decap_8 + PLACED ( 200640 56610 ) N ;
-- FILLER_13_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 56610 ) N ;
-- FILLER_13_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 56610 ) N ;
-- FILLER_13_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 56610 ) N ;
+- FILLER_13_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 56610 ) N ;
+- FILLER_13_402 sky130_fd_sc_ls__decap_8 + PLACED ( 198720 56610 ) N ;
+- FILLER_13_410 sky130_fd_sc_ls__decap_8 + PLACED ( 202560 56610 ) N ;
+- FILLER_13_418 sky130_fd_sc_ls__decap_8 + PLACED ( 206400 56610 ) N ;
+- FILLER_13_426 sky130_fd_sc_ls__decap_8 + PLACED ( 210240 56610 ) N ;
+- FILLER_13_434 sky130_fd_sc_ls__decap_4 + PLACED ( 214080 56610 ) N ;
 - FILLER_13_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 56610 ) N ;
 - FILLER_13_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 56610 ) N ;
 - FILLER_13_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 56610 ) N ;
@@ -3610,29 +3618,29 @@
 - FILLER_13_496 sky130_fd_sc_ls__decap_8 + PLACED ( 243840 56610 ) N ;
 - FILLER_13_504 sky130_fd_sc_ls__decap_8 + PLACED ( 247680 56610 ) N ;
 - FILLER_13_512 sky130_fd_sc_ls__decap_8 + PLACED ( 251520 56610 ) N ;
-- FILLER_13_520 sky130_fd_sc_ls__decap_8 + PLACED ( 255360 56610 ) N ;
-- FILLER_13_528 sky130_fd_sc_ls__decap_8 + PLACED ( 259200 56610 ) N ;
-- FILLER_13_536 sky130_fd_sc_ls__decap_8 + PLACED ( 263040 56610 ) N ;
-- FILLER_13_544 sky130_fd_sc_ls__decap_4 + PLACED ( 266880 56610 ) N ;
+- FILLER_13_520 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255360 56610 ) N ;
+- FILLER_13_525 sky130_fd_sc_ls__decap_4 + PLACED ( 257760 56610 ) N ;
+- FILLER_13_532 sky130_fd_sc_ls__decap_8 + PLACED ( 261120 56610 ) N ;
+- FILLER_13_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 56610 ) N ;
 - FILLER_13_548 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268800 56610 ) N ;
 - FILLER_13_551 sky130_fd_sc_ls__decap_8 + PLACED ( 270240 56610 ) N ;
-- FILLER_13_559 sky130_fd_sc_ls__decap_4 + PLACED ( 274080 56610 ) N ;
-- FILLER_13_563 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 276000 56610 ) N ;
-- FILLER_13_565 sky130_fd_sc_ls__fill_1 + PLACED ( 276960 56610 ) N ;
+- FILLER_13_559 sky130_fd_sc_ls__decap_8 + PLACED ( 274080 56610 ) N ;
+- FILLER_13_567 sky130_fd_sc_ls__decap_4 + PLACED ( 277920 56610 ) N ;
 - FILLER_13_571 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 279840 56610 ) N ;
-- FILLER_13_587 sky130_fd_sc_ls__decap_8 + PLACED ( 287520 56610 ) N ;
-- FILLER_13_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 56610 ) N ;
+- FILLER_13_579 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 283680 56610 ) N ;
+- FILLER_13_589 sky130_fd_sc_ls__decap_8 + PLACED ( 288480 56610 ) N ;
 - FILLER_14_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 59940 ) FS ;
 - FILLER_14_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 59940 ) FS ;
-- FILLER_14_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 59940 ) FS ;
+- FILLER_14_20 sky130_fd_sc_ls__fill_1 + PLACED ( 15360 59940 ) FS ;
+- FILLER_14_24 sky130_fd_sc_ls__decap_4 + PLACED ( 17280 59940 ) FS ;
 - FILLER_14_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 59940 ) FS ;
 - FILLER_14_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 59940 ) FS ;
 - FILLER_14_45 sky130_fd_sc_ls__decap_8 + PLACED ( 27360 59940 ) FS ;
 - FILLER_14_53 sky130_fd_sc_ls__decap_8 + PLACED ( 31200 59940 ) FS ;
-- FILLER_14_61 sky130_fd_sc_ls__decap_8 + PLACED ( 35040 59940 ) FS ;
-- FILLER_14_69 sky130_fd_sc_ls__decap_8 + PLACED ( 38880 59940 ) FS ;
-- FILLER_14_77 sky130_fd_sc_ls__decap_4 + PLACED ( 42720 59940 ) FS ;
-- FILLER_14_81 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44640 59940 ) FS ;
+- FILLER_14_61 sky130_fd_sc_ls__decap_4 + PLACED ( 35040 59940 ) FS ;
+- FILLER_14_65 sky130_fd_sc_ls__fill_1 + PLACED ( 36960 59940 ) FS ;
+- FILLER_14_71 sky130_fd_sc_ls__decap_8 + PLACED ( 39840 59940 ) FS ;
+- FILLER_14_79 sky130_fd_sc_ls__decap_4 + PLACED ( 43680 59940 ) FS ;
 - FILLER_14_84 sky130_fd_sc_ls__decap_8 + PLACED ( 46080 59940 ) FS ;
 - FILLER_14_92 sky130_fd_sc_ls__decap_8 + PLACED ( 49920 59940 ) FS ;
 - FILLER_14_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 59940 ) FS ;
@@ -3642,22 +3650,21 @@
 - FILLER_14_132 sky130_fd_sc_ls__decap_4 + PLACED ( 69120 59940 ) FS ;
 - FILLER_14_136 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 71040 59940 ) FS ;
 - FILLER_14_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 59940 ) FS ;
-- FILLER_14_147 sky130_fd_sc_ls__decap_8 + PLACED ( 76320 59940 ) FS ;
-- FILLER_14_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 59940 ) FS ;
-- FILLER_14_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 59940 ) FS ;
-- FILLER_14_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 59940 ) FS ;
-- FILLER_14_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 59940 ) FS ;
-- FILLER_14_187 sky130_fd_sc_ls__decap_4 + PLACED ( 95520 59940 ) FS ;
-- FILLER_14_191 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 97440 59940 ) FS ;
-- FILLER_14_194 sky130_fd_sc_ls__decap_4 + PLACED ( 98880 59940 ) FS ;
-- FILLER_14_201 sky130_fd_sc_ls__decap_8 + PLACED ( 102240 59940 ) FS ;
-- FILLER_14_209 sky130_fd_sc_ls__decap_8 + PLACED ( 106080 59940 ) FS ;
-- FILLER_14_217 sky130_fd_sc_ls__decap_8 + PLACED ( 109920 59940 ) FS ;
-- FILLER_14_225 sky130_fd_sc_ls__decap_8 + PLACED ( 113760 59940 ) FS ;
-- FILLER_14_233 sky130_fd_sc_ls__decap_8 + PLACED ( 117600 59940 ) FS ;
-- FILLER_14_241 sky130_fd_sc_ls__decap_4 + PLACED ( 121440 59940 ) FS ;
-- FILLER_14_245 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123360 59940 ) FS ;
-- FILLER_14_247 sky130_fd_sc_ls__fill_1 + PLACED ( 124320 59940 ) FS ;
+- FILLER_14_150 sky130_fd_sc_ls__decap_8 + PLACED ( 77760 59940 ) FS ;
+- FILLER_14_158 sky130_fd_sc_ls__decap_8 + PLACED ( 81600 59940 ) FS ;
+- FILLER_14_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 59940 ) FS ;
+- FILLER_14_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 59940 ) FS ;
+- FILLER_14_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 59940 ) FS ;
+- FILLER_14_190 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 96960 59940 ) FS ;
+- FILLER_14_192 sky130_fd_sc_ls__fill_1 + PLACED ( 97920 59940 ) FS ;
+- FILLER_14_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 59940 ) FS ;
+- FILLER_14_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 59940 ) FS ;
+- FILLER_14_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 59940 ) FS ;
+- FILLER_14_218 sky130_fd_sc_ls__decap_8 + PLACED ( 110400 59940 ) FS ;
+- FILLER_14_226 sky130_fd_sc_ls__decap_8 + PLACED ( 114240 59940 ) FS ;
+- FILLER_14_234 sky130_fd_sc_ls__decap_8 + PLACED ( 118080 59940 ) FS ;
+- FILLER_14_242 sky130_fd_sc_ls__decap_4 + PLACED ( 121920 59940 ) FS ;
+- FILLER_14_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 59940 ) FS ;
 - FILLER_14_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 59940 ) FS ;
 - FILLER_14_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 59940 ) FS ;
 - FILLER_14_265 sky130_fd_sc_ls__decap_8 + PLACED ( 132960 59940 ) FS ;
@@ -3666,8 +3673,7 @@
 - FILLER_14_289 sky130_fd_sc_ls__decap_8 + PLACED ( 144480 59940 ) FS ;
 - FILLER_14_297 sky130_fd_sc_ls__decap_4 + PLACED ( 148320 59940 ) FS ;
 - FILLER_14_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 59940 ) FS ;
-- FILLER_14_304 sky130_fd_sc_ls__decap_4 + PLACED ( 151680 59940 ) FS ;
-- FILLER_14_308 sky130_fd_sc_ls__fill_1 + PLACED ( 153600 59940 ) FS ;
+- FILLER_14_304 sky130_fd_sc_ls__decap_8 + PLACED ( 151680 59940 ) FS ;
 - FILLER_14_312 sky130_fd_sc_ls__decap_8 + PLACED ( 155520 59940 ) FS ;
 - FILLER_14_320 sky130_fd_sc_ls__decap_8 + PLACED ( 159360 59940 ) FS ;
 - FILLER_14_328 sky130_fd_sc_ls__decap_8 + PLACED ( 163200 59940 ) FS ;
@@ -3685,21 +3691,22 @@
 - FILLER_14_411 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 203040 59940 ) FS ;
 - FILLER_14_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 59940 ) FS ;
 - FILLER_14_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 59940 ) FS ;
-- FILLER_14_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 59940 ) FS ;
+- FILLER_14_430 sky130_fd_sc_ls__decap_4 + PLACED ( 212160 59940 ) FS ;
+- FILLER_14_434 sky130_fd_sc_ls__fill_1 + PLACED ( 214080 59940 ) FS ;
 - FILLER_14_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 59940 ) FS ;
-- FILLER_14_446 sky130_fd_sc_ls__decap_4 + PLACED ( 219840 59940 ) FS ;
-- FILLER_14_453 sky130_fd_sc_ls__decap_8 + PLACED ( 223200 59940 ) FS ;
-- FILLER_14_461 sky130_fd_sc_ls__decap_4 + PLACED ( 227040 59940 ) FS ;
-- FILLER_14_465 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 228960 59940 ) FS ;
-- FILLER_14_467 sky130_fd_sc_ls__fill_1 + PLACED ( 229920 59940 ) FS ;
+- FILLER_14_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 59940 ) FS ;
+- FILLER_14_454 sky130_fd_sc_ls__decap_8 + PLACED ( 223680 59940 ) FS ;
+- FILLER_14_462 sky130_fd_sc_ls__decap_4 + PLACED ( 227520 59940 ) FS ;
+- FILLER_14_466 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 229440 59940 ) FS ;
 - FILLER_14_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 59940 ) FS ;
 - FILLER_14_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 59940 ) FS ;
-- FILLER_14_485 sky130_fd_sc_ls__decap_8 + PLACED ( 238560 59940 ) FS ;
-- FILLER_14_493 sky130_fd_sc_ls__decap_8 + PLACED ( 242400 59940 ) FS ;
-- FILLER_14_501 sky130_fd_sc_ls__decap_8 + PLACED ( 246240 59940 ) FS ;
-- FILLER_14_509 sky130_fd_sc_ls__decap_8 + PLACED ( 250080 59940 ) FS ;
-- FILLER_14_517 sky130_fd_sc_ls__decap_4 + PLACED ( 253920 59940 ) FS ;
-- FILLER_14_521 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255840 59940 ) FS ;
+- FILLER_14_485 sky130_fd_sc_ls__decap_4 + PLACED ( 238560 59940 ) FS ;
+- FILLER_14_492 sky130_fd_sc_ls__decap_8 + PLACED ( 241920 59940 ) FS ;
+- FILLER_14_500 sky130_fd_sc_ls__decap_8 + PLACED ( 245760 59940 ) FS ;
+- FILLER_14_508 sky130_fd_sc_ls__decap_8 + PLACED ( 249600 59940 ) FS ;
+- FILLER_14_516 sky130_fd_sc_ls__decap_4 + PLACED ( 253440 59940 ) FS ;
+- FILLER_14_520 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255360 59940 ) FS ;
+- FILLER_14_522 sky130_fd_sc_ls__fill_1 + PLACED ( 256320 59940 ) FS ;
 - FILLER_14_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 59940 ) FS ;
 - FILLER_14_532 sky130_fd_sc_ls__decap_8 + PLACED ( 261120 59940 ) FS ;
 - FILLER_14_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 59940 ) FS ;
@@ -3708,24 +3715,22 @@
 - FILLER_14_564 sky130_fd_sc_ls__decap_8 + PLACED ( 276480 59940 ) FS ;
 - FILLER_14_572 sky130_fd_sc_ls__decap_4 + PLACED ( 280320 59940 ) FS ;
 - FILLER_14_576 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 282240 59940 ) FS ;
-- FILLER_14_579 sky130_fd_sc_ls__decap_4 + PLACED ( 283680 59940 ) FS ;
-- FILLER_14_583 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 285600 59940 ) FS ;
-- FILLER_14_593 sky130_fd_sc_ls__decap_4 + PLACED ( 290400 59940 ) FS ;
-- FILLER_15_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 63270 ) N ;
-- FILLER_15_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 63270 ) N ;
-- FILLER_15_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 63270 ) N ;
-- FILLER_15_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 63270 ) N ;
-- FILLER_15_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 63270 ) N ;
-- FILLER_15_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 63270 ) N ;
-- FILLER_15_52 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 30720 63270 ) N ;
-- FILLER_15_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 63270 ) N ;
+- FILLER_14_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 59940 ) FS ;
+- FILLER_14_591 sky130_fd_sc_ls__decap_4 + PLACED ( 289440 59940 ) FS ;
+- FILLER_14_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 59940 ) FS ;
+- FILLER_15_9 sky130_fd_sc_ls__decap_8 + PLACED ( 10080 63270 ) N ;
+- FILLER_15_17 sky130_fd_sc_ls__decap_8 + PLACED ( 13920 63270 ) N ;
+- FILLER_15_25 sky130_fd_sc_ls__decap_4 + PLACED ( 17760 63270 ) N ;
+- FILLER_15_29 sky130_fd_sc_ls__fill_1 + PLACED ( 19680 63270 ) N ;
+- FILLER_15_35 sky130_fd_sc_ls__decap_8 + PLACED ( 22560 63270 ) N ;
+- FILLER_15_43 sky130_fd_sc_ls__decap_8 + PLACED ( 26400 63270 ) N ;
+- FILLER_15_51 sky130_fd_sc_ls__decap_4 + PLACED ( 30240 63270 ) N ;
 - FILLER_15_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 63270 ) N ;
 - FILLER_15_64 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 36480 63270 ) N ;
-- FILLER_15_74 sky130_fd_sc_ls__decap_8 + PLACED ( 41280 63270 ) N ;
-- FILLER_15_82 sky130_fd_sc_ls__decap_8 + PLACED ( 45120 63270 ) N ;
-- FILLER_15_90 sky130_fd_sc_ls__decap_8 + PLACED ( 48960 63270 ) N ;
-- FILLER_15_98 sky130_fd_sc_ls__decap_8 + PLACED ( 52800 63270 ) N ;
-- FILLER_15_106 sky130_fd_sc_ls__decap_4 + PLACED ( 56640 63270 ) N ;
+- FILLER_15_95 sky130_fd_sc_ls__decap_8 + PLACED ( 51360 63270 ) N ;
+- FILLER_15_103 sky130_fd_sc_ls__decap_4 + PLACED ( 55200 63270 ) N ;
+- FILLER_15_107 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 57120 63270 ) N ;
+- FILLER_15_109 sky130_fd_sc_ls__fill_1 + PLACED ( 58080 63270 ) N ;
 - FILLER_15_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 63270 ) N ;
 - FILLER_15_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 63270 ) N ;
 - FILLER_15_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 63270 ) N ;
@@ -3734,14 +3739,15 @@
 - FILLER_15_151 sky130_fd_sc_ls__decap_8 + PLACED ( 78240 63270 ) N ;
 - FILLER_15_159 sky130_fd_sc_ls__decap_4 + PLACED ( 82080 63270 ) N ;
 - FILLER_15_163 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 84000 63270 ) N ;
-- FILLER_15_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 63270 ) N ;
-- FILLER_15_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 63270 ) N ;
-- FILLER_15_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 63270 ) N ;
-- FILLER_15_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 63270 ) N ;
-- FILLER_15_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 63270 ) N ;
-- FILLER_15_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 63270 ) N ;
-- FILLER_15_214 sky130_fd_sc_ls__decap_4 + PLACED ( 108480 63270 ) N ;
-- FILLER_15_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 63270 ) N ;
+- FILLER_15_166 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 85440 63270 ) N ;
+- FILLER_15_173 sky130_fd_sc_ls__decap_8 + PLACED ( 88800 63270 ) N ;
+- FILLER_15_181 sky130_fd_sc_ls__decap_8 + PLACED ( 92640 63270 ) N ;
+- FILLER_15_189 sky130_fd_sc_ls__decap_8 + PLACED ( 96480 63270 ) N ;
+- FILLER_15_197 sky130_fd_sc_ls__decap_8 + PLACED ( 100320 63270 ) N ;
+- FILLER_15_205 sky130_fd_sc_ls__decap_8 + PLACED ( 104160 63270 ) N ;
+- FILLER_15_213 sky130_fd_sc_ls__decap_4 + PLACED ( 108000 63270 ) N ;
+- FILLER_15_217 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 109920 63270 ) N ;
+- FILLER_15_219 sky130_fd_sc_ls__fill_1 + PLACED ( 110880 63270 ) N ;
 - FILLER_15_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 63270 ) N ;
 - FILLER_15_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 63270 ) N ;
 - FILLER_15_237 sky130_fd_sc_ls__decap_8 + PLACED ( 119520 63270 ) N ;
@@ -3751,14 +3757,13 @@
 - FILLER_15_269 sky130_fd_sc_ls__decap_4 + PLACED ( 134880 63270 ) N ;
 - FILLER_15_273 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136800 63270 ) N ;
 - FILLER_15_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 63270 ) N ;
-- FILLER_15_284 sky130_fd_sc_ls__decap_4 + PLACED ( 142080 63270 ) N ;
-- FILLER_15_291 sky130_fd_sc_ls__decap_8 + PLACED ( 145440 63270 ) N ;
-- FILLER_15_299 sky130_fd_sc_ls__decap_8 + PLACED ( 149280 63270 ) N ;
-- FILLER_15_307 sky130_fd_sc_ls__decap_8 + PLACED ( 153120 63270 ) N ;
-- FILLER_15_315 sky130_fd_sc_ls__decap_8 + PLACED ( 156960 63270 ) N ;
-- FILLER_15_323 sky130_fd_sc_ls__decap_4 + PLACED ( 160800 63270 ) N ;
-- FILLER_15_327 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 162720 63270 ) N ;
-- FILLER_15_329 sky130_fd_sc_ls__fill_1 + PLACED ( 163680 63270 ) N ;
+- FILLER_15_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 63270 ) N ;
+- FILLER_15_292 sky130_fd_sc_ls__decap_8 + PLACED ( 145920 63270 ) N ;
+- FILLER_15_300 sky130_fd_sc_ls__decap_8 + PLACED ( 149760 63270 ) N ;
+- FILLER_15_308 sky130_fd_sc_ls__decap_8 + PLACED ( 153600 63270 ) N ;
+- FILLER_15_316 sky130_fd_sc_ls__decap_8 + PLACED ( 157440 63270 ) N ;
+- FILLER_15_324 sky130_fd_sc_ls__decap_4 + PLACED ( 161280 63270 ) N ;
+- FILLER_15_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 63270 ) N ;
 - FILLER_15_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 63270 ) N ;
 - FILLER_15_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 63270 ) N ;
 - FILLER_15_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 63270 ) N ;
@@ -3793,35 +3798,32 @@
 - FILLER_15_548 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268800 63270 ) N ;
 - FILLER_15_551 sky130_fd_sc_ls__decap_8 + PLACED ( 270240 63270 ) N ;
 - FILLER_15_559 sky130_fd_sc_ls__decap_8 + PLACED ( 274080 63270 ) N ;
-- FILLER_15_567 sky130_fd_sc_ls__decap_8 + PLACED ( 277920 63270 ) N ;
-- FILLER_15_575 sky130_fd_sc_ls__decap_8 + PLACED ( 281760 63270 ) N ;
-- FILLER_15_583 sky130_fd_sc_ls__decap_4 + PLACED ( 285600 63270 ) N ;
-- FILLER_15_587 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 287520 63270 ) N ;
-- FILLER_15_592 sky130_fd_sc_ls__decap_4 + PLACED ( 289920 63270 ) N ;
-- FILLER_15_596 sky130_fd_sc_ls__fill_1 + PLACED ( 291840 63270 ) N ;
+- FILLER_15_567 sky130_fd_sc_ls__decap_4 + PLACED ( 277920 63270 ) N ;
+- FILLER_15_571 sky130_fd_sc_ls__fill_1 + PLACED ( 279840 63270 ) N ;
+- FILLER_15_577 sky130_fd_sc_ls__decap_8 + PLACED ( 282720 63270 ) N ;
+- FILLER_15_585 sky130_fd_sc_ls__decap_8 + PLACED ( 286560 63270 ) N ;
+- FILLER_15_593 sky130_fd_sc_ls__decap_4 + PLACED ( 290400 63270 ) N ;
 - FILLER_16_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 66600 ) FS ;
-- FILLER_16_12 sky130_fd_sc_ls__decap_4 + PLACED ( 11520 66600 ) FS ;
-- FILLER_16_16 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 13440 66600 ) FS ;
-- FILLER_16_23 sky130_fd_sc_ls__decap_4 + PLACED ( 16800 66600 ) FS ;
-- FILLER_16_27 sky130_fd_sc_ls__fill_1 + PLACED ( 18720 66600 ) FS ;
-- FILLER_16_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 66600 ) FS ;
-- FILLER_16_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 66600 ) FS ;
-- FILLER_16_45 sky130_fd_sc_ls__decap_8 + PLACED ( 27360 66600 ) FS ;
-- FILLER_16_53 sky130_fd_sc_ls__decap_4 + PLACED ( 31200 66600 ) FS ;
-- FILLER_16_60 sky130_fd_sc_ls__decap_4 + PLACED ( 34560 66600 ) FS ;
-- FILLER_16_64 sky130_fd_sc_ls__fill_1 + PLACED ( 36480 66600 ) FS ;
-- FILLER_16_70 sky130_fd_sc_ls__decap_8 + PLACED ( 39360 66600 ) FS ;
-- FILLER_16_78 sky130_fd_sc_ls__decap_4 + PLACED ( 43200 66600 ) FS ;
+- FILLER_16_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 66600 ) FS ;
+- FILLER_16_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 66600 ) FS ;
+- FILLER_16_29 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 19680 66600 ) FS ;
+- FILLER_16_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 66600 ) FS ;
+- FILLER_16_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 66600 ) FS ;
+- FILLER_16_52 sky130_fd_sc_ls__decap_8 + PLACED ( 30720 66600 ) FS ;
+- FILLER_16_60 sky130_fd_sc_ls__decap_8 + PLACED ( 34560 66600 ) FS ;
+- FILLER_16_68 sky130_fd_sc_ls__decap_8 + PLACED ( 38400 66600 ) FS ;
+- FILLER_16_76 sky130_fd_sc_ls__decap_4 + PLACED ( 42240 66600 ) FS ;
+- FILLER_16_80 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44160 66600 ) FS ;
 - FILLER_16_82 sky130_fd_sc_ls__fill_1 + PLACED ( 45120 66600 ) FS ;
-- FILLER_16_84 sky130_fd_sc_ls__decap_8 + PLACED ( 46080 66600 ) FS ;
-- FILLER_16_92 sky130_fd_sc_ls__decap_8 + PLACED ( 49920 66600 ) FS ;
-- FILLER_16_100 sky130_fd_sc_ls__decap_4 + PLACED ( 53760 66600 ) FS ;
-- FILLER_16_104 sky130_fd_sc_ls__fill_1 + PLACED ( 55680 66600 ) FS ;
-- FILLER_16_108 sky130_fd_sc_ls__decap_8 + PLACED ( 57600 66600 ) FS ;
-- FILLER_16_116 sky130_fd_sc_ls__decap_8 + PLACED ( 61440 66600 ) FS ;
-- FILLER_16_124 sky130_fd_sc_ls__decap_8 + PLACED ( 65280 66600 ) FS ;
-- FILLER_16_132 sky130_fd_sc_ls__decap_4 + PLACED ( 69120 66600 ) FS ;
-- FILLER_16_136 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 71040 66600 ) FS ;
+- FILLER_16_84 sky130_fd_sc_ls__decap_4 + PLACED ( 46080 66600 ) FS ;
+- FILLER_16_88 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 48000 66600 ) FS ;
+- FILLER_16_90 sky130_fd_sc_ls__fill_1 + PLACED ( 48960 66600 ) FS ;
+- FILLER_16_94 sky130_fd_sc_ls__decap_8 + PLACED ( 50880 66600 ) FS ;
+- FILLER_16_102 sky130_fd_sc_ls__decap_8 + PLACED ( 54720 66600 ) FS ;
+- FILLER_16_110 sky130_fd_sc_ls__decap_8 + PLACED ( 58560 66600 ) FS ;
+- FILLER_16_118 sky130_fd_sc_ls__decap_8 + PLACED ( 62400 66600 ) FS ;
+- FILLER_16_126 sky130_fd_sc_ls__decap_8 + PLACED ( 66240 66600 ) FS ;
+- FILLER_16_134 sky130_fd_sc_ls__decap_4 + PLACED ( 70080 66600 ) FS ;
 - FILLER_16_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 66600 ) FS ;
 - FILLER_16_147 sky130_fd_sc_ls__decap_8 + PLACED ( 76320 66600 ) FS ;
 - FILLER_16_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 66600 ) FS ;
@@ -3831,13 +3833,13 @@
 - FILLER_16_187 sky130_fd_sc_ls__decap_4 + PLACED ( 95520 66600 ) FS ;
 - FILLER_16_191 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 97440 66600 ) FS ;
 - FILLER_16_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 66600 ) FS ;
-- FILLER_16_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 66600 ) FS ;
-- FILLER_16_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 66600 ) FS ;
-- FILLER_16_218 sky130_fd_sc_ls__decap_8 + PLACED ( 110400 66600 ) FS ;
-- FILLER_16_226 sky130_fd_sc_ls__decap_8 + PLACED ( 114240 66600 ) FS ;
-- FILLER_16_234 sky130_fd_sc_ls__decap_8 + PLACED ( 118080 66600 ) FS ;
-- FILLER_16_242 sky130_fd_sc_ls__decap_4 + PLACED ( 121920 66600 ) FS ;
-- FILLER_16_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 66600 ) FS ;
+- FILLER_16_205 sky130_fd_sc_ls__decap_8 + PLACED ( 104160 66600 ) FS ;
+- FILLER_16_213 sky130_fd_sc_ls__decap_8 + PLACED ( 108000 66600 ) FS ;
+- FILLER_16_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 66600 ) FS ;
+- FILLER_16_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 66600 ) FS ;
+- FILLER_16_237 sky130_fd_sc_ls__decap_8 + PLACED ( 119520 66600 ) FS ;
+- FILLER_16_245 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123360 66600 ) FS ;
+- FILLER_16_247 sky130_fd_sc_ls__fill_1 + PLACED ( 124320 66600 ) FS ;
 - FILLER_16_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 66600 ) FS ;
 - FILLER_16_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 66600 ) FS ;
 - FILLER_16_265 sky130_fd_sc_ls__decap_8 + PLACED ( 132960 66600 ) FS ;
@@ -3848,28 +3850,29 @@
 - FILLER_16_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 66600 ) FS ;
 - FILLER_16_304 sky130_fd_sc_ls__decap_8 + PLACED ( 151680 66600 ) FS ;
 - FILLER_16_312 sky130_fd_sc_ls__decap_8 + PLACED ( 155520 66600 ) FS ;
-- FILLER_16_320 sky130_fd_sc_ls__decap_8 + PLACED ( 159360 66600 ) FS ;
-- FILLER_16_328 sky130_fd_sc_ls__decap_8 + PLACED ( 163200 66600 ) FS ;
-- FILLER_16_336 sky130_fd_sc_ls__decap_8 + PLACED ( 167040 66600 ) FS ;
-- FILLER_16_344 sky130_fd_sc_ls__decap_8 + PLACED ( 170880 66600 ) FS ;
-- FILLER_16_352 sky130_fd_sc_ls__decap_4 + PLACED ( 174720 66600 ) FS ;
-- FILLER_16_356 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176640 66600 ) FS ;
-- FILLER_16_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 66600 ) FS ;
-- FILLER_16_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 66600 ) FS ;
-- FILLER_16_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 66600 ) FS ;
-- FILLER_16_383 sky130_fd_sc_ls__decap_8 + PLACED ( 189600 66600 ) FS ;
-- FILLER_16_391 sky130_fd_sc_ls__decap_8 + PLACED ( 193440 66600 ) FS ;
-- FILLER_16_399 sky130_fd_sc_ls__decap_8 + PLACED ( 197280 66600 ) FS ;
-- FILLER_16_407 sky130_fd_sc_ls__decap_4 + PLACED ( 201120 66600 ) FS ;
-- FILLER_16_411 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 203040 66600 ) FS ;
+- FILLER_16_320 sky130_fd_sc_ls__decap_4 + PLACED ( 159360 66600 ) FS ;
+- FILLER_16_324 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 161280 66600 ) FS ;
+- FILLER_16_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 66600 ) FS ;
+- FILLER_16_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 66600 ) FS ;
+- FILLER_16_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 66600 ) FS ;
+- FILLER_16_355 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176160 66600 ) FS ;
+- FILLER_16_357 sky130_fd_sc_ls__fill_1 + PLACED ( 177120 66600 ) FS ;
+- FILLER_16_359 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 178080 66600 ) FS ;
+- FILLER_16_366 sky130_fd_sc_ls__decap_8 + PLACED ( 181440 66600 ) FS ;
+- FILLER_16_374 sky130_fd_sc_ls__decap_8 + PLACED ( 185280 66600 ) FS ;
+- FILLER_16_382 sky130_fd_sc_ls__decap_8 + PLACED ( 189120 66600 ) FS ;
+- FILLER_16_390 sky130_fd_sc_ls__decap_8 + PLACED ( 192960 66600 ) FS ;
+- FILLER_16_398 sky130_fd_sc_ls__decap_8 + PLACED ( 196800 66600 ) FS ;
+- FILLER_16_406 sky130_fd_sc_ls__decap_4 + PLACED ( 200640 66600 ) FS ;
+- FILLER_16_410 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 202560 66600 ) FS ;
+- FILLER_16_412 sky130_fd_sc_ls__fill_1 + PLACED ( 203520 66600 ) FS ;
 - FILLER_16_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 66600 ) FS ;
-- FILLER_16_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 66600 ) FS ;
-- FILLER_16_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 66600 ) FS ;
-- FILLER_16_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 66600 ) FS ;
-- FILLER_16_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 66600 ) FS ;
-- FILLER_16_454 sky130_fd_sc_ls__decap_8 + PLACED ( 223680 66600 ) FS ;
-- FILLER_16_462 sky130_fd_sc_ls__decap_4 + PLACED ( 227520 66600 ) FS ;
-- FILLER_16_466 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 229440 66600 ) FS ;
+- FILLER_16_422 sky130_fd_sc_ls__fill_1 + PLACED ( 208320 66600 ) FS ;
+- FILLER_16_428 sky130_fd_sc_ls__decap_8 + PLACED ( 211200 66600 ) FS ;
+- FILLER_16_436 sky130_fd_sc_ls__decap_8 + PLACED ( 215040 66600 ) FS ;
+- FILLER_16_444 sky130_fd_sc_ls__decap_8 + PLACED ( 218880 66600 ) FS ;
+- FILLER_16_452 sky130_fd_sc_ls__decap_8 + PLACED ( 222720 66600 ) FS ;
+- FILLER_16_460 sky130_fd_sc_ls__decap_8 + PLACED ( 226560 66600 ) FS ;
 - FILLER_16_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 66600 ) FS ;
 - FILLER_16_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 66600 ) FS ;
 - FILLER_16_485 sky130_fd_sc_ls__decap_8 + PLACED ( 238560 66600 ) FS ;
@@ -3882,28 +3885,29 @@
 - FILLER_16_532 sky130_fd_sc_ls__decap_8 + PLACED ( 261120 66600 ) FS ;
 - FILLER_16_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 66600 ) FS ;
 - FILLER_16_548 sky130_fd_sc_ls__decap_8 + PLACED ( 268800 66600 ) FS ;
-- FILLER_16_556 sky130_fd_sc_ls__decap_8 + PLACED ( 272640 66600 ) FS ;
-- FILLER_16_564 sky130_fd_sc_ls__decap_8 + PLACED ( 276480 66600 ) FS ;
-- FILLER_16_572 sky130_fd_sc_ls__decap_4 + PLACED ( 280320 66600 ) FS ;
+- FILLER_16_556 sky130_fd_sc_ls__fill_1 + PLACED ( 272640 66600 ) FS ;
+- FILLER_16_560 sky130_fd_sc_ls__decap_8 + PLACED ( 274560 66600 ) FS ;
+- FILLER_16_568 sky130_fd_sc_ls__decap_8 + PLACED ( 278400 66600 ) FS ;
 - FILLER_16_576 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 282240 66600 ) FS ;
 - FILLER_16_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 66600 ) FS ;
 - FILLER_16_587 sky130_fd_sc_ls__decap_8 + PLACED ( 287520 66600 ) FS ;
 - FILLER_16_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 66600 ) FS ;
 - FILLER_17_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 69930 ) N ;
-- FILLER_17_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 69930 ) N ;
-- FILLER_17_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 69930 ) N ;
-- FILLER_17_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 69930 ) N ;
-- FILLER_17_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 69930 ) N ;
-- FILLER_17_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 69930 ) N ;
-- FILLER_17_52 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 30720 69930 ) N ;
+- FILLER_17_12 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 11520 69930 ) N ;
+- FILLER_17_14 sky130_fd_sc_ls__fill_1 + PLACED ( 12480 69930 ) N ;
+- FILLER_17_18 sky130_fd_sc_ls__decap_8 + PLACED ( 14400 69930 ) N ;
+- FILLER_17_26 sky130_fd_sc_ls__decap_8 + PLACED ( 18240 69930 ) N ;
+- FILLER_17_34 sky130_fd_sc_ls__decap_8 + PLACED ( 22080 69930 ) N ;
+- FILLER_17_42 sky130_fd_sc_ls__decap_8 + PLACED ( 25920 69930 ) N ;
+- FILLER_17_50 sky130_fd_sc_ls__decap_4 + PLACED ( 29760 69930 ) N ;
 - FILLER_17_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 69930 ) N ;
 - FILLER_17_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 69930 ) N ;
 - FILLER_17_64 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 36480 69930 ) N ;
-- FILLER_17_77 sky130_fd_sc_ls__decap_8 + PLACED ( 42720 69930 ) N ;
-- FILLER_17_85 sky130_fd_sc_ls__decap_8 + PLACED ( 46560 69930 ) N ;
-- FILLER_17_93 sky130_fd_sc_ls__decap_8 + PLACED ( 50400 69930 ) N ;
-- FILLER_17_101 sky130_fd_sc_ls__decap_8 + PLACED ( 54240 69930 ) N ;
-- FILLER_17_109 sky130_fd_sc_ls__fill_1 + PLACED ( 58080 69930 ) N ;
+- FILLER_17_74 sky130_fd_sc_ls__decap_8 + PLACED ( 41280 69930 ) N ;
+- FILLER_17_82 sky130_fd_sc_ls__decap_8 + PLACED ( 45120 69930 ) N ;
+- FILLER_17_90 sky130_fd_sc_ls__decap_8 + PLACED ( 48960 69930 ) N ;
+- FILLER_17_98 sky130_fd_sc_ls__decap_8 + PLACED ( 52800 69930 ) N ;
+- FILLER_17_106 sky130_fd_sc_ls__decap_4 + PLACED ( 56640 69930 ) N ;
 - FILLER_17_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 69930 ) N ;
 - FILLER_17_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 69930 ) N ;
 - FILLER_17_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 69930 ) N ;
@@ -3932,17 +3936,16 @@
 - FILLER_17_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 69930 ) N ;
 - FILLER_17_292 sky130_fd_sc_ls__decap_8 + PLACED ( 145920 69930 ) N ;
 - FILLER_17_300 sky130_fd_sc_ls__decap_8 + PLACED ( 149760 69930 ) N ;
-- FILLER_17_308 sky130_fd_sc_ls__decap_8 + PLACED ( 153600 69930 ) N ;
-- FILLER_17_316 sky130_fd_sc_ls__decap_8 + PLACED ( 157440 69930 ) N ;
-- FILLER_17_324 sky130_fd_sc_ls__decap_4 + PLACED ( 161280 69930 ) N ;
-- FILLER_17_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 69930 ) N ;
+- FILLER_17_308 sky130_fd_sc_ls__fill_1 + PLACED ( 153600 69930 ) N ;
+- FILLER_17_314 sky130_fd_sc_ls__decap_8 + PLACED ( 156480 69930 ) N ;
+- FILLER_17_322 sky130_fd_sc_ls__decap_8 + PLACED ( 160320 69930 ) N ;
 - FILLER_17_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 69930 ) N ;
 - FILLER_17_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 69930 ) N ;
-- FILLER_17_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 69930 ) N ;
-- FILLER_17_355 sky130_fd_sc_ls__decap_8 + PLACED ( 176160 69930 ) N ;
-- FILLER_17_363 sky130_fd_sc_ls__decap_4 + PLACED ( 180000 69930 ) N ;
-- FILLER_17_367 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 181920 69930 ) N ;
-- FILLER_17_374 sky130_fd_sc_ls__decap_8 + PLACED ( 185280 69930 ) N ;
+- FILLER_17_347 sky130_fd_sc_ls__decap_4 + PLACED ( 172320 69930 ) N ;
+- FILLER_17_354 sky130_fd_sc_ls__decap_8 + PLACED ( 175680 69930 ) N ;
+- FILLER_17_362 sky130_fd_sc_ls__decap_8 + PLACED ( 179520 69930 ) N ;
+- FILLER_17_370 sky130_fd_sc_ls__decap_8 + PLACED ( 183360 69930 ) N ;
+- FILLER_17_378 sky130_fd_sc_ls__decap_4 + PLACED ( 187200 69930 ) N ;
 - FILLER_17_382 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189120 69930 ) N ;
 - FILLER_17_384 sky130_fd_sc_ls__fill_1 + PLACED ( 190080 69930 ) N ;
 - FILLER_17_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 69930 ) N ;
@@ -3973,7 +3976,8 @@
 - FILLER_17_559 sky130_fd_sc_ls__decap_8 + PLACED ( 274080 69930 ) N ;
 - FILLER_17_567 sky130_fd_sc_ls__decap_8 + PLACED ( 277920 69930 ) N ;
 - FILLER_17_575 sky130_fd_sc_ls__decap_8 + PLACED ( 281760 69930 ) N ;
-- FILLER_17_583 sky130_fd_sc_ls__decap_8 + PLACED ( 285600 69930 ) N ;
+- FILLER_17_583 sky130_fd_sc_ls__decap_4 + PLACED ( 285600 69930 ) N ;
+- FILLER_17_587 sky130_fd_sc_ls__fill_1 + PLACED ( 287520 69930 ) N ;
 - FILLER_17_591 sky130_fd_sc_ls__decap_4 + PLACED ( 289440 69930 ) N ;
 - FILLER_17_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 69930 ) N ;
 - FILLER_18_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 73260 ) FS ;
@@ -3983,11 +3987,10 @@
 - FILLER_18_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 73260 ) FS ;
 - FILLER_18_45 sky130_fd_sc_ls__decap_8 + PLACED ( 27360 73260 ) FS ;
 - FILLER_18_53 sky130_fd_sc_ls__decap_8 + PLACED ( 31200 73260 ) FS ;
-- FILLER_18_61 sky130_fd_sc_ls__decap_4 + PLACED ( 35040 73260 ) FS ;
-- FILLER_18_65 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 36960 73260 ) FS ;
-- FILLER_18_67 sky130_fd_sc_ls__fill_1 + PLACED ( 37920 73260 ) FS ;
-- FILLER_18_71 sky130_fd_sc_ls__decap_8 + PLACED ( 39840 73260 ) FS ;
-- FILLER_18_79 sky130_fd_sc_ls__decap_4 + PLACED ( 43680 73260 ) FS ;
+- FILLER_18_61 sky130_fd_sc_ls__decap_8 + PLACED ( 35040 73260 ) FS ;
+- FILLER_18_69 sky130_fd_sc_ls__decap_8 + PLACED ( 38880 73260 ) FS ;
+- FILLER_18_77 sky130_fd_sc_ls__decap_4 + PLACED ( 42720 73260 ) FS ;
+- FILLER_18_81 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44640 73260 ) FS ;
 - FILLER_18_84 sky130_fd_sc_ls__decap_8 + PLACED ( 46080 73260 ) FS ;
 - FILLER_18_92 sky130_fd_sc_ls__decap_8 + PLACED ( 49920 73260 ) FS ;
 - FILLER_18_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 73260 ) FS ;
@@ -4004,24 +4007,23 @@
 - FILLER_18_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 73260 ) FS ;
 - FILLER_18_187 sky130_fd_sc_ls__decap_4 + PLACED ( 95520 73260 ) FS ;
 - FILLER_18_191 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 97440 73260 ) FS ;
-- FILLER_18_194 sky130_fd_sc_ls__decap_4 + PLACED ( 98880 73260 ) FS ;
-- FILLER_18_198 sky130_fd_sc_ls__fill_1 + PLACED ( 100800 73260 ) FS ;
-- FILLER_18_202 sky130_fd_sc_ls__decap_4 + PLACED ( 102720 73260 ) FS ;
-- FILLER_18_209 sky130_fd_sc_ls__decap_8 + PLACED ( 106080 73260 ) FS ;
-- FILLER_18_217 sky130_fd_sc_ls__decap_8 + PLACED ( 109920 73260 ) FS ;
-- FILLER_18_225 sky130_fd_sc_ls__decap_8 + PLACED ( 113760 73260 ) FS ;
-- FILLER_18_233 sky130_fd_sc_ls__decap_8 + PLACED ( 117600 73260 ) FS ;
-- FILLER_18_241 sky130_fd_sc_ls__decap_4 + PLACED ( 121440 73260 ) FS ;
-- FILLER_18_245 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123360 73260 ) FS ;
-- FILLER_18_247 sky130_fd_sc_ls__fill_1 + PLACED ( 124320 73260 ) FS ;
+- FILLER_18_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 73260 ) FS ;
+- FILLER_18_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 73260 ) FS ;
+- FILLER_18_210 sky130_fd_sc_ls__fill_1 + PLACED ( 106560 73260 ) FS ;
+- FILLER_18_214 sky130_fd_sc_ls__decap_8 + PLACED ( 108480 73260 ) FS ;
+- FILLER_18_222 sky130_fd_sc_ls__decap_8 + PLACED ( 112320 73260 ) FS ;
+- FILLER_18_230 sky130_fd_sc_ls__decap_8 + PLACED ( 116160 73260 ) FS ;
+- FILLER_18_238 sky130_fd_sc_ls__decap_8 + PLACED ( 120000 73260 ) FS ;
+- FILLER_18_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 73260 ) FS ;
 - FILLER_18_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 73260 ) FS ;
 - FILLER_18_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 73260 ) FS ;
 - FILLER_18_265 sky130_fd_sc_ls__decap_8 + PLACED ( 132960 73260 ) FS ;
-- FILLER_18_273 sky130_fd_sc_ls__decap_8 + PLACED ( 136800 73260 ) FS ;
-- FILLER_18_281 sky130_fd_sc_ls__decap_8 + PLACED ( 140640 73260 ) FS ;
-- FILLER_18_289 sky130_fd_sc_ls__decap_8 + PLACED ( 144480 73260 ) FS ;
-- FILLER_18_297 sky130_fd_sc_ls__decap_4 + PLACED ( 148320 73260 ) FS ;
-- FILLER_18_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 73260 ) FS ;
+- FILLER_18_273 sky130_fd_sc_ls__decap_4 + PLACED ( 136800 73260 ) FS ;
+- FILLER_18_277 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 138720 73260 ) FS ;
+- FILLER_18_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 73260 ) FS ;
+- FILLER_18_292 sky130_fd_sc_ls__decap_8 + PLACED ( 145920 73260 ) FS ;
+- FILLER_18_300 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 149760 73260 ) FS ;
+- FILLER_18_302 sky130_fd_sc_ls__fill_1 + PLACED ( 150720 73260 ) FS ;
 - FILLER_18_304 sky130_fd_sc_ls__decap_8 + PLACED ( 151680 73260 ) FS ;
 - FILLER_18_312 sky130_fd_sc_ls__decap_8 + PLACED ( 155520 73260 ) FS ;
 - FILLER_18_320 sky130_fd_sc_ls__decap_8 + PLACED ( 159360 73260 ) FS ;
@@ -4031,14 +4033,13 @@
 - FILLER_18_352 sky130_fd_sc_ls__decap_4 + PLACED ( 174720 73260 ) FS ;
 - FILLER_18_356 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176640 73260 ) FS ;
 - FILLER_18_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 73260 ) FS ;
-- FILLER_18_367 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 181920 73260 ) FS ;
-- FILLER_18_374 sky130_fd_sc_ls__decap_8 + PLACED ( 185280 73260 ) FS ;
-- FILLER_18_382 sky130_fd_sc_ls__decap_8 + PLACED ( 189120 73260 ) FS ;
-- FILLER_18_390 sky130_fd_sc_ls__decap_8 + PLACED ( 192960 73260 ) FS ;
-- FILLER_18_398 sky130_fd_sc_ls__decap_8 + PLACED ( 196800 73260 ) FS ;
-- FILLER_18_406 sky130_fd_sc_ls__decap_4 + PLACED ( 200640 73260 ) FS ;
-- FILLER_18_410 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 202560 73260 ) FS ;
-- FILLER_18_412 sky130_fd_sc_ls__fill_1 + PLACED ( 203520 73260 ) FS ;
+- FILLER_18_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 73260 ) FS ;
+- FILLER_18_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 73260 ) FS ;
+- FILLER_18_383 sky130_fd_sc_ls__decap_8 + PLACED ( 189600 73260 ) FS ;
+- FILLER_18_391 sky130_fd_sc_ls__decap_8 + PLACED ( 193440 73260 ) FS ;
+- FILLER_18_399 sky130_fd_sc_ls__decap_8 + PLACED ( 197280 73260 ) FS ;
+- FILLER_18_407 sky130_fd_sc_ls__decap_4 + PLACED ( 201120 73260 ) FS ;
+- FILLER_18_411 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 203040 73260 ) FS ;
 - FILLER_18_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 73260 ) FS ;
 - FILLER_18_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 73260 ) FS ;
 - FILLER_18_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 73260 ) FS ;
@@ -4047,25 +4048,27 @@
 - FILLER_18_454 sky130_fd_sc_ls__decap_8 + PLACED ( 223680 73260 ) FS ;
 - FILLER_18_462 sky130_fd_sc_ls__decap_4 + PLACED ( 227520 73260 ) FS ;
 - FILLER_18_466 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 229440 73260 ) FS ;
-- FILLER_18_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 73260 ) FS ;
-- FILLER_18_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 73260 ) FS ;
-- FILLER_18_485 sky130_fd_sc_ls__decap_8 + PLACED ( 238560 73260 ) FS ;
-- FILLER_18_493 sky130_fd_sc_ls__decap_8 + PLACED ( 242400 73260 ) FS ;
-- FILLER_18_501 sky130_fd_sc_ls__decap_8 + PLACED ( 246240 73260 ) FS ;
-- FILLER_18_509 sky130_fd_sc_ls__decap_8 + PLACED ( 250080 73260 ) FS ;
-- FILLER_18_517 sky130_fd_sc_ls__decap_4 + PLACED ( 253920 73260 ) FS ;
-- FILLER_18_521 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255840 73260 ) FS ;
+- FILLER_18_469 sky130_fd_sc_ls__decap_4 + PLACED ( 230880 73260 ) FS ;
+- FILLER_18_476 sky130_fd_sc_ls__decap_8 + PLACED ( 234240 73260 ) FS ;
+- FILLER_18_484 sky130_fd_sc_ls__decap_8 + PLACED ( 238080 73260 ) FS ;
+- FILLER_18_492 sky130_fd_sc_ls__decap_8 + PLACED ( 241920 73260 ) FS ;
+- FILLER_18_500 sky130_fd_sc_ls__decap_8 + PLACED ( 245760 73260 ) FS ;
+- FILLER_18_508 sky130_fd_sc_ls__decap_8 + PLACED ( 249600 73260 ) FS ;
+- FILLER_18_516 sky130_fd_sc_ls__decap_4 + PLACED ( 253440 73260 ) FS ;
+- FILLER_18_520 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255360 73260 ) FS ;
+- FILLER_18_522 sky130_fd_sc_ls__fill_1 + PLACED ( 256320 73260 ) FS ;
 - FILLER_18_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 73260 ) FS ;
-- FILLER_18_532 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 261120 73260 ) FS ;
-- FILLER_18_537 sky130_fd_sc_ls__decap_8 + PLACED ( 263520 73260 ) FS ;
-- FILLER_18_545 sky130_fd_sc_ls__decap_8 + PLACED ( 267360 73260 ) FS ;
-- FILLER_18_553 sky130_fd_sc_ls__decap_8 + PLACED ( 271200 73260 ) FS ;
-- FILLER_18_561 sky130_fd_sc_ls__decap_8 + PLACED ( 275040 73260 ) FS ;
-- FILLER_18_569 sky130_fd_sc_ls__decap_8 + PLACED ( 278880 73260 ) FS ;
-- FILLER_18_577 sky130_fd_sc_ls__fill_1 + PLACED ( 282720 73260 ) FS ;
-- FILLER_18_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 73260 ) FS ;
-- FILLER_18_587 sky130_fd_sc_ls__decap_8 + PLACED ( 287520 73260 ) FS ;
-- FILLER_18_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 73260 ) FS ;
+- FILLER_18_532 sky130_fd_sc_ls__decap_8 + PLACED ( 261120 73260 ) FS ;
+- FILLER_18_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 73260 ) FS ;
+- FILLER_18_548 sky130_fd_sc_ls__decap_8 + PLACED ( 268800 73260 ) FS ;
+- FILLER_18_556 sky130_fd_sc_ls__decap_8 + PLACED ( 272640 73260 ) FS ;
+- FILLER_18_564 sky130_fd_sc_ls__decap_8 + PLACED ( 276480 73260 ) FS ;
+- FILLER_18_572 sky130_fd_sc_ls__decap_4 + PLACED ( 280320 73260 ) FS ;
+- FILLER_18_576 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 282240 73260 ) FS ;
+- FILLER_18_579 sky130_fd_sc_ls__decap_4 + PLACED ( 283680 73260 ) FS ;
+- FILLER_18_586 sky130_fd_sc_ls__decap_8 + PLACED ( 287040 73260 ) FS ;
+- FILLER_18_594 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 290880 73260 ) FS ;
+- FILLER_18_596 sky130_fd_sc_ls__fill_1 + PLACED ( 291840 73260 ) FS ;
 - FILLER_19_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 76590 ) N ;
 - FILLER_19_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 76590 ) N ;
 - FILLER_19_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 76590 ) N ;
@@ -4076,9 +4079,11 @@
 - FILLER_19_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 76590 ) N ;
 - FILLER_19_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 76590 ) N ;
 - FILLER_19_64 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 36480 76590 ) N ;
-- FILLER_19_86 sky130_fd_sc_ls__decap_8 + PLACED ( 47040 76590 ) N ;
-- FILLER_19_94 sky130_fd_sc_ls__decap_8 + PLACED ( 50880 76590 ) N ;
-- FILLER_19_102 sky130_fd_sc_ls__decap_8 + PLACED ( 54720 76590 ) N ;
+- FILLER_19_74 sky130_fd_sc_ls__decap_8 + PLACED ( 41280 76590 ) N ;
+- FILLER_19_82 sky130_fd_sc_ls__decap_8 + PLACED ( 45120 76590 ) N ;
+- FILLER_19_90 sky130_fd_sc_ls__decap_8 + PLACED ( 48960 76590 ) N ;
+- FILLER_19_98 sky130_fd_sc_ls__decap_8 + PLACED ( 52800 76590 ) N ;
+- FILLER_19_106 sky130_fd_sc_ls__decap_4 + PLACED ( 56640 76590 ) N ;
 - FILLER_19_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 76590 ) N ;
 - FILLER_19_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 76590 ) N ;
 - FILLER_19_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 76590 ) N ;
@@ -4098,11 +4103,11 @@
 - FILLER_19_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 76590 ) N ;
 - FILLER_19_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 76590 ) N ;
 - FILLER_19_237 sky130_fd_sc_ls__decap_8 + PLACED ( 119520 76590 ) N ;
-- FILLER_19_245 sky130_fd_sc_ls__decap_8 + PLACED ( 123360 76590 ) N ;
-- FILLER_19_253 sky130_fd_sc_ls__decap_8 + PLACED ( 127200 76590 ) N ;
-- FILLER_19_261 sky130_fd_sc_ls__decap_8 + PLACED ( 131040 76590 ) N ;
-- FILLER_19_269 sky130_fd_sc_ls__decap_4 + PLACED ( 134880 76590 ) N ;
-- FILLER_19_273 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136800 76590 ) N ;
+- FILLER_19_245 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123360 76590 ) N ;
+- FILLER_19_247 sky130_fd_sc_ls__fill_1 + PLACED ( 124320 76590 ) N ;
+- FILLER_19_251 sky130_fd_sc_ls__decap_8 + PLACED ( 126240 76590 ) N ;
+- FILLER_19_259 sky130_fd_sc_ls__decap_8 + PLACED ( 130080 76590 ) N ;
+- FILLER_19_267 sky130_fd_sc_ls__decap_8 + PLACED ( 133920 76590 ) N ;
 - FILLER_19_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 76590 ) N ;
 - FILLER_19_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 76590 ) N ;
 - FILLER_19_292 sky130_fd_sc_ls__decap_8 + PLACED ( 145920 76590 ) N ;
@@ -4113,13 +4118,12 @@
 - FILLER_19_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 76590 ) N ;
 - FILLER_19_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 76590 ) N ;
 - FILLER_19_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 76590 ) N ;
-- FILLER_19_347 sky130_fd_sc_ls__decap_4 + PLACED ( 172320 76590 ) N ;
-- FILLER_19_351 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 174240 76590 ) N ;
-- FILLER_19_358 sky130_fd_sc_ls__decap_8 + PLACED ( 177600 76590 ) N ;
-- FILLER_19_366 sky130_fd_sc_ls__decap_8 + PLACED ( 181440 76590 ) N ;
-- FILLER_19_374 sky130_fd_sc_ls__decap_8 + PLACED ( 185280 76590 ) N ;
-- FILLER_19_382 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189120 76590 ) N ;
-- FILLER_19_384 sky130_fd_sc_ls__fill_1 + PLACED ( 190080 76590 ) N ;
+- FILLER_19_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 76590 ) N ;
+- FILLER_19_355 sky130_fd_sc_ls__decap_8 + PLACED ( 176160 76590 ) N ;
+- FILLER_19_363 sky130_fd_sc_ls__decap_8 + PLACED ( 180000 76590 ) N ;
+- FILLER_19_371 sky130_fd_sc_ls__decap_8 + PLACED ( 183840 76590 ) N ;
+- FILLER_19_379 sky130_fd_sc_ls__decap_4 + PLACED ( 187680 76590 ) N ;
+- FILLER_19_383 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189600 76590 ) N ;
 - FILLER_19_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 76590 ) N ;
 - FILLER_19_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 76590 ) N ;
 - FILLER_19_402 sky130_fd_sc_ls__decap_8 + PLACED ( 198720 76590 ) N ;
@@ -4191,7 +4195,8 @@
 - FILLER_20_265 sky130_fd_sc_ls__decap_8 + PLACED ( 132960 79920 ) FS ;
 - FILLER_20_273 sky130_fd_sc_ls__decap_8 + PLACED ( 136800 79920 ) FS ;
 - FILLER_20_281 sky130_fd_sc_ls__decap_8 + PLACED ( 140640 79920 ) FS ;
-- FILLER_20_289 sky130_fd_sc_ls__decap_8 + PLACED ( 144480 79920 ) FS ;
+- FILLER_20_289 sky130_fd_sc_ls__decap_4 + PLACED ( 144480 79920 ) FS ;
+- FILLER_20_293 sky130_fd_sc_ls__fill_1 + PLACED ( 146400 79920 ) FS ;
 - FILLER_20_297 sky130_fd_sc_ls__decap_4 + PLACED ( 148320 79920 ) FS ;
 - FILLER_20_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 79920 ) FS ;
 - FILLER_20_304 sky130_fd_sc_ls__decap_8 + PLACED ( 151680 79920 ) FS ;
@@ -4204,35 +4209,37 @@
 - FILLER_20_356 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176640 79920 ) FS ;
 - FILLER_20_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 79920 ) FS ;
 - FILLER_20_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 79920 ) FS ;
-- FILLER_20_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 79920 ) FS ;
-- FILLER_20_383 sky130_fd_sc_ls__decap_8 + PLACED ( 189600 79920 ) FS ;
-- FILLER_20_391 sky130_fd_sc_ls__decap_8 + PLACED ( 193440 79920 ) FS ;
-- FILLER_20_399 sky130_fd_sc_ls__decap_8 + PLACED ( 197280 79920 ) FS ;
-- FILLER_20_407 sky130_fd_sc_ls__decap_4 + PLACED ( 201120 79920 ) FS ;
-- FILLER_20_411 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 203040 79920 ) FS ;
+- FILLER_20_375 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 185760 79920 ) FS ;
+- FILLER_20_377 sky130_fd_sc_ls__fill_1 + PLACED ( 186720 79920 ) FS ;
+- FILLER_20_381 sky130_fd_sc_ls__decap_8 + PLACED ( 188640 79920 ) FS ;
+- FILLER_20_389 sky130_fd_sc_ls__decap_8 + PLACED ( 192480 79920 ) FS ;
+- FILLER_20_397 sky130_fd_sc_ls__decap_8 + PLACED ( 196320 79920 ) FS ;
+- FILLER_20_405 sky130_fd_sc_ls__decap_8 + PLACED ( 200160 79920 ) FS ;
 - FILLER_20_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 79920 ) FS ;
 - FILLER_20_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 79920 ) FS ;
-- FILLER_20_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 79920 ) FS ;
-- FILLER_20_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 79920 ) FS ;
-- FILLER_20_446 sky130_fd_sc_ls__fill_1 + PLACED ( 219840 79920 ) FS ;
-- FILLER_20_452 sky130_fd_sc_ls__decap_8 + PLACED ( 222720 79920 ) FS ;
-- FILLER_20_460 sky130_fd_sc_ls__decap_8 + PLACED ( 226560 79920 ) FS ;
+- FILLER_20_430 sky130_fd_sc_ls__decap_4 + PLACED ( 212160 79920 ) FS ;
+- FILLER_20_434 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 214080 79920 ) FS ;
+- FILLER_20_436 sky130_fd_sc_ls__fill_1 + PLACED ( 215040 79920 ) FS ;
+- FILLER_20_442 sky130_fd_sc_ls__decap_8 + PLACED ( 217920 79920 ) FS ;
+- FILLER_20_450 sky130_fd_sc_ls__decap_8 + PLACED ( 221760 79920 ) FS ;
+- FILLER_20_458 sky130_fd_sc_ls__decap_8 + PLACED ( 225600 79920 ) FS ;
+- FILLER_20_466 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 229440 79920 ) FS ;
 - FILLER_20_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 79920 ) FS ;
 - FILLER_20_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 79920 ) FS ;
 - FILLER_20_485 sky130_fd_sc_ls__decap_8 + PLACED ( 238560 79920 ) FS ;
 - FILLER_20_493 sky130_fd_sc_ls__decap_8 + PLACED ( 242400 79920 ) FS ;
-- FILLER_20_501 sky130_fd_sc_ls__fill_1 + PLACED ( 246240 79920 ) FS ;
-- FILLER_20_505 sky130_fd_sc_ls__decap_8 + PLACED ( 248160 79920 ) FS ;
-- FILLER_20_513 sky130_fd_sc_ls__decap_8 + PLACED ( 252000 79920 ) FS ;
+- FILLER_20_501 sky130_fd_sc_ls__decap_8 + PLACED ( 246240 79920 ) FS ;
+- FILLER_20_509 sky130_fd_sc_ls__decap_8 + PLACED ( 250080 79920 ) FS ;
+- FILLER_20_517 sky130_fd_sc_ls__decap_4 + PLACED ( 253920 79920 ) FS ;
 - FILLER_20_521 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255840 79920 ) FS ;
 - FILLER_20_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 79920 ) FS ;
 - FILLER_20_532 sky130_fd_sc_ls__decap_8 + PLACED ( 261120 79920 ) FS ;
 - FILLER_20_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 79920 ) FS ;
 - FILLER_20_548 sky130_fd_sc_ls__decap_8 + PLACED ( 268800 79920 ) FS ;
-- FILLER_20_556 sky130_fd_sc_ls__decap_8 + PLACED ( 272640 79920 ) FS ;
-- FILLER_20_564 sky130_fd_sc_ls__decap_8 + PLACED ( 276480 79920 ) FS ;
-- FILLER_20_572 sky130_fd_sc_ls__decap_4 + PLACED ( 280320 79920 ) FS ;
-- FILLER_20_576 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 282240 79920 ) FS ;
+- FILLER_20_556 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 272640 79920 ) FS ;
+- FILLER_20_561 sky130_fd_sc_ls__decap_8 + PLACED ( 275040 79920 ) FS ;
+- FILLER_20_569 sky130_fd_sc_ls__decap_8 + PLACED ( 278880 79920 ) FS ;
+- FILLER_20_577 sky130_fd_sc_ls__fill_1 + PLACED ( 282720 79920 ) FS ;
 - FILLER_20_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 79920 ) FS ;
 - FILLER_20_587 sky130_fd_sc_ls__decap_8 + PLACED ( 287520 79920 ) FS ;
 - FILLER_20_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 79920 ) FS ;
@@ -4244,13 +4251,14 @@
 - FILLER_21_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 83250 ) N ;
 - FILLER_21_52 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 30720 83250 ) N ;
 - FILLER_21_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 83250 ) N ;
-- FILLER_21_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 83250 ) N ;
-- FILLER_21_64 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 36480 83250 ) N ;
-- FILLER_21_77 sky130_fd_sc_ls__decap_8 + PLACED ( 42720 83250 ) N ;
-- FILLER_21_85 sky130_fd_sc_ls__decap_8 + PLACED ( 46560 83250 ) N ;
-- FILLER_21_93 sky130_fd_sc_ls__decap_8 + PLACED ( 50400 83250 ) N ;
-- FILLER_21_101 sky130_fd_sc_ls__decap_8 + PLACED ( 54240 83250 ) N ;
-- FILLER_21_109 sky130_fd_sc_ls__fill_1 + PLACED ( 58080 83250 ) N ;
+- FILLER_21_56 sky130_fd_sc_ls__decap_4 + PLACED ( 32640 83250 ) N ;
+- FILLER_21_63 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 36000 83250 ) N ;
+- FILLER_21_65 sky130_fd_sc_ls__fill_1 + PLACED ( 36960 83250 ) N ;
+- FILLER_21_74 sky130_fd_sc_ls__decap_8 + PLACED ( 41280 83250 ) N ;
+- FILLER_21_82 sky130_fd_sc_ls__decap_8 + PLACED ( 45120 83250 ) N ;
+- FILLER_21_90 sky130_fd_sc_ls__decap_8 + PLACED ( 48960 83250 ) N ;
+- FILLER_21_98 sky130_fd_sc_ls__decap_8 + PLACED ( 52800 83250 ) N ;
+- FILLER_21_106 sky130_fd_sc_ls__decap_4 + PLACED ( 56640 83250 ) N ;
 - FILLER_21_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 83250 ) N ;
 - FILLER_21_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 83250 ) N ;
 - FILLER_21_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 83250 ) N ;
@@ -4262,7 +4270,6 @@
 - FILLER_21_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 83250 ) N ;
 - FILLER_21_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 83250 ) N ;
 - FILLER_21_182 sky130_fd_sc_ls__decap_4 + PLACED ( 93120 83250 ) N ;
-- FILLER_21_186 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 95040 83250 ) N ;
 - FILLER_21_191 sky130_fd_sc_ls__decap_8 + PLACED ( 97440 83250 ) N ;
 - FILLER_21_199 sky130_fd_sc_ls__decap_8 + PLACED ( 101280 83250 ) N ;
 - FILLER_21_207 sky130_fd_sc_ls__decap_8 + PLACED ( 105120 83250 ) N ;
@@ -4285,12 +4292,14 @@
 - FILLER_21_324 sky130_fd_sc_ls__decap_4 + PLACED ( 161280 83250 ) N ;
 - FILLER_21_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 83250 ) N ;
 - FILLER_21_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 83250 ) N ;
-- FILLER_21_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 83250 ) N ;
-- FILLER_21_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 83250 ) N ;
-- FILLER_21_355 sky130_fd_sc_ls__fill_1 + PLACED ( 176160 83250 ) N ;
-- FILLER_21_361 sky130_fd_sc_ls__decap_8 + PLACED ( 179040 83250 ) N ;
-- FILLER_21_369 sky130_fd_sc_ls__decap_8 + PLACED ( 182880 83250 ) N ;
-- FILLER_21_377 sky130_fd_sc_ls__decap_8 + PLACED ( 186720 83250 ) N ;
+- FILLER_21_339 sky130_fd_sc_ls__fill_1 + PLACED ( 168480 83250 ) N ;
+- FILLER_21_343 sky130_fd_sc_ls__decap_8 + PLACED ( 170400 83250 ) N ;
+- FILLER_21_351 sky130_fd_sc_ls__decap_8 + PLACED ( 174240 83250 ) N ;
+- FILLER_21_359 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 178080 83250 ) N ;
+- FILLER_21_364 sky130_fd_sc_ls__decap_8 + PLACED ( 180480 83250 ) N ;
+- FILLER_21_372 sky130_fd_sc_ls__decap_8 + PLACED ( 184320 83250 ) N ;
+- FILLER_21_380 sky130_fd_sc_ls__decap_4 + PLACED ( 188160 83250 ) N ;
+- FILLER_21_384 sky130_fd_sc_ls__fill_1 + PLACED ( 190080 83250 ) N ;
 - FILLER_21_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 83250 ) N ;
 - FILLER_21_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 83250 ) N ;
 - FILLER_21_402 sky130_fd_sc_ls__decap_8 + PLACED ( 198720 83250 ) N ;
@@ -4352,10 +4361,11 @@
 - FILLER_22_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 86580 ) FS ;
 - FILLER_22_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 86580 ) FS ;
 - FILLER_22_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 86580 ) FS ;
-- FILLER_22_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 86580 ) FS ;
-- FILLER_22_225 sky130_fd_sc_ls__decap_4 + PLACED ( 113760 86580 ) FS ;
-- FILLER_22_232 sky130_fd_sc_ls__decap_8 + PLACED ( 117120 86580 ) FS ;
-- FILLER_22_240 sky130_fd_sc_ls__decap_8 + PLACED ( 120960 86580 ) FS ;
+- FILLER_22_218 sky130_fd_sc_ls__decap_8 + PLACED ( 110400 86580 ) FS ;
+- FILLER_22_226 sky130_fd_sc_ls__decap_8 + PLACED ( 114240 86580 ) FS ;
+- FILLER_22_234 sky130_fd_sc_ls__decap_8 + PLACED ( 118080 86580 ) FS ;
+- FILLER_22_242 sky130_fd_sc_ls__decap_4 + PLACED ( 121920 86580 ) FS ;
+- FILLER_22_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 86580 ) FS ;
 - FILLER_22_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 86580 ) FS ;
 - FILLER_22_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 86580 ) FS ;
 - FILLER_22_265 sky130_fd_sc_ls__decap_8 + PLACED ( 132960 86580 ) FS ;
@@ -4374,8 +4384,8 @@
 - FILLER_22_356 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176640 86580 ) FS ;
 - FILLER_22_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 86580 ) FS ;
 - FILLER_22_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 86580 ) FS ;
-- FILLER_22_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 86580 ) FS ;
-- FILLER_22_383 sky130_fd_sc_ls__decap_8 + PLACED ( 189600 86580 ) FS ;
+- FILLER_22_375 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 185760 86580 ) FS ;
+- FILLER_22_382 sky130_fd_sc_ls__decap_4 + PLACED ( 189120 86580 ) FS ;
 - FILLER_22_391 sky130_fd_sc_ls__decap_8 + PLACED ( 193440 86580 ) FS ;
 - FILLER_22_399 sky130_fd_sc_ls__decap_8 + PLACED ( 197280 86580 ) FS ;
 - FILLER_22_407 sky130_fd_sc_ls__decap_4 + PLACED ( 201120 86580 ) FS ;
@@ -4415,13 +4425,14 @@
 - FILLER_23_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 89910 ) N ;
 - FILLER_23_52 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 30720 89910 ) N ;
 - FILLER_23_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 89910 ) N ;
-- FILLER_23_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 89910 ) N ;
-- FILLER_23_64 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 36480 89910 ) N ;
-- FILLER_23_80 sky130_fd_sc_ls__decap_8 + PLACED ( 44160 89910 ) N ;
-- FILLER_23_88 sky130_fd_sc_ls__decap_8 + PLACED ( 48000 89910 ) N ;
-- FILLER_23_96 sky130_fd_sc_ls__decap_8 + PLACED ( 51840 89910 ) N ;
-- FILLER_23_104 sky130_fd_sc_ls__decap_4 + PLACED ( 55680 89910 ) N ;
-- FILLER_23_108 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 57600 89910 ) N ;
+- FILLER_23_56 sky130_fd_sc_ls__decap_4 + PLACED ( 32640 89910 ) N ;
+- FILLER_23_63 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 36000 89910 ) N ;
+- FILLER_23_65 sky130_fd_sc_ls__fill_1 + PLACED ( 36960 89910 ) N ;
+- FILLER_23_77 sky130_fd_sc_ls__decap_8 + PLACED ( 42720 89910 ) N ;
+- FILLER_23_85 sky130_fd_sc_ls__decap_8 + PLACED ( 46560 89910 ) N ;
+- FILLER_23_93 sky130_fd_sc_ls__decap_8 + PLACED ( 50400 89910 ) N ;
+- FILLER_23_101 sky130_fd_sc_ls__decap_8 + PLACED ( 54240 89910 ) N ;
+- FILLER_23_109 sky130_fd_sc_ls__fill_1 + PLACED ( 58080 89910 ) N ;
 - FILLER_23_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 89910 ) N ;
 - FILLER_23_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 89910 ) N ;
 - FILLER_23_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 89910 ) N ;
@@ -4431,13 +4442,13 @@
 - FILLER_23_159 sky130_fd_sc_ls__decap_4 + PLACED ( 82080 89910 ) N ;
 - FILLER_23_163 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 84000 89910 ) N ;
 - FILLER_23_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 89910 ) N ;
-- FILLER_23_174 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 89280 89910 ) N ;
-- FILLER_23_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 89910 ) N ;
-- FILLER_23_187 sky130_fd_sc_ls__decap_8 + PLACED ( 95520 89910 ) N ;
-- FILLER_23_195 sky130_fd_sc_ls__decap_8 + PLACED ( 99360 89910 ) N ;
-- FILLER_23_203 sky130_fd_sc_ls__decap_8 + PLACED ( 103200 89910 ) N ;
-- FILLER_23_211 sky130_fd_sc_ls__decap_8 + PLACED ( 107040 89910 ) N ;
-- FILLER_23_219 sky130_fd_sc_ls__fill_1 + PLACED ( 110880 89910 ) N ;
+- FILLER_23_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 89910 ) N ;
+- FILLER_23_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 89910 ) N ;
+- FILLER_23_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 89910 ) N ;
+- FILLER_23_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 89910 ) N ;
+- FILLER_23_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 89910 ) N ;
+- FILLER_23_214 sky130_fd_sc_ls__decap_4 + PLACED ( 108480 89910 ) N ;
+- FILLER_23_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 89910 ) N ;
 - FILLER_23_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 89910 ) N ;
 - FILLER_23_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 89910 ) N ;
 - FILLER_23_237 sky130_fd_sc_ls__decap_8 + PLACED ( 119520 89910 ) N ;
@@ -4449,12 +4460,11 @@
 - FILLER_23_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 89910 ) N ;
 - FILLER_23_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 89910 ) N ;
 - FILLER_23_292 sky130_fd_sc_ls__decap_8 + PLACED ( 145920 89910 ) N ;
-- FILLER_23_300 sky130_fd_sc_ls__decap_4 + PLACED ( 149760 89910 ) N ;
-- FILLER_23_304 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 151680 89910 ) N ;
-- FILLER_23_306 sky130_fd_sc_ls__fill_1 + PLACED ( 152640 89910 ) N ;
-- FILLER_23_310 sky130_fd_sc_ls__decap_8 + PLACED ( 154560 89910 ) N ;
-- FILLER_23_318 sky130_fd_sc_ls__decap_8 + PLACED ( 158400 89910 ) N ;
-- FILLER_23_326 sky130_fd_sc_ls__decap_4 + PLACED ( 162240 89910 ) N ;
+- FILLER_23_300 sky130_fd_sc_ls__decap_8 + PLACED ( 149760 89910 ) N ;
+- FILLER_23_308 sky130_fd_sc_ls__decap_8 + PLACED ( 153600 89910 ) N ;
+- FILLER_23_316 sky130_fd_sc_ls__decap_8 + PLACED ( 157440 89910 ) N ;
+- FILLER_23_324 sky130_fd_sc_ls__decap_4 + PLACED ( 161280 89910 ) N ;
+- FILLER_23_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 89910 ) N ;
 - FILLER_23_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 89910 ) N ;
 - FILLER_23_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 89910 ) N ;
 - FILLER_23_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 89910 ) N ;
@@ -4468,17 +4478,18 @@
 - FILLER_23_402 sky130_fd_sc_ls__decap_8 + PLACED ( 198720 89910 ) N ;
 - FILLER_23_410 sky130_fd_sc_ls__decap_8 + PLACED ( 202560 89910 ) N ;
 - FILLER_23_418 sky130_fd_sc_ls__decap_8 + PLACED ( 206400 89910 ) N ;
-- FILLER_23_426 sky130_fd_sc_ls__decap_4 + PLACED ( 210240 89910 ) N ;
-- FILLER_23_430 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 212160 89910 ) N ;
-- FILLER_23_435 sky130_fd_sc_ls__decap_4 + PLACED ( 214560 89910 ) N ;
-- FILLER_23_439 sky130_fd_sc_ls__fill_1 + PLACED ( 216480 89910 ) N ;
+- FILLER_23_426 sky130_fd_sc_ls__decap_8 + PLACED ( 210240 89910 ) N ;
+- FILLER_23_434 sky130_fd_sc_ls__decap_4 + PLACED ( 214080 89910 ) N ;
+- FILLER_23_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 89910 ) N ;
 - FILLER_23_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 89910 ) N ;
 - FILLER_23_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 89910 ) N ;
 - FILLER_23_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 89910 ) N ;
-- FILLER_23_470 sky130_fd_sc_ls__decap_8 + PLACED ( 231360 89910 ) N ;
-- FILLER_23_478 sky130_fd_sc_ls__decap_8 + PLACED ( 235200 89910 ) N ;
-- FILLER_23_486 sky130_fd_sc_ls__decap_8 + PLACED ( 239040 89910 ) N ;
-- FILLER_23_494 sky130_fd_sc_ls__fill_1 + PLACED ( 242880 89910 ) N ;
+- FILLER_23_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 89910 ) N ;
+- FILLER_23_473 sky130_fd_sc_ls__decap_4 + PLACED ( 232800 89910 ) N ;
+- FILLER_23_477 sky130_fd_sc_ls__fill_1 + PLACED ( 234720 89910 ) N ;
+- FILLER_23_481 sky130_fd_sc_ls__decap_8 + PLACED ( 236640 89910 ) N ;
+- FILLER_23_489 sky130_fd_sc_ls__decap_4 + PLACED ( 240480 89910 ) N ;
+- FILLER_23_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 89910 ) N ;
 - FILLER_23_496 sky130_fd_sc_ls__decap_8 + PLACED ( 243840 89910 ) N ;
 - FILLER_23_504 sky130_fd_sc_ls__decap_8 + PLACED ( 247680 89910 ) N ;
 - FILLER_23_512 sky130_fd_sc_ls__decap_8 + PLACED ( 251520 89910 ) N ;
@@ -4491,7 +4502,8 @@
 - FILLER_23_559 sky130_fd_sc_ls__decap_8 + PLACED ( 274080 89910 ) N ;
 - FILLER_23_567 sky130_fd_sc_ls__decap_8 + PLACED ( 277920 89910 ) N ;
 - FILLER_23_575 sky130_fd_sc_ls__decap_8 + PLACED ( 281760 89910 ) N ;
-- FILLER_23_583 sky130_fd_sc_ls__decap_8 + PLACED ( 285600 89910 ) N ;
+- FILLER_23_583 sky130_fd_sc_ls__decap_4 + PLACED ( 285600 89910 ) N ;
+- FILLER_23_587 sky130_fd_sc_ls__fill_1 + PLACED ( 287520 89910 ) N ;
 - FILLER_23_591 sky130_fd_sc_ls__decap_4 + PLACED ( 289440 89910 ) N ;
 - FILLER_23_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 89910 ) N ;
 - FILLER_24_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 93240 ) FS ;
@@ -4501,8 +4513,7 @@
 - FILLER_24_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 93240 ) FS ;
 - FILLER_24_45 sky130_fd_sc_ls__decap_8 + PLACED ( 27360 93240 ) FS ;
 - FILLER_24_53 sky130_fd_sc_ls__decap_8 + PLACED ( 31200 93240 ) FS ;
-- FILLER_24_61 sky130_fd_sc_ls__decap_4 + PLACED ( 35040 93240 ) FS ;
-- FILLER_24_65 sky130_fd_sc_ls__fill_1 + PLACED ( 36960 93240 ) FS ;
+- FILLER_24_61 sky130_fd_sc_ls__decap_8 + PLACED ( 35040 93240 ) FS ;
 - FILLER_24_69 sky130_fd_sc_ls__decap_8 + PLACED ( 38880 93240 ) FS ;
 - FILLER_24_77 sky130_fd_sc_ls__decap_4 + PLACED ( 42720 93240 ) FS ;
 - FILLER_24_81 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44640 93240 ) FS ;
@@ -4511,9 +4522,9 @@
 - FILLER_24_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 93240 ) FS ;
 - FILLER_24_108 sky130_fd_sc_ls__decap_8 + PLACED ( 57600 93240 ) FS ;
 - FILLER_24_116 sky130_fd_sc_ls__decap_8 + PLACED ( 61440 93240 ) FS ;
-- FILLER_24_124 sky130_fd_sc_ls__decap_8 + PLACED ( 65280 93240 ) FS ;
-- FILLER_24_132 sky130_fd_sc_ls__decap_4 + PLACED ( 69120 93240 ) FS ;
-- FILLER_24_136 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 71040 93240 ) FS ;
+- FILLER_24_124 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 65280 93240 ) FS ;
+- FILLER_24_126 sky130_fd_sc_ls__fill_1 + PLACED ( 66240 93240 ) FS ;
+- FILLER_24_130 sky130_fd_sc_ls__decap_8 + PLACED ( 68160 93240 ) FS ;
 - FILLER_24_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 93240 ) FS ;
 - FILLER_24_147 sky130_fd_sc_ls__decap_8 + PLACED ( 76320 93240 ) FS ;
 - FILLER_24_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 93240 ) FS ;
@@ -4559,9 +4570,9 @@
 - FILLER_24_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 93240 ) FS ;
 - FILLER_24_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 93240 ) FS ;
 - FILLER_24_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 93240 ) FS ;
-- FILLER_24_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 93240 ) FS ;
-- FILLER_24_465 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 228960 93240 ) FS ;
-- FILLER_24_467 sky130_fd_sc_ls__fill_1 + PLACED ( 229920 93240 ) FS ;
+- FILLER_24_454 sky130_fd_sc_ls__decap_8 + PLACED ( 223680 93240 ) FS ;
+- FILLER_24_462 sky130_fd_sc_ls__decap_4 + PLACED ( 227520 93240 ) FS ;
+- FILLER_24_466 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 229440 93240 ) FS ;
 - FILLER_24_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 93240 ) FS ;
 - FILLER_24_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 93240 ) FS ;
 - FILLER_24_485 sky130_fd_sc_ls__decap_8 + PLACED ( 238560 93240 ) FS ;
@@ -4581,38 +4592,36 @@
 - FILLER_24_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 93240 ) FS ;
 - FILLER_24_587 sky130_fd_sc_ls__decap_8 + PLACED ( 287520 93240 ) FS ;
 - FILLER_24_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 93240 ) FS ;
-- FILLER_25_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 96570 ) N ;
-- FILLER_25_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 96570 ) N ;
-- FILLER_25_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 96570 ) N ;
-- FILLER_25_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 96570 ) N ;
-- FILLER_25_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 96570 ) N ;
-- FILLER_25_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 96570 ) N ;
-- FILLER_25_52 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 30720 96570 ) N ;
-- FILLER_25_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 96570 ) N ;
+- FILLER_25_9 sky130_fd_sc_ls__decap_8 + PLACED ( 10080 96570 ) N ;
+- FILLER_25_17 sky130_fd_sc_ls__decap_8 + PLACED ( 13920 96570 ) N ;
+- FILLER_25_25 sky130_fd_sc_ls__decap_8 + PLACED ( 17760 96570 ) N ;
+- FILLER_25_33 sky130_fd_sc_ls__decap_8 + PLACED ( 21600 96570 ) N ;
+- FILLER_25_41 sky130_fd_sc_ls__decap_8 + PLACED ( 25440 96570 ) N ;
+- FILLER_25_49 sky130_fd_sc_ls__decap_4 + PLACED ( 29280 96570 ) N ;
+- FILLER_25_53 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 31200 96570 ) N ;
 - FILLER_25_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 96570 ) N ;
 - FILLER_25_64 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 36480 96570 ) N ;
-- FILLER_25_80 sky130_fd_sc_ls__decap_8 + PLACED ( 44160 96570 ) N ;
-- FILLER_25_88 sky130_fd_sc_ls__decap_8 + PLACED ( 48000 96570 ) N ;
-- FILLER_25_96 sky130_fd_sc_ls__decap_8 + PLACED ( 51840 96570 ) N ;
-- FILLER_25_104 sky130_fd_sc_ls__decap_4 + PLACED ( 55680 96570 ) N ;
-- FILLER_25_108 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 57600 96570 ) N ;
-- FILLER_25_111 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 59040 96570 ) N ;
-- FILLER_25_118 sky130_fd_sc_ls__decap_8 + PLACED ( 62400 96570 ) N ;
-- FILLER_25_126 sky130_fd_sc_ls__decap_8 + PLACED ( 66240 96570 ) N ;
-- FILLER_25_134 sky130_fd_sc_ls__decap_8 + PLACED ( 70080 96570 ) N ;
-- FILLER_25_142 sky130_fd_sc_ls__decap_8 + PLACED ( 73920 96570 ) N ;
-- FILLER_25_150 sky130_fd_sc_ls__decap_8 + PLACED ( 77760 96570 ) N ;
-- FILLER_25_158 sky130_fd_sc_ls__decap_4 + PLACED ( 81600 96570 ) N ;
-- FILLER_25_162 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 83520 96570 ) N ;
-- FILLER_25_164 sky130_fd_sc_ls__fill_1 + PLACED ( 84480 96570 ) N ;
+- FILLER_25_83 sky130_fd_sc_ls__decap_4 + PLACED ( 45600 96570 ) N ;
+- FILLER_25_90 sky130_fd_sc_ls__decap_8 + PLACED ( 48960 96570 ) N ;
+- FILLER_25_98 sky130_fd_sc_ls__decap_8 + PLACED ( 52800 96570 ) N ;
+- FILLER_25_106 sky130_fd_sc_ls__decap_4 + PLACED ( 56640 96570 ) N ;
+- FILLER_25_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 96570 ) N ;
+- FILLER_25_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 96570 ) N ;
+- FILLER_25_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 96570 ) N ;
+- FILLER_25_135 sky130_fd_sc_ls__decap_8 + PLACED ( 70560 96570 ) N ;
+- FILLER_25_143 sky130_fd_sc_ls__decap_8 + PLACED ( 74400 96570 ) N ;
+- FILLER_25_151 sky130_fd_sc_ls__decap_8 + PLACED ( 78240 96570 ) N ;
+- FILLER_25_159 sky130_fd_sc_ls__decap_4 + PLACED ( 82080 96570 ) N ;
+- FILLER_25_163 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 84000 96570 ) N ;
 - FILLER_25_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 96570 ) N ;
 - FILLER_25_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 96570 ) N ;
-- FILLER_25_182 sky130_fd_sc_ls__fill_1 + PLACED ( 93120 96570 ) N ;
-- FILLER_25_186 sky130_fd_sc_ls__decap_8 + PLACED ( 95040 96570 ) N ;
-- FILLER_25_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 96570 ) N ;
-- FILLER_25_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 96570 ) N ;
-- FILLER_25_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 96570 ) N ;
-- FILLER_25_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 96570 ) N ;
+- FILLER_25_182 sky130_fd_sc_ls__decap_4 + PLACED ( 93120 96570 ) N ;
+- FILLER_25_186 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 95040 96570 ) N ;
+- FILLER_25_191 sky130_fd_sc_ls__decap_8 + PLACED ( 97440 96570 ) N ;
+- FILLER_25_199 sky130_fd_sc_ls__decap_8 + PLACED ( 101280 96570 ) N ;
+- FILLER_25_207 sky130_fd_sc_ls__decap_8 + PLACED ( 105120 96570 ) N ;
+- FILLER_25_215 sky130_fd_sc_ls__decap_4 + PLACED ( 108960 96570 ) N ;
+- FILLER_25_219 sky130_fd_sc_ls__fill_1 + PLACED ( 110880 96570 ) N ;
 - FILLER_25_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 96570 ) N ;
 - FILLER_25_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 96570 ) N ;
 - FILLER_25_237 sky130_fd_sc_ls__decap_8 + PLACED ( 119520 96570 ) N ;
@@ -4624,12 +4633,11 @@
 - FILLER_25_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 96570 ) N ;
 - FILLER_25_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 96570 ) N ;
 - FILLER_25_292 sky130_fd_sc_ls__decap_8 + PLACED ( 145920 96570 ) N ;
-- FILLER_25_300 sky130_fd_sc_ls__decap_4 + PLACED ( 149760 96570 ) N ;
-- FILLER_25_307 sky130_fd_sc_ls__decap_8 + PLACED ( 153120 96570 ) N ;
-- FILLER_25_315 sky130_fd_sc_ls__decap_8 + PLACED ( 156960 96570 ) N ;
-- FILLER_25_323 sky130_fd_sc_ls__decap_4 + PLACED ( 160800 96570 ) N ;
-- FILLER_25_327 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 162720 96570 ) N ;
-- FILLER_25_329 sky130_fd_sc_ls__fill_1 + PLACED ( 163680 96570 ) N ;
+- FILLER_25_300 sky130_fd_sc_ls__decap_8 + PLACED ( 149760 96570 ) N ;
+- FILLER_25_308 sky130_fd_sc_ls__decap_8 + PLACED ( 153600 96570 ) N ;
+- FILLER_25_316 sky130_fd_sc_ls__decap_8 + PLACED ( 157440 96570 ) N ;
+- FILLER_25_324 sky130_fd_sc_ls__decap_4 + PLACED ( 161280 96570 ) N ;
+- FILLER_25_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 96570 ) N ;
 - FILLER_25_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 96570 ) N ;
 - FILLER_25_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 96570 ) N ;
 - FILLER_25_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 96570 ) N ;
@@ -4673,22 +4681,20 @@
 - FILLER_26_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 99900 ) FS ;
 - FILLER_26_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 99900 ) FS ;
 - FILLER_26_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 99900 ) FS ;
-- FILLER_26_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 99900 ) FS ;
-- FILLER_26_45 sky130_fd_sc_ls__decap_8 + PLACED ( 27360 99900 ) FS ;
-- FILLER_26_53 sky130_fd_sc_ls__decap_8 + PLACED ( 31200 99900 ) FS ;
-- FILLER_26_61 sky130_fd_sc_ls__decap_8 + PLACED ( 35040 99900 ) FS ;
-- FILLER_26_69 sky130_fd_sc_ls__decap_8 + PLACED ( 38880 99900 ) FS ;
-- FILLER_26_77 sky130_fd_sc_ls__decap_4 + PLACED ( 42720 99900 ) FS ;
-- FILLER_26_81 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44640 99900 ) FS ;
-- FILLER_26_84 sky130_fd_sc_ls__decap_4 + PLACED ( 46080 99900 ) FS ;
-- FILLER_26_91 sky130_fd_sc_ls__decap_8 + PLACED ( 49440 99900 ) FS ;
-- FILLER_26_99 sky130_fd_sc_ls__decap_8 + PLACED ( 53280 99900 ) FS ;
-- FILLER_26_107 sky130_fd_sc_ls__decap_8 + PLACED ( 57120 99900 ) FS ;
-- FILLER_26_115 sky130_fd_sc_ls__decap_8 + PLACED ( 60960 99900 ) FS ;
-- FILLER_26_123 sky130_fd_sc_ls__decap_8 + PLACED ( 64800 99900 ) FS ;
-- FILLER_26_131 sky130_fd_sc_ls__decap_4 + PLACED ( 68640 99900 ) FS ;
-- FILLER_26_135 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 70560 99900 ) FS ;
-- FILLER_26_137 sky130_fd_sc_ls__fill_1 + PLACED ( 71520 99900 ) FS ;
+- FILLER_26_37 sky130_fd_sc_ls__decap_4 + PLACED ( 23520 99900 ) FS ;
+- FILLER_26_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 99900 ) FS ;
+- FILLER_26_52 sky130_fd_sc_ls__decap_8 + PLACED ( 30720 99900 ) FS ;
+- FILLER_26_60 sky130_fd_sc_ls__decap_8 + PLACED ( 34560 99900 ) FS ;
+- FILLER_26_68 sky130_fd_sc_ls__decap_8 + PLACED ( 38400 99900 ) FS ;
+- FILLER_26_79 sky130_fd_sc_ls__decap_4 + PLACED ( 43680 99900 ) FS ;
+- FILLER_26_84 sky130_fd_sc_ls__decap_8 + PLACED ( 46080 99900 ) FS ;
+- FILLER_26_92 sky130_fd_sc_ls__decap_8 + PLACED ( 49920 99900 ) FS ;
+- FILLER_26_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 99900 ) FS ;
+- FILLER_26_108 sky130_fd_sc_ls__decap_8 + PLACED ( 57600 99900 ) FS ;
+- FILLER_26_116 sky130_fd_sc_ls__decap_8 + PLACED ( 61440 99900 ) FS ;
+- FILLER_26_124 sky130_fd_sc_ls__decap_8 + PLACED ( 65280 99900 ) FS ;
+- FILLER_26_132 sky130_fd_sc_ls__decap_4 + PLACED ( 69120 99900 ) FS ;
+- FILLER_26_136 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 71040 99900 ) FS ;
 - FILLER_26_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 99900 ) FS ;
 - FILLER_26_147 sky130_fd_sc_ls__decap_8 + PLACED ( 76320 99900 ) FS ;
 - FILLER_26_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 99900 ) FS ;
@@ -4699,12 +4705,11 @@
 - FILLER_26_191 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 97440 99900 ) FS ;
 - FILLER_26_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 99900 ) FS ;
 - FILLER_26_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 99900 ) FS ;
-- FILLER_26_210 sky130_fd_sc_ls__decap_4 + PLACED ( 106560 99900 ) FS ;
-- FILLER_26_214 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 108480 99900 ) FS ;
-- FILLER_26_216 sky130_fd_sc_ls__fill_1 + PLACED ( 109440 99900 ) FS ;
-- FILLER_26_222 sky130_fd_sc_ls__decap_8 + PLACED ( 112320 99900 ) FS ;
-- FILLER_26_230 sky130_fd_sc_ls__decap_8 + PLACED ( 116160 99900 ) FS ;
-- FILLER_26_238 sky130_fd_sc_ls__decap_8 + PLACED ( 120000 99900 ) FS ;
+- FILLER_26_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 99900 ) FS ;
+- FILLER_26_218 sky130_fd_sc_ls__decap_8 + PLACED ( 110400 99900 ) FS ;
+- FILLER_26_226 sky130_fd_sc_ls__decap_8 + PLACED ( 114240 99900 ) FS ;
+- FILLER_26_234 sky130_fd_sc_ls__decap_8 + PLACED ( 118080 99900 ) FS ;
+- FILLER_26_242 sky130_fd_sc_ls__decap_4 + PLACED ( 121920 99900 ) FS ;
 - FILLER_26_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 99900 ) FS ;
 - FILLER_26_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 99900 ) FS ;
 - FILLER_26_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 99900 ) FS ;
@@ -4718,7 +4723,8 @@
 - FILLER_26_312 sky130_fd_sc_ls__decap_8 + PLACED ( 155520 99900 ) FS ;
 - FILLER_26_320 sky130_fd_sc_ls__decap_8 + PLACED ( 159360 99900 ) FS ;
 - FILLER_26_328 sky130_fd_sc_ls__decap_8 + PLACED ( 163200 99900 ) FS ;
-- FILLER_26_336 sky130_fd_sc_ls__decap_8 + PLACED ( 167040 99900 ) FS ;
+- FILLER_26_336 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 167040 99900 ) FS ;
+- FILLER_26_338 sky130_fd_sc_ls__fill_1 + PLACED ( 168000 99900 ) FS ;
 - FILLER_26_344 sky130_fd_sc_ls__decap_8 + PLACED ( 170880 99900 ) FS ;
 - FILLER_26_352 sky130_fd_sc_ls__decap_4 + PLACED ( 174720 99900 ) FS ;
 - FILLER_26_356 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176640 99900 ) FS ;
@@ -4727,25 +4733,25 @@
 - FILLER_26_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 99900 ) FS ;
 - FILLER_26_383 sky130_fd_sc_ls__decap_8 + PLACED ( 189600 99900 ) FS ;
 - FILLER_26_391 sky130_fd_sc_ls__decap_8 + PLACED ( 193440 99900 ) FS ;
-- FILLER_26_399 sky130_fd_sc_ls__decap_8 + PLACED ( 197280 99900 ) FS ;
-- FILLER_26_407 sky130_fd_sc_ls__decap_4 + PLACED ( 201120 99900 ) FS ;
-- FILLER_26_411 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 203040 99900 ) FS ;
+- FILLER_26_399 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 197280 99900 ) FS ;
+- FILLER_26_404 sky130_fd_sc_ls__decap_8 + PLACED ( 199680 99900 ) FS ;
+- FILLER_26_412 sky130_fd_sc_ls__fill_1 + PLACED ( 203520 99900 ) FS ;
 - FILLER_26_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 99900 ) FS ;
 - FILLER_26_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 99900 ) FS ;
 - FILLER_26_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 99900 ) FS ;
 - FILLER_26_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 99900 ) FS ;
 - FILLER_26_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 99900 ) FS ;
-- FILLER_26_454 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 223680 99900 ) FS ;
-- FILLER_26_459 sky130_fd_sc_ls__decap_8 + PLACED ( 226080 99900 ) FS ;
-- FILLER_26_467 sky130_fd_sc_ls__fill_1 + PLACED ( 229920 99900 ) FS ;
+- FILLER_26_454 sky130_fd_sc_ls__decap_8 + PLACED ( 223680 99900 ) FS ;
+- FILLER_26_462 sky130_fd_sc_ls__decap_4 + PLACED ( 227520 99900 ) FS ;
+- FILLER_26_466 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 229440 99900 ) FS ;
 - FILLER_26_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 99900 ) FS ;
 - FILLER_26_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 99900 ) FS ;
 - FILLER_26_485 sky130_fd_sc_ls__decap_8 + PLACED ( 238560 99900 ) FS ;
 - FILLER_26_493 sky130_fd_sc_ls__decap_8 + PLACED ( 242400 99900 ) FS ;
-- FILLER_26_501 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 246240 99900 ) FS ;
-- FILLER_26_506 sky130_fd_sc_ls__decap_8 + PLACED ( 248640 99900 ) FS ;
-- FILLER_26_514 sky130_fd_sc_ls__decap_8 + PLACED ( 252480 99900 ) FS ;
-- FILLER_26_522 sky130_fd_sc_ls__fill_1 + PLACED ( 256320 99900 ) FS ;
+- FILLER_26_501 sky130_fd_sc_ls__decap_8 + PLACED ( 246240 99900 ) FS ;
+- FILLER_26_509 sky130_fd_sc_ls__decap_8 + PLACED ( 250080 99900 ) FS ;
+- FILLER_26_517 sky130_fd_sc_ls__decap_4 + PLACED ( 253920 99900 ) FS ;
+- FILLER_26_521 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255840 99900 ) FS ;
 - FILLER_26_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 99900 ) FS ;
 - FILLER_26_532 sky130_fd_sc_ls__decap_8 + PLACED ( 261120 99900 ) FS ;
 - FILLER_26_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 99900 ) FS ;
@@ -4767,11 +4773,10 @@
 - FILLER_27_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 103230 ) N ;
 - FILLER_27_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 103230 ) N ;
 - FILLER_27_64 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 36480 103230 ) N ;
-- FILLER_27_80 sky130_fd_sc_ls__decap_8 + PLACED ( 44160 103230 ) N ;
-- FILLER_27_88 sky130_fd_sc_ls__decap_8 + PLACED ( 48000 103230 ) N ;
-- FILLER_27_96 sky130_fd_sc_ls__decap_8 + PLACED ( 51840 103230 ) N ;
-- FILLER_27_104 sky130_fd_sc_ls__decap_4 + PLACED ( 55680 103230 ) N ;
-- FILLER_27_108 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 57600 103230 ) N ;
+- FILLER_27_86 sky130_fd_sc_ls__decap_8 + PLACED ( 47040 103230 ) N ;
+- FILLER_27_94 sky130_fd_sc_ls__decap_8 + PLACED ( 50880 103230 ) N ;
+- FILLER_27_102 sky130_fd_sc_ls__fill_1 + PLACED ( 54720 103230 ) N ;
+- FILLER_27_106 sky130_fd_sc_ls__decap_4 + PLACED ( 56640 103230 ) N ;
 - FILLER_27_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 103230 ) N ;
 - FILLER_27_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 103230 ) N ;
 - FILLER_27_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 103230 ) N ;
@@ -4790,12 +4795,11 @@
 - FILLER_27_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 103230 ) N ;
 - FILLER_27_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 103230 ) N ;
 - FILLER_27_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 103230 ) N ;
-- FILLER_27_237 sky130_fd_sc_ls__decap_8 + PLACED ( 119520 103230 ) N ;
-- FILLER_27_245 sky130_fd_sc_ls__decap_8 + PLACED ( 123360 103230 ) N ;
-- FILLER_27_253 sky130_fd_sc_ls__decap_8 + PLACED ( 127200 103230 ) N ;
-- FILLER_27_261 sky130_fd_sc_ls__decap_8 + PLACED ( 131040 103230 ) N ;
-- FILLER_27_269 sky130_fd_sc_ls__decap_4 + PLACED ( 134880 103230 ) N ;
-- FILLER_27_273 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136800 103230 ) N ;
+- FILLER_27_237 sky130_fd_sc_ls__fill_1 + PLACED ( 119520 103230 ) N ;
+- FILLER_27_243 sky130_fd_sc_ls__decap_8 + PLACED ( 122400 103230 ) N ;
+- FILLER_27_251 sky130_fd_sc_ls__decap_8 + PLACED ( 126240 103230 ) N ;
+- FILLER_27_259 sky130_fd_sc_ls__decap_8 + PLACED ( 130080 103230 ) N ;
+- FILLER_27_267 sky130_fd_sc_ls__decap_8 + PLACED ( 133920 103230 ) N ;
 - FILLER_27_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 103230 ) N ;
 - FILLER_27_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 103230 ) N ;
 - FILLER_27_292 sky130_fd_sc_ls__decap_8 + PLACED ( 145920 103230 ) N ;
@@ -4804,15 +4808,14 @@
 - FILLER_27_316 sky130_fd_sc_ls__decap_8 + PLACED ( 157440 103230 ) N ;
 - FILLER_27_324 sky130_fd_sc_ls__decap_4 + PLACED ( 161280 103230 ) N ;
 - FILLER_27_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 103230 ) N ;
-- FILLER_27_331 sky130_fd_sc_ls__decap_4 + PLACED ( 164640 103230 ) N ;
-- FILLER_27_338 sky130_fd_sc_ls__decap_8 + PLACED ( 168000 103230 ) N ;
-- FILLER_27_346 sky130_fd_sc_ls__decap_8 + PLACED ( 171840 103230 ) N ;
-- FILLER_27_354 sky130_fd_sc_ls__decap_8 + PLACED ( 175680 103230 ) N ;
-- FILLER_27_362 sky130_fd_sc_ls__decap_8 + PLACED ( 179520 103230 ) N ;
-- FILLER_27_370 sky130_fd_sc_ls__decap_8 + PLACED ( 183360 103230 ) N ;
-- FILLER_27_378 sky130_fd_sc_ls__decap_4 + PLACED ( 187200 103230 ) N ;
-- FILLER_27_382 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189120 103230 ) N ;
-- FILLER_27_384 sky130_fd_sc_ls__fill_1 + PLACED ( 190080 103230 ) N ;
+- FILLER_27_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 103230 ) N ;
+- FILLER_27_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 103230 ) N ;
+- FILLER_27_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 103230 ) N ;
+- FILLER_27_355 sky130_fd_sc_ls__decap_8 + PLACED ( 176160 103230 ) N ;
+- FILLER_27_363 sky130_fd_sc_ls__decap_8 + PLACED ( 180000 103230 ) N ;
+- FILLER_27_371 sky130_fd_sc_ls__decap_8 + PLACED ( 183840 103230 ) N ;
+- FILLER_27_379 sky130_fd_sc_ls__decap_4 + PLACED ( 187680 103230 ) N ;
+- FILLER_27_383 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189600 103230 ) N ;
 - FILLER_27_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 103230 ) N ;
 - FILLER_27_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 103230 ) N ;
 - FILLER_27_402 sky130_fd_sc_ls__decap_8 + PLACED ( 198720 103230 ) N ;
@@ -4831,12 +4834,12 @@
 - FILLER_27_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 103230 ) N ;
 - FILLER_27_496 sky130_fd_sc_ls__decap_8 + PLACED ( 243840 103230 ) N ;
 - FILLER_27_504 sky130_fd_sc_ls__decap_8 + PLACED ( 247680 103230 ) N ;
-- FILLER_27_512 sky130_fd_sc_ls__decap_4 + PLACED ( 251520 103230 ) N ;
-- FILLER_27_521 sky130_fd_sc_ls__decap_8 + PLACED ( 255840 103230 ) N ;
-- FILLER_27_529 sky130_fd_sc_ls__decap_8 + PLACED ( 259680 103230 ) N ;
-- FILLER_27_537 sky130_fd_sc_ls__decap_8 + PLACED ( 263520 103230 ) N ;
-- FILLER_27_545 sky130_fd_sc_ls__decap_4 + PLACED ( 267360 103230 ) N ;
-- FILLER_27_549 sky130_fd_sc_ls__fill_1 + PLACED ( 269280 103230 ) N ;
+- FILLER_27_512 sky130_fd_sc_ls__decap_8 + PLACED ( 251520 103230 ) N ;
+- FILLER_27_520 sky130_fd_sc_ls__decap_8 + PLACED ( 255360 103230 ) N ;
+- FILLER_27_528 sky130_fd_sc_ls__decap_8 + PLACED ( 259200 103230 ) N ;
+- FILLER_27_536 sky130_fd_sc_ls__decap_8 + PLACED ( 263040 103230 ) N ;
+- FILLER_27_544 sky130_fd_sc_ls__decap_4 + PLACED ( 266880 103230 ) N ;
+- FILLER_27_548 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268800 103230 ) N ;
 - FILLER_27_551 sky130_fd_sc_ls__decap_8 + PLACED ( 270240 103230 ) N ;
 - FILLER_27_559 sky130_fd_sc_ls__decap_8 + PLACED ( 274080 103230 ) N ;
 - FILLER_27_567 sky130_fd_sc_ls__decap_8 + PLACED ( 277920 103230 ) N ;
@@ -4889,11 +4892,11 @@
 - FILLER_28_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 106560 ) FS ;
 - FILLER_28_304 sky130_fd_sc_ls__decap_8 + PLACED ( 151680 106560 ) FS ;
 - FILLER_28_312 sky130_fd_sc_ls__decap_8 + PLACED ( 155520 106560 ) FS ;
-- FILLER_28_320 sky130_fd_sc_ls__decap_8 + PLACED ( 159360 106560 ) FS ;
-- FILLER_28_328 sky130_fd_sc_ls__decap_8 + PLACED ( 163200 106560 ) FS ;
-- FILLER_28_336 sky130_fd_sc_ls__decap_8 + PLACED ( 167040 106560 ) FS ;
-- FILLER_28_344 sky130_fd_sc_ls__decap_8 + PLACED ( 170880 106560 ) FS ;
-- FILLER_28_352 sky130_fd_sc_ls__decap_4 + PLACED ( 174720 106560 ) FS ;
+- FILLER_28_320 sky130_fd_sc_ls__fill_1 + PLACED ( 159360 106560 ) FS ;
+- FILLER_28_324 sky130_fd_sc_ls__decap_8 + PLACED ( 161280 106560 ) FS ;
+- FILLER_28_332 sky130_fd_sc_ls__decap_8 + PLACED ( 165120 106560 ) FS ;
+- FILLER_28_340 sky130_fd_sc_ls__decap_8 + PLACED ( 168960 106560 ) FS ;
+- FILLER_28_348 sky130_fd_sc_ls__decap_8 + PLACED ( 172800 106560 ) FS ;
 - FILLER_28_356 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176640 106560 ) FS ;
 - FILLER_28_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 106560 ) FS ;
 - FILLER_28_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 106560 ) FS ;
@@ -4939,17 +4942,18 @@
 - FILLER_29_52 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 30720 109890 ) N ;
 - FILLER_29_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 109890 ) N ;
 - FILLER_29_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 109890 ) N ;
-- FILLER_29_64 sky130_fd_sc_ls__decap_4 + PLACED ( 36480 109890 ) N ;
-- FILLER_29_89 sky130_fd_sc_ls__decap_8 + PLACED ( 48480 109890 ) N ;
-- FILLER_29_97 sky130_fd_sc_ls__decap_8 + PLACED ( 52320 109890 ) N ;
+- FILLER_29_64 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 36480 109890 ) N ;
+- FILLER_29_77 sky130_fd_sc_ls__decap_8 + PLACED ( 42720 109890 ) N ;
+- FILLER_29_85 sky130_fd_sc_ls__decap_8 + PLACED ( 46560 109890 ) N ;
+- FILLER_29_93 sky130_fd_sc_ls__decap_8 + PLACED ( 50400 109890 ) N ;
+- FILLER_29_101 sky130_fd_sc_ls__fill_1 + PLACED ( 54240 109890 ) N ;
 - FILLER_29_105 sky130_fd_sc_ls__decap_4 + PLACED ( 56160 109890 ) N ;
 - FILLER_29_109 sky130_fd_sc_ls__fill_1 + PLACED ( 58080 109890 ) N ;
 - FILLER_29_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 109890 ) N ;
 - FILLER_29_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 109890 ) N ;
 - FILLER_29_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 109890 ) N ;
 - FILLER_29_135 sky130_fd_sc_ls__decap_8 + PLACED ( 70560 109890 ) N ;
-- FILLER_29_143 sky130_fd_sc_ls__decap_4 + PLACED ( 74400 109890 ) N ;
-- FILLER_29_147 sky130_fd_sc_ls__fill_1 + PLACED ( 76320 109890 ) N ;
+- FILLER_29_143 sky130_fd_sc_ls__decap_8 + PLACED ( 74400 109890 ) N ;
 - FILLER_29_151 sky130_fd_sc_ls__decap_8 + PLACED ( 78240 109890 ) N ;
 - FILLER_29_159 sky130_fd_sc_ls__decap_4 + PLACED ( 82080 109890 ) N ;
 - FILLER_29_163 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 84000 109890 ) N ;
@@ -4958,17 +4962,19 @@
 - FILLER_29_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 109890 ) N ;
 - FILLER_29_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 109890 ) N ;
 - FILLER_29_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 109890 ) N ;
-- FILLER_29_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 109890 ) N ;
-- FILLER_29_214 sky130_fd_sc_ls__decap_4 + PLACED ( 108480 109890 ) N ;
-- FILLER_29_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 109890 ) N ;
-- FILLER_29_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 109890 ) N ;
-- FILLER_29_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 109890 ) N ;
-- FILLER_29_237 sky130_fd_sc_ls__decap_8 + PLACED ( 119520 109890 ) N ;
-- FILLER_29_245 sky130_fd_sc_ls__decap_8 + PLACED ( 123360 109890 ) N ;
-- FILLER_29_253 sky130_fd_sc_ls__decap_8 + PLACED ( 127200 109890 ) N ;
-- FILLER_29_261 sky130_fd_sc_ls__decap_4 + PLACED ( 131040 109890 ) N ;
-- FILLER_29_265 sky130_fd_sc_ls__fill_1 + PLACED ( 132960 109890 ) N ;
-- FILLER_29_271 sky130_fd_sc_ls__decap_4 + PLACED ( 135840 109890 ) N ;
+- FILLER_29_206 sky130_fd_sc_ls__decap_4 + PLACED ( 104640 109890 ) N ;
+- FILLER_29_210 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 106560 109890 ) N ;
+- FILLER_29_215 sky130_fd_sc_ls__decap_4 + PLACED ( 108960 109890 ) N ;
+- FILLER_29_219 sky130_fd_sc_ls__fill_1 + PLACED ( 110880 109890 ) N ;
+- FILLER_29_221 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 111840 109890 ) N ;
+- FILLER_29_228 sky130_fd_sc_ls__decap_8 + PLACED ( 115200 109890 ) N ;
+- FILLER_29_236 sky130_fd_sc_ls__decap_8 + PLACED ( 119040 109890 ) N ;
+- FILLER_29_244 sky130_fd_sc_ls__decap_8 + PLACED ( 122880 109890 ) N ;
+- FILLER_29_252 sky130_fd_sc_ls__decap_8 + PLACED ( 126720 109890 ) N ;
+- FILLER_29_260 sky130_fd_sc_ls__decap_8 + PLACED ( 130560 109890 ) N ;
+- FILLER_29_268 sky130_fd_sc_ls__decap_4 + PLACED ( 134400 109890 ) N ;
+- FILLER_29_272 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136320 109890 ) N ;
+- FILLER_29_274 sky130_fd_sc_ls__fill_1 + PLACED ( 137280 109890 ) N ;
 - FILLER_29_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 109890 ) N ;
 - FILLER_29_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 109890 ) N ;
 - FILLER_29_292 sky130_fd_sc_ls__decap_8 + PLACED ( 145920 109890 ) N ;
@@ -4977,30 +4983,31 @@
 - FILLER_29_316 sky130_fd_sc_ls__decap_8 + PLACED ( 157440 109890 ) N ;
 - FILLER_29_324 sky130_fd_sc_ls__decap_4 + PLACED ( 161280 109890 ) N ;
 - FILLER_29_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 109890 ) N ;
-- FILLER_29_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 109890 ) N ;
-- FILLER_29_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 109890 ) N ;
-- FILLER_29_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 109890 ) N ;
-- FILLER_29_355 sky130_fd_sc_ls__decap_8 + PLACED ( 176160 109890 ) N ;
-- FILLER_29_363 sky130_fd_sc_ls__decap_8 + PLACED ( 180000 109890 ) N ;
-- FILLER_29_371 sky130_fd_sc_ls__decap_8 + PLACED ( 183840 109890 ) N ;
-- FILLER_29_379 sky130_fd_sc_ls__decap_4 + PLACED ( 187680 109890 ) N ;
-- FILLER_29_383 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189600 109890 ) N ;
+- FILLER_29_331 sky130_fd_sc_ls__decap_4 + PLACED ( 164640 109890 ) N ;
+- FILLER_29_338 sky130_fd_sc_ls__decap_8 + PLACED ( 168000 109890 ) N ;
+- FILLER_29_346 sky130_fd_sc_ls__decap_8 + PLACED ( 171840 109890 ) N ;
+- FILLER_29_354 sky130_fd_sc_ls__decap_8 + PLACED ( 175680 109890 ) N ;
+- FILLER_29_362 sky130_fd_sc_ls__decap_8 + PLACED ( 179520 109890 ) N ;
+- FILLER_29_370 sky130_fd_sc_ls__decap_8 + PLACED ( 183360 109890 ) N ;
+- FILLER_29_378 sky130_fd_sc_ls__decap_4 + PLACED ( 187200 109890 ) N ;
+- FILLER_29_382 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189120 109890 ) N ;
+- FILLER_29_384 sky130_fd_sc_ls__fill_1 + PLACED ( 190080 109890 ) N ;
 - FILLER_29_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 109890 ) N ;
 - FILLER_29_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 109890 ) N ;
 - FILLER_29_402 sky130_fd_sc_ls__decap_8 + PLACED ( 198720 109890 ) N ;
-- FILLER_29_410 sky130_fd_sc_ls__decap_8 + PLACED ( 202560 109890 ) N ;
-- FILLER_29_418 sky130_fd_sc_ls__decap_8 + PLACED ( 206400 109890 ) N ;
-- FILLER_29_426 sky130_fd_sc_ls__decap_8 + PLACED ( 210240 109890 ) N ;
-- FILLER_29_434 sky130_fd_sc_ls__decap_4 + PLACED ( 214080 109890 ) N ;
-- FILLER_29_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 109890 ) N ;
+- FILLER_29_415 sky130_fd_sc_ls__decap_8 + PLACED ( 204960 109890 ) N ;
+- FILLER_29_423 sky130_fd_sc_ls__decap_8 + PLACED ( 208800 109890 ) N ;
+- FILLER_29_431 sky130_fd_sc_ls__decap_8 + PLACED ( 212640 109890 ) N ;
+- FILLER_29_439 sky130_fd_sc_ls__fill_1 + PLACED ( 216480 109890 ) N ;
 - FILLER_29_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 109890 ) N ;
 - FILLER_29_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 109890 ) N ;
 - FILLER_29_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 109890 ) N ;
 - FILLER_29_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 109890 ) N ;
-- FILLER_29_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 109890 ) N ;
-- FILLER_29_481 sky130_fd_sc_ls__decap_8 + PLACED ( 236640 109890 ) N ;
-- FILLER_29_489 sky130_fd_sc_ls__decap_4 + PLACED ( 240480 109890 ) N ;
-- FILLER_29_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 109890 ) N ;
+- FILLER_29_473 sky130_fd_sc_ls__decap_4 + PLACED ( 232800 109890 ) N ;
+- FILLER_29_477 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 234720 109890 ) N ;
+- FILLER_29_484 sky130_fd_sc_ls__decap_8 + PLACED ( 238080 109890 ) N ;
+- FILLER_29_492 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 241920 109890 ) N ;
+- FILLER_29_494 sky130_fd_sc_ls__fill_1 + PLACED ( 242880 109890 ) N ;
 - FILLER_29_496 sky130_fd_sc_ls__decap_8 + PLACED ( 243840 109890 ) N ;
 - FILLER_29_504 sky130_fd_sc_ls__decap_8 + PLACED ( 247680 109890 ) N ;
 - FILLER_29_512 sky130_fd_sc_ls__decap_8 + PLACED ( 251520 109890 ) N ;
@@ -5032,17 +5039,17 @@
 - FILLER_30_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 113220 ) FS ;
 - FILLER_30_108 sky130_fd_sc_ls__decap_8 + PLACED ( 57600 113220 ) FS ;
 - FILLER_30_116 sky130_fd_sc_ls__decap_8 + PLACED ( 61440 113220 ) FS ;
-- FILLER_30_124 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 65280 113220 ) FS ;
-- FILLER_30_126 sky130_fd_sc_ls__fill_1 + PLACED ( 66240 113220 ) FS ;
-- FILLER_30_130 sky130_fd_sc_ls__decap_8 + PLACED ( 68160 113220 ) FS ;
+- FILLER_30_124 sky130_fd_sc_ls__decap_8 + PLACED ( 65280 113220 ) FS ;
+- FILLER_30_132 sky130_fd_sc_ls__decap_4 + PLACED ( 69120 113220 ) FS ;
+- FILLER_30_136 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 71040 113220 ) FS ;
 - FILLER_30_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 113220 ) FS ;
 - FILLER_30_147 sky130_fd_sc_ls__decap_8 + PLACED ( 76320 113220 ) FS ;
 - FILLER_30_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 113220 ) FS ;
 - FILLER_30_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 113220 ) FS ;
-- FILLER_30_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 113220 ) FS ;
-- FILLER_30_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 113220 ) FS ;
-- FILLER_30_190 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 96960 113220 ) FS ;
-- FILLER_30_192 sky130_fd_sc_ls__fill_1 + PLACED ( 97920 113220 ) FS ;
+- FILLER_30_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 113220 ) FS ;
+- FILLER_30_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 113220 ) FS ;
+- FILLER_30_187 sky130_fd_sc_ls__decap_4 + PLACED ( 95520 113220 ) FS ;
+- FILLER_30_191 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 97440 113220 ) FS ;
 - FILLER_30_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 113220 ) FS ;
 - FILLER_30_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 113220 ) FS ;
 - FILLER_30_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 113220 ) FS ;
@@ -5053,39 +5060,37 @@
 - FILLER_30_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 113220 ) FS ;
 - FILLER_30_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 113220 ) FS ;
 - FILLER_30_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 113220 ) FS ;
-- FILLER_30_265 sky130_fd_sc_ls__fill_1 + PLACED ( 132960 113220 ) FS ;
-- FILLER_30_269 sky130_fd_sc_ls__decap_8 + PLACED ( 134880 113220 ) FS ;
-- FILLER_30_277 sky130_fd_sc_ls__decap_8 + PLACED ( 138720 113220 ) FS ;
-- FILLER_30_285 sky130_fd_sc_ls__decap_8 + PLACED ( 142560 113220 ) FS ;
-- FILLER_30_293 sky130_fd_sc_ls__decap_8 + PLACED ( 146400 113220 ) FS ;
+- FILLER_30_265 sky130_fd_sc_ls__decap_8 + PLACED ( 132960 113220 ) FS ;
+- FILLER_30_273 sky130_fd_sc_ls__decap_8 + PLACED ( 136800 113220 ) FS ;
+- FILLER_30_281 sky130_fd_sc_ls__decap_8 + PLACED ( 140640 113220 ) FS ;
+- FILLER_30_289 sky130_fd_sc_ls__decap_8 + PLACED ( 144480 113220 ) FS ;
+- FILLER_30_297 sky130_fd_sc_ls__decap_4 + PLACED ( 148320 113220 ) FS ;
 - FILLER_30_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 113220 ) FS ;
-- FILLER_30_304 sky130_fd_sc_ls__decap_4 + PLACED ( 151680 113220 ) FS ;
-- FILLER_30_311 sky130_fd_sc_ls__decap_8 + PLACED ( 155040 113220 ) FS ;
-- FILLER_30_319 sky130_fd_sc_ls__decap_8 + PLACED ( 158880 113220 ) FS ;
-- FILLER_30_327 sky130_fd_sc_ls__decap_8 + PLACED ( 162720 113220 ) FS ;
-- FILLER_30_335 sky130_fd_sc_ls__decap_8 + PLACED ( 166560 113220 ) FS ;
-- FILLER_30_343 sky130_fd_sc_ls__decap_8 + PLACED ( 170400 113220 ) FS ;
-- FILLER_30_351 sky130_fd_sc_ls__decap_4 + PLACED ( 174240 113220 ) FS ;
-- FILLER_30_355 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176160 113220 ) FS ;
+- FILLER_30_304 sky130_fd_sc_ls__decap_8 + PLACED ( 151680 113220 ) FS ;
+- FILLER_30_312 sky130_fd_sc_ls__decap_8 + PLACED ( 155520 113220 ) FS ;
+- FILLER_30_320 sky130_fd_sc_ls__decap_8 + PLACED ( 159360 113220 ) FS ;
+- FILLER_30_328 sky130_fd_sc_ls__decap_4 + PLACED ( 163200 113220 ) FS ;
+- FILLER_30_332 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 165120 113220 ) FS ;
+- FILLER_30_337 sky130_fd_sc_ls__decap_8 + PLACED ( 167520 113220 ) FS ;
+- FILLER_30_345 sky130_fd_sc_ls__decap_8 + PLACED ( 171360 113220 ) FS ;
+- FILLER_30_353 sky130_fd_sc_ls__decap_4 + PLACED ( 175200 113220 ) FS ;
 - FILLER_30_357 sky130_fd_sc_ls__fill_1 + PLACED ( 177120 113220 ) FS ;
 - FILLER_30_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 113220 ) FS ;
 - FILLER_30_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 113220 ) FS ;
 - FILLER_30_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 113220 ) FS ;
-- FILLER_30_383 sky130_fd_sc_ls__decap_4 + PLACED ( 189600 113220 ) FS ;
-- FILLER_30_387 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 191520 113220 ) FS ;
-- FILLER_30_389 sky130_fd_sc_ls__fill_1 + PLACED ( 192480 113220 ) FS ;
-- FILLER_30_393 sky130_fd_sc_ls__decap_8 + PLACED ( 194400 113220 ) FS ;
-- FILLER_30_401 sky130_fd_sc_ls__decap_8 + PLACED ( 198240 113220 ) FS ;
-- FILLER_30_409 sky130_fd_sc_ls__decap_4 + PLACED ( 202080 113220 ) FS ;
-- FILLER_30_414 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 204480 113220 ) FS ;
-- FILLER_30_421 sky130_fd_sc_ls__decap_8 + PLACED ( 207840 113220 ) FS ;
-- FILLER_30_429 sky130_fd_sc_ls__decap_8 + PLACED ( 211680 113220 ) FS ;
-- FILLER_30_437 sky130_fd_sc_ls__decap_8 + PLACED ( 215520 113220 ) FS ;
-- FILLER_30_445 sky130_fd_sc_ls__decap_8 + PLACED ( 219360 113220 ) FS ;
-- FILLER_30_453 sky130_fd_sc_ls__decap_8 + PLACED ( 223200 113220 ) FS ;
-- FILLER_30_461 sky130_fd_sc_ls__decap_4 + PLACED ( 227040 113220 ) FS ;
-- FILLER_30_465 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 228960 113220 ) FS ;
-- FILLER_30_467 sky130_fd_sc_ls__fill_1 + PLACED ( 229920 113220 ) FS ;
+- FILLER_30_383 sky130_fd_sc_ls__decap_8 + PLACED ( 189600 113220 ) FS ;
+- FILLER_30_391 sky130_fd_sc_ls__decap_8 + PLACED ( 193440 113220 ) FS ;
+- FILLER_30_399 sky130_fd_sc_ls__decap_8 + PLACED ( 197280 113220 ) FS ;
+- FILLER_30_407 sky130_fd_sc_ls__decap_4 + PLACED ( 201120 113220 ) FS ;
+- FILLER_30_411 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 203040 113220 ) FS ;
+- FILLER_30_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 113220 ) FS ;
+- FILLER_30_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 113220 ) FS ;
+- FILLER_30_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 113220 ) FS ;
+- FILLER_30_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 113220 ) FS ;
+- FILLER_30_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 113220 ) FS ;
+- FILLER_30_454 sky130_fd_sc_ls__decap_8 + PLACED ( 223680 113220 ) FS ;
+- FILLER_30_462 sky130_fd_sc_ls__decap_4 + PLACED ( 227520 113220 ) FS ;
+- FILLER_30_466 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 229440 113220 ) FS ;
 - FILLER_30_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 113220 ) FS ;
 - FILLER_30_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 113220 ) FS ;
 - FILLER_30_485 sky130_fd_sc_ls__decap_8 + PLACED ( 238560 113220 ) FS ;
@@ -5096,7 +5101,8 @@
 - FILLER_30_521 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255840 113220 ) FS ;
 - FILLER_30_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 113220 ) FS ;
 - FILLER_30_532 sky130_fd_sc_ls__decap_8 + PLACED ( 261120 113220 ) FS ;
-- FILLER_30_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 113220 ) FS ;
+- FILLER_30_540 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 264960 113220 ) FS ;
+- FILLER_30_542 sky130_fd_sc_ls__fill_1 + PLACED ( 265920 113220 ) FS ;
 - FILLER_30_548 sky130_fd_sc_ls__decap_8 + PLACED ( 268800 113220 ) FS ;
 - FILLER_30_556 sky130_fd_sc_ls__decap_8 + PLACED ( 272640 113220 ) FS ;
 - FILLER_30_564 sky130_fd_sc_ls__decap_8 + PLACED ( 276480 113220 ) FS ;
@@ -5114,8 +5120,7 @@
 - FILLER_31_52 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 30720 116550 ) N ;
 - FILLER_31_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 116550 ) N ;
 - FILLER_31_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 116550 ) N ;
-- FILLER_31_64 sky130_fd_sc_ls__decap_8 + PLACED ( 36480 116550 ) N ;
-- FILLER_31_72 sky130_fd_sc_ls__decap_8 + PLACED ( 40320 116550 ) N ;
+- FILLER_31_64 sky130_fd_sc_ls__decap_4 + PLACED ( 36480 116550 ) N ;
 - FILLER_31_80 sky130_fd_sc_ls__decap_8 + PLACED ( 44160 116550 ) N ;
 - FILLER_31_88 sky130_fd_sc_ls__decap_8 + PLACED ( 48000 116550 ) N ;
 - FILLER_31_96 sky130_fd_sc_ls__decap_8 + PLACED ( 51840 116550 ) N ;
@@ -5170,21 +5175,23 @@
 - FILLER_31_434 sky130_fd_sc_ls__decap_4 + PLACED ( 214080 116550 ) N ;
 - FILLER_31_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 116550 ) N ;
 - FILLER_31_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 116550 ) N ;
-- FILLER_31_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 116550 ) N ;
-- FILLER_31_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 116550 ) N ;
-- FILLER_31_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 116550 ) N ;
-- FILLER_31_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 116550 ) N ;
-- FILLER_31_481 sky130_fd_sc_ls__decap_8 + PLACED ( 236640 116550 ) N ;
-- FILLER_31_489 sky130_fd_sc_ls__decap_4 + PLACED ( 240480 116550 ) N ;
-- FILLER_31_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 116550 ) N ;
-- FILLER_31_496 sky130_fd_sc_ls__decap_8 + PLACED ( 243840 116550 ) N ;
-- FILLER_31_504 sky130_fd_sc_ls__decap_8 + PLACED ( 247680 116550 ) N ;
-- FILLER_31_512 sky130_fd_sc_ls__decap_8 + PLACED ( 251520 116550 ) N ;
-- FILLER_31_520 sky130_fd_sc_ls__decap_8 + PLACED ( 255360 116550 ) N ;
-- FILLER_31_528 sky130_fd_sc_ls__decap_8 + PLACED ( 259200 116550 ) N ;
-- FILLER_31_536 sky130_fd_sc_ls__decap_8 + PLACED ( 263040 116550 ) N ;
-- FILLER_31_544 sky130_fd_sc_ls__decap_4 + PLACED ( 266880 116550 ) N ;
-- FILLER_31_548 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268800 116550 ) N ;
+- FILLER_31_449 sky130_fd_sc_ls__decap_4 + PLACED ( 221280 116550 ) N ;
+- FILLER_31_453 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 223200 116550 ) N ;
+- FILLER_31_458 sky130_fd_sc_ls__decap_8 + PLACED ( 225600 116550 ) N ;
+- FILLER_31_466 sky130_fd_sc_ls__decap_8 + PLACED ( 229440 116550 ) N ;
+- FILLER_31_474 sky130_fd_sc_ls__decap_8 + PLACED ( 233280 116550 ) N ;
+- FILLER_31_482 sky130_fd_sc_ls__decap_8 + PLACED ( 237120 116550 ) N ;
+- FILLER_31_490 sky130_fd_sc_ls__decap_4 + PLACED ( 240960 116550 ) N ;
+- FILLER_31_494 sky130_fd_sc_ls__fill_1 + PLACED ( 242880 116550 ) N ;
+- FILLER_31_496 sky130_fd_sc_ls__decap_4 + PLACED ( 243840 116550 ) N ;
+- FILLER_31_503 sky130_fd_sc_ls__decap_8 + PLACED ( 247200 116550 ) N ;
+- FILLER_31_511 sky130_fd_sc_ls__decap_8 + PLACED ( 251040 116550 ) N ;
+- FILLER_31_519 sky130_fd_sc_ls__decap_8 + PLACED ( 254880 116550 ) N ;
+- FILLER_31_527 sky130_fd_sc_ls__decap_8 + PLACED ( 258720 116550 ) N ;
+- FILLER_31_535 sky130_fd_sc_ls__decap_8 + PLACED ( 262560 116550 ) N ;
+- FILLER_31_543 sky130_fd_sc_ls__decap_4 + PLACED ( 266400 116550 ) N ;
+- FILLER_31_547 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268320 116550 ) N ;
+- FILLER_31_549 sky130_fd_sc_ls__fill_1 + PLACED ( 269280 116550 ) N ;
 - FILLER_31_551 sky130_fd_sc_ls__decap_8 + PLACED ( 270240 116550 ) N ;
 - FILLER_31_559 sky130_fd_sc_ls__decap_8 + PLACED ( 274080 116550 ) N ;
 - FILLER_31_567 sky130_fd_sc_ls__decap_8 + PLACED ( 277920 116550 ) N ;
@@ -5195,13 +5202,12 @@
 - FILLER_32_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 119880 ) FS ;
 - FILLER_32_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 119880 ) FS ;
 - FILLER_32_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 119880 ) FS ;
-- FILLER_32_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 119880 ) FS ;
-- FILLER_32_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 119880 ) FS ;
-- FILLER_32_45 sky130_fd_sc_ls__decap_8 + PLACED ( 27360 119880 ) FS ;
-- FILLER_32_53 sky130_fd_sc_ls__decap_8 + PLACED ( 31200 119880 ) FS ;
-- FILLER_32_61 sky130_fd_sc_ls__decap_8 + PLACED ( 35040 119880 ) FS ;
-- FILLER_32_69 sky130_fd_sc_ls__decap_8 + PLACED ( 38880 119880 ) FS ;
-- FILLER_32_77 sky130_fd_sc_ls__decap_4 + PLACED ( 42720 119880 ) FS ;
+- FILLER_32_29 sky130_fd_sc_ls__decap_4 + PLACED ( 19680 119880 ) FS ;
+- FILLER_32_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 119880 ) FS ;
+- FILLER_32_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 119880 ) FS ;
+- FILLER_32_52 sky130_fd_sc_ls__decap_8 + PLACED ( 30720 119880 ) FS ;
+- FILLER_32_65 sky130_fd_sc_ls__decap_8 + PLACED ( 36960 119880 ) FS ;
+- FILLER_32_73 sky130_fd_sc_ls__decap_8 + PLACED ( 40800 119880 ) FS ;
 - FILLER_32_81 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44640 119880 ) FS ;
 - FILLER_32_84 sky130_fd_sc_ls__decap_8 + PLACED ( 46080 119880 ) FS ;
 - FILLER_32_92 sky130_fd_sc_ls__decap_8 + PLACED ( 49920 119880 ) FS ;
@@ -5230,7 +5236,8 @@
 - FILLER_32_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 119880 ) FS ;
 - FILLER_32_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 119880 ) FS ;
 - FILLER_32_265 sky130_fd_sc_ls__decap_8 + PLACED ( 132960 119880 ) FS ;
-- FILLER_32_273 sky130_fd_sc_ls__decap_8 + PLACED ( 136800 119880 ) FS ;
+- FILLER_32_273 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136800 119880 ) FS ;
+- FILLER_32_275 sky130_fd_sc_ls__fill_1 + PLACED ( 137760 119880 ) FS ;
 - FILLER_32_281 sky130_fd_sc_ls__decap_8 + PLACED ( 140640 119880 ) FS ;
 - FILLER_32_289 sky130_fd_sc_ls__decap_8 + PLACED ( 144480 119880 ) FS ;
 - FILLER_32_297 sky130_fd_sc_ls__decap_4 + PLACED ( 148320 119880 ) FS ;
@@ -5251,14 +5258,14 @@
 - FILLER_32_399 sky130_fd_sc_ls__decap_8 + PLACED ( 197280 119880 ) FS ;
 - FILLER_32_407 sky130_fd_sc_ls__decap_4 + PLACED ( 201120 119880 ) FS ;
 - FILLER_32_411 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 203040 119880 ) FS ;
-- FILLER_32_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 119880 ) FS ;
-- FILLER_32_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 119880 ) FS ;
-- FILLER_32_430 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 212160 119880 ) FS ;
-- FILLER_32_437 sky130_fd_sc_ls__decap_8 + PLACED ( 215520 119880 ) FS ;
-- FILLER_32_445 sky130_fd_sc_ls__decap_8 + PLACED ( 219360 119880 ) FS ;
-- FILLER_32_453 sky130_fd_sc_ls__decap_8 + PLACED ( 223200 119880 ) FS ;
-- FILLER_32_461 sky130_fd_sc_ls__decap_4 + PLACED ( 227040 119880 ) FS ;
-- FILLER_32_465 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 228960 119880 ) FS ;
+- FILLER_32_414 sky130_fd_sc_ls__decap_4 + PLACED ( 204480 119880 ) FS ;
+- FILLER_32_418 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 206400 119880 ) FS ;
+- FILLER_32_423 sky130_fd_sc_ls__decap_8 + PLACED ( 208800 119880 ) FS ;
+- FILLER_32_431 sky130_fd_sc_ls__decap_8 + PLACED ( 212640 119880 ) FS ;
+- FILLER_32_439 sky130_fd_sc_ls__decap_8 + PLACED ( 216480 119880 ) FS ;
+- FILLER_32_447 sky130_fd_sc_ls__decap_8 + PLACED ( 220320 119880 ) FS ;
+- FILLER_32_455 sky130_fd_sc_ls__decap_8 + PLACED ( 224160 119880 ) FS ;
+- FILLER_32_463 sky130_fd_sc_ls__decap_4 + PLACED ( 228000 119880 ) FS ;
 - FILLER_32_467 sky130_fd_sc_ls__fill_1 + PLACED ( 229920 119880 ) FS ;
 - FILLER_32_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 119880 ) FS ;
 - FILLER_32_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 119880 ) FS ;
@@ -5288,8 +5295,7 @@
 - FILLER_33_52 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 30720 123210 ) N ;
 - FILLER_33_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 123210 ) N ;
 - FILLER_33_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 123210 ) N ;
-- FILLER_33_64 sky130_fd_sc_ls__decap_8 + PLACED ( 36480 123210 ) N ;
-- FILLER_33_72 sky130_fd_sc_ls__decap_8 + PLACED ( 40320 123210 ) N ;
+- FILLER_33_64 sky130_fd_sc_ls__decap_4 + PLACED ( 36480 123210 ) N ;
 - FILLER_33_80 sky130_fd_sc_ls__decap_8 + PLACED ( 44160 123210 ) N ;
 - FILLER_33_88 sky130_fd_sc_ls__decap_8 + PLACED ( 48000 123210 ) N ;
 - FILLER_33_96 sky130_fd_sc_ls__decap_8 + PLACED ( 51840 123210 ) N ;
@@ -5306,20 +5312,19 @@
 - FILLER_33_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 123210 ) N ;
 - FILLER_33_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 123210 ) N ;
 - FILLER_33_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 123210 ) N ;
-- FILLER_33_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 123210 ) N ;
-- FILLER_33_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 123210 ) N ;
-- FILLER_33_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 123210 ) N ;
-- FILLER_33_214 sky130_fd_sc_ls__decap_4 + PLACED ( 108480 123210 ) N ;
-- FILLER_33_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 123210 ) N ;
+- FILLER_33_193 sky130_fd_sc_ls__decap_8 + PLACED ( 98400 123210 ) N ;
+- FILLER_33_201 sky130_fd_sc_ls__decap_8 + PLACED ( 102240 123210 ) N ;
+- FILLER_33_209 sky130_fd_sc_ls__decap_8 + PLACED ( 106080 123210 ) N ;
+- FILLER_33_217 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 109920 123210 ) N ;
+- FILLER_33_219 sky130_fd_sc_ls__fill_1 + PLACED ( 110880 123210 ) N ;
 - FILLER_33_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 123210 ) N ;
 - FILLER_33_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 123210 ) N ;
 - FILLER_33_237 sky130_fd_sc_ls__decap_8 + PLACED ( 119520 123210 ) N ;
-- FILLER_33_245 sky130_fd_sc_ls__decap_4 + PLACED ( 123360 123210 ) N ;
-- FILLER_33_249 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 125280 123210 ) N ;
-- FILLER_33_254 sky130_fd_sc_ls__decap_8 + PLACED ( 127680 123210 ) N ;
-- FILLER_33_262 sky130_fd_sc_ls__decap_8 + PLACED ( 131520 123210 ) N ;
-- FILLER_33_270 sky130_fd_sc_ls__decap_4 + PLACED ( 135360 123210 ) N ;
-- FILLER_33_274 sky130_fd_sc_ls__fill_1 + PLACED ( 137280 123210 ) N ;
+- FILLER_33_245 sky130_fd_sc_ls__decap_8 + PLACED ( 123360 123210 ) N ;
+- FILLER_33_253 sky130_fd_sc_ls__decap_8 + PLACED ( 127200 123210 ) N ;
+- FILLER_33_261 sky130_fd_sc_ls__decap_8 + PLACED ( 131040 123210 ) N ;
+- FILLER_33_269 sky130_fd_sc_ls__decap_4 + PLACED ( 134880 123210 ) N ;
+- FILLER_33_273 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136800 123210 ) N ;
 - FILLER_33_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 123210 ) N ;
 - FILLER_33_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 123210 ) N ;
 - FILLER_33_292 sky130_fd_sc_ls__decap_8 + PLACED ( 145920 123210 ) N ;
@@ -5348,25 +5353,25 @@
 - FILLER_33_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 123210 ) N ;
 - FILLER_33_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 123210 ) N ;
 - FILLER_33_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 123210 ) N ;
-- FILLER_33_473 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 232800 123210 ) N ;
-- FILLER_33_480 sky130_fd_sc_ls__decap_8 + PLACED ( 236160 123210 ) N ;
-- FILLER_33_488 sky130_fd_sc_ls__decap_4 + PLACED ( 240000 123210 ) N ;
-- FILLER_33_492 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 241920 123210 ) N ;
-- FILLER_33_494 sky130_fd_sc_ls__fill_1 + PLACED ( 242880 123210 ) N ;
+- FILLER_33_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 123210 ) N ;
+- FILLER_33_481 sky130_fd_sc_ls__decap_8 + PLACED ( 236640 123210 ) N ;
+- FILLER_33_489 sky130_fd_sc_ls__decap_4 + PLACED ( 240480 123210 ) N ;
+- FILLER_33_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 123210 ) N ;
 - FILLER_33_496 sky130_fd_sc_ls__decap_8 + PLACED ( 243840 123210 ) N ;
 - FILLER_33_504 sky130_fd_sc_ls__decap_8 + PLACED ( 247680 123210 ) N ;
-- FILLER_33_515 sky130_fd_sc_ls__decap_8 + PLACED ( 252960 123210 ) N ;
-- FILLER_33_523 sky130_fd_sc_ls__decap_8 + PLACED ( 256800 123210 ) N ;
-- FILLER_33_531 sky130_fd_sc_ls__decap_8 + PLACED ( 260640 123210 ) N ;
-- FILLER_33_539 sky130_fd_sc_ls__decap_8 + PLACED ( 264480 123210 ) N ;
-- FILLER_33_547 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268320 123210 ) N ;
-- FILLER_33_549 sky130_fd_sc_ls__fill_1 + PLACED ( 269280 123210 ) N ;
-- FILLER_33_551 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 270240 123210 ) N ;
-- FILLER_33_558 sky130_fd_sc_ls__decap_4 + PLACED ( 273600 123210 ) N ;
-- FILLER_33_565 sky130_fd_sc_ls__decap_8 + PLACED ( 276960 123210 ) N ;
-- FILLER_33_573 sky130_fd_sc_ls__decap_8 + PLACED ( 280800 123210 ) N ;
-- FILLER_33_581 sky130_fd_sc_ls__decap_8 + PLACED ( 284640 123210 ) N ;
-- FILLER_33_589 sky130_fd_sc_ls__decap_8 + PLACED ( 288480 123210 ) N ;
+- FILLER_33_512 sky130_fd_sc_ls__decap_8 + PLACED ( 251520 123210 ) N ;
+- FILLER_33_520 sky130_fd_sc_ls__decap_8 + PLACED ( 255360 123210 ) N ;
+- FILLER_33_528 sky130_fd_sc_ls__decap_8 + PLACED ( 259200 123210 ) N ;
+- FILLER_33_536 sky130_fd_sc_ls__decap_8 + PLACED ( 263040 123210 ) N ;
+- FILLER_33_544 sky130_fd_sc_ls__decap_4 + PLACED ( 266880 123210 ) N ;
+- FILLER_33_548 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268800 123210 ) N ;
+- FILLER_33_551 sky130_fd_sc_ls__decap_8 + PLACED ( 270240 123210 ) N ;
+- FILLER_33_559 sky130_fd_sc_ls__decap_8 + PLACED ( 274080 123210 ) N ;
+- FILLER_33_567 sky130_fd_sc_ls__decap_8 + PLACED ( 277920 123210 ) N ;
+- FILLER_33_575 sky130_fd_sc_ls__decap_8 + PLACED ( 281760 123210 ) N ;
+- FILLER_33_583 sky130_fd_sc_ls__decap_8 + PLACED ( 285600 123210 ) N ;
+- FILLER_33_591 sky130_fd_sc_ls__decap_4 + PLACED ( 289440 123210 ) N ;
+- FILLER_33_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 123210 ) N ;
 - FILLER_34_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 126540 ) FS ;
 - FILLER_34_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 126540 ) FS ;
 - FILLER_34_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 126540 ) FS ;
@@ -5429,19 +5434,19 @@
 - FILLER_34_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 126540 ) FS ;
 - FILLER_34_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 126540 ) FS ;
 - FILLER_34_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 126540 ) FS ;
-- FILLER_34_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 126540 ) FS ;
-- FILLER_34_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 126540 ) FS ;
-- FILLER_34_454 sky130_fd_sc_ls__decap_8 + PLACED ( 223680 126540 ) FS ;
-- FILLER_34_462 sky130_fd_sc_ls__decap_4 + PLACED ( 227520 126540 ) FS ;
-- FILLER_34_466 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 229440 126540 ) FS ;
+- FILLER_34_443 sky130_fd_sc_ls__decap_8 + PLACED ( 218400 126540 ) FS ;
+- FILLER_34_451 sky130_fd_sc_ls__decap_8 + PLACED ( 222240 126540 ) FS ;
+- FILLER_34_459 sky130_fd_sc_ls__decap_8 + PLACED ( 226080 126540 ) FS ;
+- FILLER_34_467 sky130_fd_sc_ls__fill_1 + PLACED ( 229920 126540 ) FS ;
 - FILLER_34_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 126540 ) FS ;
 - FILLER_34_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 126540 ) FS ;
 - FILLER_34_485 sky130_fd_sc_ls__decap_8 + PLACED ( 238560 126540 ) FS ;
 - FILLER_34_493 sky130_fd_sc_ls__decap_8 + PLACED ( 242400 126540 ) FS ;
 - FILLER_34_501 sky130_fd_sc_ls__decap_8 + PLACED ( 246240 126540 ) FS ;
-- FILLER_34_509 sky130_fd_sc_ls__decap_8 + PLACED ( 250080 126540 ) FS ;
-- FILLER_34_517 sky130_fd_sc_ls__decap_4 + PLACED ( 253920 126540 ) FS ;
-- FILLER_34_521 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255840 126540 ) FS ;
+- FILLER_34_509 sky130_fd_sc_ls__decap_4 + PLACED ( 250080 126540 ) FS ;
+- FILLER_34_513 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 252000 126540 ) FS ;
+- FILLER_34_515 sky130_fd_sc_ls__fill_1 + PLACED ( 252960 126540 ) FS ;
+- FILLER_34_519 sky130_fd_sc_ls__decap_4 + PLACED ( 254880 126540 ) FS ;
 - FILLER_34_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 126540 ) FS ;
 - FILLER_34_532 sky130_fd_sc_ls__decap_8 + PLACED ( 261120 126540 ) FS ;
 - FILLER_34_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 126540 ) FS ;
@@ -5450,10 +5455,9 @@
 - FILLER_34_564 sky130_fd_sc_ls__decap_8 + PLACED ( 276480 126540 ) FS ;
 - FILLER_34_572 sky130_fd_sc_ls__decap_4 + PLACED ( 280320 126540 ) FS ;
 - FILLER_34_576 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 282240 126540 ) FS ;
-- FILLER_34_579 sky130_fd_sc_ls__decap_4 + PLACED ( 283680 126540 ) FS ;
-- FILLER_34_586 sky130_fd_sc_ls__decap_8 + PLACED ( 287040 126540 ) FS ;
-- FILLER_34_594 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 290880 126540 ) FS ;
-- FILLER_34_596 sky130_fd_sc_ls__fill_1 + PLACED ( 291840 126540 ) FS ;
+- FILLER_34_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 126540 ) FS ;
+- FILLER_34_587 sky130_fd_sc_ls__decap_8 + PLACED ( 287520 126540 ) FS ;
+- FILLER_34_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 126540 ) FS ;
 - FILLER_35_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 129870 ) N ;
 - FILLER_35_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 129870 ) N ;
 - FILLER_35_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 129870 ) N ;
@@ -5463,8 +5467,7 @@
 - FILLER_35_52 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 30720 129870 ) N ;
 - FILLER_35_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 129870 ) N ;
 - FILLER_35_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 129870 ) N ;
-- FILLER_35_64 sky130_fd_sc_ls__decap_8 + PLACED ( 36480 129870 ) N ;
-- FILLER_35_72 sky130_fd_sc_ls__decap_8 + PLACED ( 40320 129870 ) N ;
+- FILLER_35_64 sky130_fd_sc_ls__decap_4 + PLACED ( 36480 129870 ) N ;
 - FILLER_35_80 sky130_fd_sc_ls__decap_8 + PLACED ( 44160 129870 ) N ;
 - FILLER_35_88 sky130_fd_sc_ls__decap_8 + PLACED ( 48000 129870 ) N ;
 - FILLER_35_96 sky130_fd_sc_ls__decap_8 + PLACED ( 51840 129870 ) N ;
@@ -5510,14 +5513,14 @@
 - FILLER_35_371 sky130_fd_sc_ls__decap_8 + PLACED ( 183840 129870 ) N ;
 - FILLER_35_379 sky130_fd_sc_ls__decap_4 + PLACED ( 187680 129870 ) N ;
 - FILLER_35_383 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189600 129870 ) N ;
-- FILLER_35_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 129870 ) N ;
-- FILLER_35_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 129870 ) N ;
-- FILLER_35_402 sky130_fd_sc_ls__decap_8 + PLACED ( 198720 129870 ) N ;
-- FILLER_35_410 sky130_fd_sc_ls__decap_8 + PLACED ( 202560 129870 ) N ;
-- FILLER_35_418 sky130_fd_sc_ls__decap_8 + PLACED ( 206400 129870 ) N ;
-- FILLER_35_426 sky130_fd_sc_ls__decap_8 + PLACED ( 210240 129870 ) N ;
-- FILLER_35_434 sky130_fd_sc_ls__decap_4 + PLACED ( 214080 129870 ) N ;
-- FILLER_35_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 129870 ) N ;
+- FILLER_35_386 sky130_fd_sc_ls__decap_4 + PLACED ( 191040 129870 ) N ;
+- FILLER_35_393 sky130_fd_sc_ls__decap_8 + PLACED ( 194400 129870 ) N ;
+- FILLER_35_401 sky130_fd_sc_ls__decap_8 + PLACED ( 198240 129870 ) N ;
+- FILLER_35_409 sky130_fd_sc_ls__decap_8 + PLACED ( 202080 129870 ) N ;
+- FILLER_35_417 sky130_fd_sc_ls__fill_1 + PLACED ( 205920 129870 ) N ;
+- FILLER_35_423 sky130_fd_sc_ls__decap_8 + PLACED ( 208800 129870 ) N ;
+- FILLER_35_431 sky130_fd_sc_ls__decap_8 + PLACED ( 212640 129870 ) N ;
+- FILLER_35_439 sky130_fd_sc_ls__fill_1 + PLACED ( 216480 129870 ) N ;
 - FILLER_35_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 129870 ) N ;
 - FILLER_35_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 129870 ) N ;
 - FILLER_35_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 129870 ) N ;
@@ -5560,16 +5563,14 @@
 - FILLER_36_124 sky130_fd_sc_ls__decap_8 + PLACED ( 65280 133200 ) FS ;
 - FILLER_36_132 sky130_fd_sc_ls__decap_4 + PLACED ( 69120 133200 ) FS ;
 - FILLER_36_136 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 71040 133200 ) FS ;
-- FILLER_36_139 sky130_fd_sc_ls__decap_4 + PLACED ( 72480 133200 ) FS ;
-- FILLER_36_146 sky130_fd_sc_ls__decap_8 + PLACED ( 75840 133200 ) FS ;
-- FILLER_36_154 sky130_fd_sc_ls__decap_4 + PLACED ( 79680 133200 ) FS ;
-- FILLER_36_158 sky130_fd_sc_ls__fill_1 + PLACED ( 81600 133200 ) FS ;
-- FILLER_36_162 sky130_fd_sc_ls__decap_8 + PLACED ( 83520 133200 ) FS ;
-- FILLER_36_170 sky130_fd_sc_ls__decap_8 + PLACED ( 87360 133200 ) FS ;
-- FILLER_36_178 sky130_fd_sc_ls__decap_4 + PLACED ( 91200 133200 ) FS ;
-- FILLER_36_182 sky130_fd_sc_ls__fill_1 + PLACED ( 93120 133200 ) FS ;
-- FILLER_36_188 sky130_fd_sc_ls__decap_4 + PLACED ( 96000 133200 ) FS ;
-- FILLER_36_192 sky130_fd_sc_ls__fill_1 + PLACED ( 97920 133200 ) FS ;
+- FILLER_36_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 133200 ) FS ;
+- FILLER_36_147 sky130_fd_sc_ls__decap_8 + PLACED ( 76320 133200 ) FS ;
+- FILLER_36_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 133200 ) FS ;
+- FILLER_36_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 133200 ) FS ;
+- FILLER_36_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 133200 ) FS ;
+- FILLER_36_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 133200 ) FS ;
+- FILLER_36_187 sky130_fd_sc_ls__decap_4 + PLACED ( 95520 133200 ) FS ;
+- FILLER_36_191 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 97440 133200 ) FS ;
 - FILLER_36_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 133200 ) FS ;
 - FILLER_36_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 133200 ) FS ;
 - FILLER_36_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 133200 ) FS ;
@@ -5598,10 +5599,11 @@
 - FILLER_36_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 133200 ) FS ;
 - FILLER_36_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 133200 ) FS ;
 - FILLER_36_383 sky130_fd_sc_ls__decap_8 + PLACED ( 189600 133200 ) FS ;
-- FILLER_36_391 sky130_fd_sc_ls__decap_8 + PLACED ( 193440 133200 ) FS ;
-- FILLER_36_399 sky130_fd_sc_ls__decap_8 + PLACED ( 197280 133200 ) FS ;
-- FILLER_36_407 sky130_fd_sc_ls__decap_4 + PLACED ( 201120 133200 ) FS ;
-- FILLER_36_411 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 203040 133200 ) FS ;
+- FILLER_36_391 sky130_fd_sc_ls__decap_4 + PLACED ( 193440 133200 ) FS ;
+- FILLER_36_398 sky130_fd_sc_ls__decap_8 + PLACED ( 196800 133200 ) FS ;
+- FILLER_36_406 sky130_fd_sc_ls__decap_4 + PLACED ( 200640 133200 ) FS ;
+- FILLER_36_410 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 202560 133200 ) FS ;
+- FILLER_36_412 sky130_fd_sc_ls__fill_1 + PLACED ( 203520 133200 ) FS ;
 - FILLER_36_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 133200 ) FS ;
 - FILLER_36_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 133200 ) FS ;
 - FILLER_36_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 133200 ) FS ;
@@ -5638,13 +5640,11 @@
 - FILLER_37_52 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 30720 136530 ) N ;
 - FILLER_37_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 136530 ) N ;
 - FILLER_37_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 136530 ) N ;
-- FILLER_37_64 sky130_fd_sc_ls__decap_8 + PLACED ( 36480 136530 ) N ;
-- FILLER_37_72 sky130_fd_sc_ls__decap_8 + PLACED ( 40320 136530 ) N ;
-- FILLER_37_80 sky130_fd_sc_ls__decap_8 + PLACED ( 44160 136530 ) N ;
-- FILLER_37_88 sky130_fd_sc_ls__decap_8 + PLACED ( 48000 136530 ) N ;
-- FILLER_37_96 sky130_fd_sc_ls__decap_8 + PLACED ( 51840 136530 ) N ;
-- FILLER_37_104 sky130_fd_sc_ls__decap_4 + PLACED ( 55680 136530 ) N ;
-- FILLER_37_108 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 57600 136530 ) N ;
+- FILLER_37_64 sky130_fd_sc_ls__decap_4 + PLACED ( 36480 136530 ) N ;
+- FILLER_37_89 sky130_fd_sc_ls__decap_8 + PLACED ( 48480 136530 ) N ;
+- FILLER_37_97 sky130_fd_sc_ls__decap_8 + PLACED ( 52320 136530 ) N ;
+- FILLER_37_105 sky130_fd_sc_ls__decap_4 + PLACED ( 56160 136530 ) N ;
+- FILLER_37_109 sky130_fd_sc_ls__fill_1 + PLACED ( 58080 136530 ) N ;
 - FILLER_37_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 136530 ) N ;
 - FILLER_37_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 136530 ) N ;
 - FILLER_37_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 136530 ) N ;
@@ -5654,31 +5654,29 @@
 - FILLER_37_159 sky130_fd_sc_ls__decap_4 + PLACED ( 82080 136530 ) N ;
 - FILLER_37_163 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 84000 136530 ) N ;
 - FILLER_37_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 136530 ) N ;
-- FILLER_37_174 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 89280 136530 ) N ;
-- FILLER_37_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 136530 ) N ;
-- FILLER_37_187 sky130_fd_sc_ls__decap_8 + PLACED ( 95520 136530 ) N ;
-- FILLER_37_195 sky130_fd_sc_ls__decap_8 + PLACED ( 99360 136530 ) N ;
-- FILLER_37_203 sky130_fd_sc_ls__decap_8 + PLACED ( 103200 136530 ) N ;
-- FILLER_37_211 sky130_fd_sc_ls__decap_8 + PLACED ( 107040 136530 ) N ;
-- FILLER_37_219 sky130_fd_sc_ls__fill_1 + PLACED ( 110880 136530 ) N ;
+- FILLER_37_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 136530 ) N ;
+- FILLER_37_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 136530 ) N ;
+- FILLER_37_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 136530 ) N ;
+- FILLER_37_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 136530 ) N ;
+- FILLER_37_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 136530 ) N ;
+- FILLER_37_214 sky130_fd_sc_ls__decap_4 + PLACED ( 108480 136530 ) N ;
+- FILLER_37_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 136530 ) N ;
 - FILLER_37_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 136530 ) N ;
 - FILLER_37_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 136530 ) N ;
-- FILLER_37_237 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 119520 136530 ) N ;
-- FILLER_37_244 sky130_fd_sc_ls__decap_8 + PLACED ( 122880 136530 ) N ;
-- FILLER_37_252 sky130_fd_sc_ls__decap_8 + PLACED ( 126720 136530 ) N ;
-- FILLER_37_260 sky130_fd_sc_ls__decap_8 + PLACED ( 130560 136530 ) N ;
-- FILLER_37_268 sky130_fd_sc_ls__decap_4 + PLACED ( 134400 136530 ) N ;
-- FILLER_37_272 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136320 136530 ) N ;
-- FILLER_37_274 sky130_fd_sc_ls__fill_1 + PLACED ( 137280 136530 ) N ;
-- FILLER_37_276 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 138240 136530 ) N ;
-- FILLER_37_283 sky130_fd_sc_ls__decap_8 + PLACED ( 141600 136530 ) N ;
-- FILLER_37_291 sky130_fd_sc_ls__decap_8 + PLACED ( 145440 136530 ) N ;
-- FILLER_37_299 sky130_fd_sc_ls__decap_8 + PLACED ( 149280 136530 ) N ;
-- FILLER_37_307 sky130_fd_sc_ls__decap_8 + PLACED ( 153120 136530 ) N ;
-- FILLER_37_315 sky130_fd_sc_ls__decap_8 + PLACED ( 156960 136530 ) N ;
-- FILLER_37_323 sky130_fd_sc_ls__decap_4 + PLACED ( 160800 136530 ) N ;
-- FILLER_37_327 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 162720 136530 ) N ;
-- FILLER_37_329 sky130_fd_sc_ls__fill_1 + PLACED ( 163680 136530 ) N ;
+- FILLER_37_237 sky130_fd_sc_ls__decap_8 + PLACED ( 119520 136530 ) N ;
+- FILLER_37_245 sky130_fd_sc_ls__decap_8 + PLACED ( 123360 136530 ) N ;
+- FILLER_37_253 sky130_fd_sc_ls__decap_8 + PLACED ( 127200 136530 ) N ;
+- FILLER_37_261 sky130_fd_sc_ls__decap_8 + PLACED ( 131040 136530 ) N ;
+- FILLER_37_269 sky130_fd_sc_ls__decap_4 + PLACED ( 134880 136530 ) N ;
+- FILLER_37_273 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136800 136530 ) N ;
+- FILLER_37_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 136530 ) N ;
+- FILLER_37_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 136530 ) N ;
+- FILLER_37_292 sky130_fd_sc_ls__decap_8 + PLACED ( 145920 136530 ) N ;
+- FILLER_37_300 sky130_fd_sc_ls__decap_8 + PLACED ( 149760 136530 ) N ;
+- FILLER_37_308 sky130_fd_sc_ls__decap_8 + PLACED ( 153600 136530 ) N ;
+- FILLER_37_316 sky130_fd_sc_ls__decap_8 + PLACED ( 157440 136530 ) N ;
+- FILLER_37_324 sky130_fd_sc_ls__decap_4 + PLACED ( 161280 136530 ) N ;
+- FILLER_37_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 136530 ) N ;
 - FILLER_37_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 136530 ) N ;
 - FILLER_37_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 136530 ) N ;
 - FILLER_37_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 136530 ) N ;
@@ -5696,13 +5694,14 @@
 - FILLER_37_434 sky130_fd_sc_ls__decap_4 + PLACED ( 214080 136530 ) N ;
 - FILLER_37_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 136530 ) N ;
 - FILLER_37_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 136530 ) N ;
-- FILLER_37_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 136530 ) N ;
-- FILLER_37_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 136530 ) N ;
-- FILLER_37_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 136530 ) N ;
-- FILLER_37_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 136530 ) N ;
-- FILLER_37_481 sky130_fd_sc_ls__decap_8 + PLACED ( 236640 136530 ) N ;
-- FILLER_37_489 sky130_fd_sc_ls__decap_4 + PLACED ( 240480 136530 ) N ;
-- FILLER_37_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 136530 ) N ;
+- FILLER_37_449 sky130_fd_sc_ls__fill_1 + PLACED ( 221280 136530 ) N ;
+- FILLER_37_453 sky130_fd_sc_ls__decap_8 + PLACED ( 223200 136530 ) N ;
+- FILLER_37_461 sky130_fd_sc_ls__decap_8 + PLACED ( 227040 136530 ) N ;
+- FILLER_37_469 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 230880 136530 ) N ;
+- FILLER_37_476 sky130_fd_sc_ls__decap_8 + PLACED ( 234240 136530 ) N ;
+- FILLER_37_484 sky130_fd_sc_ls__decap_8 + PLACED ( 238080 136530 ) N ;
+- FILLER_37_492 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 241920 136530 ) N ;
+- FILLER_37_494 sky130_fd_sc_ls__fill_1 + PLACED ( 242880 136530 ) N ;
 - FILLER_37_496 sky130_fd_sc_ls__decap_8 + PLACED ( 243840 136530 ) N ;
 - FILLER_37_504 sky130_fd_sc_ls__decap_8 + PLACED ( 247680 136530 ) N ;
 - FILLER_37_512 sky130_fd_sc_ls__decap_8 + PLACED ( 251520 136530 ) N ;
@@ -5713,9 +5712,8 @@
 - FILLER_37_548 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268800 136530 ) N ;
 - FILLER_37_551 sky130_fd_sc_ls__decap_8 + PLACED ( 270240 136530 ) N ;
 - FILLER_37_559 sky130_fd_sc_ls__decap_8 + PLACED ( 274080 136530 ) N ;
-- FILLER_37_567 sky130_fd_sc_ls__decap_4 + PLACED ( 277920 136530 ) N ;
-- FILLER_37_571 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 279840 136530 ) N ;
-- FILLER_37_576 sky130_fd_sc_ls__decap_4 + PLACED ( 282240 136530 ) N ;
+- FILLER_37_567 sky130_fd_sc_ls__decap_8 + PLACED ( 277920 136530 ) N ;
+- FILLER_37_575 sky130_fd_sc_ls__decap_8 + PLACED ( 281760 136530 ) N ;
 - FILLER_37_583 sky130_fd_sc_ls__decap_8 + PLACED ( 285600 136530 ) N ;
 - FILLER_37_591 sky130_fd_sc_ls__decap_4 + PLACED ( 289440 136530 ) N ;
 - FILLER_37_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 136530 ) N ;
@@ -5724,7 +5722,8 @@
 - FILLER_38_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 139860 ) FS ;
 - FILLER_38_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 139860 ) FS ;
 - FILLER_38_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 139860 ) FS ;
-- FILLER_38_45 sky130_fd_sc_ls__decap_8 + PLACED ( 27360 139860 ) FS ;
+- FILLER_38_45 sky130_fd_sc_ls__decap_4 + PLACED ( 27360 139860 ) FS ;
+- FILLER_38_49 sky130_fd_sc_ls__fill_1 + PLACED ( 29280 139860 ) FS ;
 - FILLER_38_53 sky130_fd_sc_ls__decap_8 + PLACED ( 31200 139860 ) FS ;
 - FILLER_38_61 sky130_fd_sc_ls__decap_8 + PLACED ( 35040 139860 ) FS ;
 - FILLER_38_69 sky130_fd_sc_ls__decap_8 + PLACED ( 38880 139860 ) FS ;
@@ -5732,21 +5731,21 @@
 - FILLER_38_81 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44640 139860 ) FS ;
 - FILLER_38_84 sky130_fd_sc_ls__decap_8 + PLACED ( 46080 139860 ) FS ;
 - FILLER_38_92 sky130_fd_sc_ls__decap_8 + PLACED ( 49920 139860 ) FS ;
-- FILLER_38_100 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 53760 139860 ) FS ;
-- FILLER_38_107 sky130_fd_sc_ls__decap_8 + PLACED ( 57120 139860 ) FS ;
-- FILLER_38_115 sky130_fd_sc_ls__decap_8 + PLACED ( 60960 139860 ) FS ;
-- FILLER_38_123 sky130_fd_sc_ls__decap_8 + PLACED ( 64800 139860 ) FS ;
-- FILLER_38_131 sky130_fd_sc_ls__decap_4 + PLACED ( 68640 139860 ) FS ;
-- FILLER_38_135 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 70560 139860 ) FS ;
-- FILLER_38_137 sky130_fd_sc_ls__fill_1 + PLACED ( 71520 139860 ) FS ;
+- FILLER_38_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 139860 ) FS ;
+- FILLER_38_108 sky130_fd_sc_ls__decap_8 + PLACED ( 57600 139860 ) FS ;
+- FILLER_38_116 sky130_fd_sc_ls__decap_8 + PLACED ( 61440 139860 ) FS ;
+- FILLER_38_124 sky130_fd_sc_ls__decap_8 + PLACED ( 65280 139860 ) FS ;
+- FILLER_38_132 sky130_fd_sc_ls__decap_4 + PLACED ( 69120 139860 ) FS ;
+- FILLER_38_136 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 71040 139860 ) FS ;
 - FILLER_38_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 139860 ) FS ;
 - FILLER_38_147 sky130_fd_sc_ls__decap_8 + PLACED ( 76320 139860 ) FS ;
-- FILLER_38_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 139860 ) FS ;
-- FILLER_38_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 139860 ) FS ;
-- FILLER_38_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 139860 ) FS ;
-- FILLER_38_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 139860 ) FS ;
-- FILLER_38_187 sky130_fd_sc_ls__decap_4 + PLACED ( 95520 139860 ) FS ;
-- FILLER_38_191 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 97440 139860 ) FS ;
+- FILLER_38_155 sky130_fd_sc_ls__decap_4 + PLACED ( 80160 139860 ) FS ;
+- FILLER_38_159 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 82080 139860 ) FS ;
+- FILLER_38_161 sky130_fd_sc_ls__fill_1 + PLACED ( 83040 139860 ) FS ;
+- FILLER_38_167 sky130_fd_sc_ls__decap_8 + PLACED ( 85920 139860 ) FS ;
+- FILLER_38_175 sky130_fd_sc_ls__decap_4 + PLACED ( 89760 139860 ) FS ;
+- FILLER_38_184 sky130_fd_sc_ls__decap_8 + PLACED ( 94080 139860 ) FS ;
+- FILLER_38_192 sky130_fd_sc_ls__fill_1 + PLACED ( 97920 139860 ) FS ;
 - FILLER_38_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 139860 ) FS ;
 - FILLER_38_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 139860 ) FS ;
 - FILLER_38_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 139860 ) FS ;
@@ -5771,14 +5770,14 @@
 - FILLER_38_344 sky130_fd_sc_ls__decap_8 + PLACED ( 170880 139860 ) FS ;
 - FILLER_38_352 sky130_fd_sc_ls__decap_4 + PLACED ( 174720 139860 ) FS ;
 - FILLER_38_356 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176640 139860 ) FS ;
-- FILLER_38_359 sky130_fd_sc_ls__decap_4 + PLACED ( 178080 139860 ) FS ;
-- FILLER_38_366 sky130_fd_sc_ls__decap_8 + PLACED ( 181440 139860 ) FS ;
-- FILLER_38_374 sky130_fd_sc_ls__decap_8 + PLACED ( 185280 139860 ) FS ;
-- FILLER_38_382 sky130_fd_sc_ls__decap_8 + PLACED ( 189120 139860 ) FS ;
-- FILLER_38_390 sky130_fd_sc_ls__decap_8 + PLACED ( 192960 139860 ) FS ;
-- FILLER_38_398 sky130_fd_sc_ls__decap_8 + PLACED ( 196800 139860 ) FS ;
-- FILLER_38_406 sky130_fd_sc_ls__decap_4 + PLACED ( 200640 139860 ) FS ;
-- FILLER_38_410 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 202560 139860 ) FS ;
+- FILLER_38_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 139860 ) FS ;
+- FILLER_38_367 sky130_fd_sc_ls__decap_4 + PLACED ( 181920 139860 ) FS ;
+- FILLER_38_371 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 183840 139860 ) FS ;
+- FILLER_38_376 sky130_fd_sc_ls__decap_8 + PLACED ( 186240 139860 ) FS ;
+- FILLER_38_384 sky130_fd_sc_ls__decap_8 + PLACED ( 190080 139860 ) FS ;
+- FILLER_38_392 sky130_fd_sc_ls__decap_8 + PLACED ( 193920 139860 ) FS ;
+- FILLER_38_400 sky130_fd_sc_ls__decap_8 + PLACED ( 197760 139860 ) FS ;
+- FILLER_38_408 sky130_fd_sc_ls__decap_4 + PLACED ( 201600 139860 ) FS ;
 - FILLER_38_412 sky130_fd_sc_ls__fill_1 + PLACED ( 203520 139860 ) FS ;
 - FILLER_38_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 139860 ) FS ;
 - FILLER_38_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 139860 ) FS ;
@@ -5816,13 +5815,11 @@
 - FILLER_39_52 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 30720 143190 ) N ;
 - FILLER_39_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 143190 ) N ;
 - FILLER_39_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 143190 ) N ;
-- FILLER_39_64 sky130_fd_sc_ls__decap_8 + PLACED ( 36480 143190 ) N ;
-- FILLER_39_72 sky130_fd_sc_ls__decap_8 + PLACED ( 40320 143190 ) N ;
-- FILLER_39_80 sky130_fd_sc_ls__decap_8 + PLACED ( 44160 143190 ) N ;
-- FILLER_39_88 sky130_fd_sc_ls__decap_8 + PLACED ( 48000 143190 ) N ;
-- FILLER_39_96 sky130_fd_sc_ls__decap_8 + PLACED ( 51840 143190 ) N ;
-- FILLER_39_104 sky130_fd_sc_ls__decap_4 + PLACED ( 55680 143190 ) N ;
-- FILLER_39_108 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 57600 143190 ) N ;
+- FILLER_39_64 sky130_fd_sc_ls__decap_4 + PLACED ( 36480 143190 ) N ;
+- FILLER_39_89 sky130_fd_sc_ls__decap_8 + PLACED ( 48480 143190 ) N ;
+- FILLER_39_97 sky130_fd_sc_ls__decap_8 + PLACED ( 52320 143190 ) N ;
+- FILLER_39_105 sky130_fd_sc_ls__decap_4 + PLACED ( 56160 143190 ) N ;
+- FILLER_39_109 sky130_fd_sc_ls__fill_1 + PLACED ( 58080 143190 ) N ;
 - FILLER_39_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 143190 ) N ;
 - FILLER_39_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 143190 ) N ;
 - FILLER_39_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 143190 ) N ;
@@ -5832,14 +5829,13 @@
 - FILLER_39_159 sky130_fd_sc_ls__decap_4 + PLACED ( 82080 143190 ) N ;
 - FILLER_39_163 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 84000 143190 ) N ;
 - FILLER_39_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 143190 ) N ;
-- FILLER_39_174 sky130_fd_sc_ls__decap_4 + PLACED ( 89280 143190 ) N ;
-- FILLER_39_181 sky130_fd_sc_ls__decap_8 + PLACED ( 92640 143190 ) N ;
-- FILLER_39_189 sky130_fd_sc_ls__decap_8 + PLACED ( 96480 143190 ) N ;
-- FILLER_39_197 sky130_fd_sc_ls__decap_8 + PLACED ( 100320 143190 ) N ;
-- FILLER_39_205 sky130_fd_sc_ls__decap_8 + PLACED ( 104160 143190 ) N ;
-- FILLER_39_213 sky130_fd_sc_ls__decap_4 + PLACED ( 108000 143190 ) N ;
-- FILLER_39_217 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 109920 143190 ) N ;
-- FILLER_39_219 sky130_fd_sc_ls__fill_1 + PLACED ( 110880 143190 ) N ;
+- FILLER_39_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 143190 ) N ;
+- FILLER_39_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 143190 ) N ;
+- FILLER_39_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 143190 ) N ;
+- FILLER_39_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 143190 ) N ;
+- FILLER_39_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 143190 ) N ;
+- FILLER_39_214 sky130_fd_sc_ls__decap_4 + PLACED ( 108480 143190 ) N ;
+- FILLER_39_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 143190 ) N ;
 - FILLER_39_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 143190 ) N ;
 - FILLER_39_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 143190 ) N ;
 - FILLER_39_237 sky130_fd_sc_ls__decap_8 + PLACED ( 119520 143190 ) N ;
@@ -5848,23 +5844,21 @@
 - FILLER_39_261 sky130_fd_sc_ls__decap_8 + PLACED ( 131040 143190 ) N ;
 - FILLER_39_269 sky130_fd_sc_ls__decap_4 + PLACED ( 134880 143190 ) N ;
 - FILLER_39_273 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136800 143190 ) N ;
-- FILLER_39_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 143190 ) N ;
-- FILLER_39_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 143190 ) N ;
-- FILLER_39_292 sky130_fd_sc_ls__decap_8 + PLACED ( 145920 143190 ) N ;
-- FILLER_39_300 sky130_fd_sc_ls__decap_8 + PLACED ( 149760 143190 ) N ;
-- FILLER_39_308 sky130_fd_sc_ls__decap_8 + PLACED ( 153600 143190 ) N ;
-- FILLER_39_316 sky130_fd_sc_ls__decap_8 + PLACED ( 157440 143190 ) N ;
-- FILLER_39_324 sky130_fd_sc_ls__decap_4 + PLACED ( 161280 143190 ) N ;
-- FILLER_39_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 143190 ) N ;
+- FILLER_39_276 sky130_fd_sc_ls__decap_4 + PLACED ( 138240 143190 ) N ;
+- FILLER_39_283 sky130_fd_sc_ls__decap_8 + PLACED ( 141600 143190 ) N ;
+- FILLER_39_291 sky130_fd_sc_ls__decap_4 + PLACED ( 145440 143190 ) N ;
+- FILLER_39_298 sky130_fd_sc_ls__decap_8 + PLACED ( 148800 143190 ) N ;
+- FILLER_39_306 sky130_fd_sc_ls__decap_8 + PLACED ( 152640 143190 ) N ;
+- FILLER_39_314 sky130_fd_sc_ls__decap_8 + PLACED ( 156480 143190 ) N ;
+- FILLER_39_322 sky130_fd_sc_ls__decap_8 + PLACED ( 160320 143190 ) N ;
 - FILLER_39_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 143190 ) N ;
 - FILLER_39_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 143190 ) N ;
 - FILLER_39_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 143190 ) N ;
 - FILLER_39_355 sky130_fd_sc_ls__decap_8 + PLACED ( 176160 143190 ) N ;
 - FILLER_39_363 sky130_fd_sc_ls__decap_8 + PLACED ( 180000 143190 ) N ;
-- FILLER_39_371 sky130_fd_sc_ls__decap_4 + PLACED ( 183840 143190 ) N ;
-- FILLER_39_375 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 185760 143190 ) N ;
-- FILLER_39_380 sky130_fd_sc_ls__decap_4 + PLACED ( 188160 143190 ) N ;
-- FILLER_39_384 sky130_fd_sc_ls__fill_1 + PLACED ( 190080 143190 ) N ;
+- FILLER_39_371 sky130_fd_sc_ls__decap_8 + PLACED ( 183840 143190 ) N ;
+- FILLER_39_379 sky130_fd_sc_ls__decap_4 + PLACED ( 187680 143190 ) N ;
+- FILLER_39_383 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189600 143190 ) N ;
 - FILLER_39_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 143190 ) N ;
 - FILLER_39_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 143190 ) N ;
 - FILLER_39_402 sky130_fd_sc_ls__decap_8 + PLACED ( 198720 143190 ) N ;
@@ -5901,12 +5895,11 @@
 - FILLER_40_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 146520 ) FS ;
 - FILLER_40_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 146520 ) FS ;
 - FILLER_40_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 146520 ) FS ;
-- FILLER_40_45 sky130_fd_sc_ls__decap_4 + PLACED ( 27360 146520 ) FS ;
-- FILLER_40_49 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 29280 146520 ) FS ;
-- FILLER_40_54 sky130_fd_sc_ls__decap_8 + PLACED ( 31680 146520 ) FS ;
-- FILLER_40_62 sky130_fd_sc_ls__decap_8 + PLACED ( 35520 146520 ) FS ;
-- FILLER_40_70 sky130_fd_sc_ls__decap_8 + PLACED ( 39360 146520 ) FS ;
-- FILLER_40_78 sky130_fd_sc_ls__decap_4 + PLACED ( 43200 146520 ) FS ;
+- FILLER_40_45 sky130_fd_sc_ls__decap_8 + PLACED ( 27360 146520 ) FS ;
+- FILLER_40_53 sky130_fd_sc_ls__decap_8 + PLACED ( 31200 146520 ) FS ;
+- FILLER_40_61 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 35040 146520 ) FS ;
+- FILLER_40_66 sky130_fd_sc_ls__decap_8 + PLACED ( 37440 146520 ) FS ;
+- FILLER_40_74 sky130_fd_sc_ls__decap_8 + PLACED ( 41280 146520 ) FS ;
 - FILLER_40_82 sky130_fd_sc_ls__fill_1 + PLACED ( 45120 146520 ) FS ;
 - FILLER_40_84 sky130_fd_sc_ls__decap_8 + PLACED ( 46080 146520 ) FS ;
 - FILLER_40_92 sky130_fd_sc_ls__decap_8 + PLACED ( 49920 146520 ) FS ;
@@ -5921,7 +5914,8 @@
 - FILLER_40_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 146520 ) FS ;
 - FILLER_40_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 146520 ) FS ;
 - FILLER_40_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 146520 ) FS ;
-- FILLER_40_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 146520 ) FS ;
+- FILLER_40_179 sky130_fd_sc_ls__decap_4 + PLACED ( 91680 146520 ) FS ;
+- FILLER_40_183 sky130_fd_sc_ls__fill_1 + PLACED ( 93600 146520 ) FS ;
 - FILLER_40_187 sky130_fd_sc_ls__decap_4 + PLACED ( 95520 146520 ) FS ;
 - FILLER_40_191 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 97440 146520 ) FS ;
 - FILLER_40_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 146520 ) FS ;
@@ -5941,16 +5935,15 @@
 - FILLER_40_297 sky130_fd_sc_ls__decap_4 + PLACED ( 148320 146520 ) FS ;
 - FILLER_40_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 146520 ) FS ;
 - FILLER_40_304 sky130_fd_sc_ls__decap_8 + PLACED ( 151680 146520 ) FS ;
-- FILLER_40_312 sky130_fd_sc_ls__decap_8 + PLACED ( 155520 146520 ) FS ;
-- FILLER_40_320 sky130_fd_sc_ls__decap_8 + PLACED ( 159360 146520 ) FS ;
-- FILLER_40_328 sky130_fd_sc_ls__decap_8 + PLACED ( 163200 146520 ) FS ;
-- FILLER_40_336 sky130_fd_sc_ls__decap_8 + PLACED ( 167040 146520 ) FS ;
-- FILLER_40_344 sky130_fd_sc_ls__decap_8 + PLACED ( 170880 146520 ) FS ;
-- FILLER_40_352 sky130_fd_sc_ls__decap_4 + PLACED ( 174720 146520 ) FS ;
-- FILLER_40_356 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176640 146520 ) FS ;
-- FILLER_40_359 sky130_fd_sc_ls__decap_4 + PLACED ( 178080 146520 ) FS ;
-- FILLER_40_363 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 180000 146520 ) FS ;
-- FILLER_40_368 sky130_fd_sc_ls__decap_4 + PLACED ( 182400 146520 ) FS ;
+- FILLER_40_312 sky130_fd_sc_ls__decap_4 + PLACED ( 155520 146520 ) FS ;
+- FILLER_40_321 sky130_fd_sc_ls__decap_8 + PLACED ( 159840 146520 ) FS ;
+- FILLER_40_329 sky130_fd_sc_ls__decap_8 + PLACED ( 163680 146520 ) FS ;
+- FILLER_40_337 sky130_fd_sc_ls__decap_8 + PLACED ( 167520 146520 ) FS ;
+- FILLER_40_345 sky130_fd_sc_ls__decap_8 + PLACED ( 171360 146520 ) FS ;
+- FILLER_40_353 sky130_fd_sc_ls__decap_4 + PLACED ( 175200 146520 ) FS ;
+- FILLER_40_357 sky130_fd_sc_ls__fill_1 + PLACED ( 177120 146520 ) FS ;
+- FILLER_40_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 146520 ) FS ;
+- FILLER_40_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 146520 ) FS ;
 - FILLER_40_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 146520 ) FS ;
 - FILLER_40_383 sky130_fd_sc_ls__decap_8 + PLACED ( 189600 146520 ) FS ;
 - FILLER_40_391 sky130_fd_sc_ls__decap_8 + PLACED ( 193440 146520 ) FS ;
@@ -5981,16 +5974,19 @@
 - FILLER_40_564 sky130_fd_sc_ls__decap_8 + PLACED ( 276480 146520 ) FS ;
 - FILLER_40_572 sky130_fd_sc_ls__decap_4 + PLACED ( 280320 146520 ) FS ;
 - FILLER_40_576 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 282240 146520 ) FS ;
-- FILLER_40_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 146520 ) FS ;
-- FILLER_40_587 sky130_fd_sc_ls__decap_8 + PLACED ( 287520 146520 ) FS ;
-- FILLER_40_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 146520 ) FS ;
+- FILLER_40_579 sky130_fd_sc_ls__decap_4 + PLACED ( 283680 146520 ) FS ;
+- FILLER_40_583 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 285600 146520 ) FS ;
+- FILLER_40_590 sky130_fd_sc_ls__decap_4 + PLACED ( 288960 146520 ) FS ;
+- FILLER_40_594 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 290880 146520 ) FS ;
+- FILLER_40_596 sky130_fd_sc_ls__fill_1 + PLACED ( 291840 146520 ) FS ;
 - FILLER_41_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 149850 ) N ;
 - FILLER_41_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 149850 ) N ;
 - FILLER_41_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 149850 ) N ;
-- FILLER_41_28 sky130_fd_sc_ls__decap_4 + PLACED ( 19200 149850 ) N ;
-- FILLER_41_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 149850 ) N ;
-- FILLER_41_45 sky130_fd_sc_ls__decap_8 + PLACED ( 27360 149850 ) N ;
-- FILLER_41_53 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 31200 149850 ) N ;
+- FILLER_41_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 149850 ) N ;
+- FILLER_41_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 149850 ) N ;
+- FILLER_41_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 149850 ) N ;
+- FILLER_41_52 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 30720 149850 ) N ;
+- FILLER_41_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 149850 ) N ;
 - FILLER_41_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 149850 ) N ;
 - FILLER_41_64 sky130_fd_sc_ls__decap_8 + PLACED ( 36480 149850 ) N ;
 - FILLER_41_72 sky130_fd_sc_ls__decap_8 + PLACED ( 40320 149850 ) N ;
@@ -6004,9 +6000,10 @@
 - FILLER_41_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 149850 ) N ;
 - FILLER_41_135 sky130_fd_sc_ls__decap_8 + PLACED ( 70560 149850 ) N ;
 - FILLER_41_143 sky130_fd_sc_ls__decap_8 + PLACED ( 74400 149850 ) N ;
-- FILLER_41_151 sky130_fd_sc_ls__decap_8 + PLACED ( 78240 149850 ) N ;
-- FILLER_41_159 sky130_fd_sc_ls__decap_4 + PLACED ( 82080 149850 ) N ;
-- FILLER_41_163 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 84000 149850 ) N ;
+- FILLER_41_151 sky130_fd_sc_ls__decap_4 + PLACED ( 78240 149850 ) N ;
+- FILLER_41_155 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 80160 149850 ) N ;
+- FILLER_41_157 sky130_fd_sc_ls__fill_1 + PLACED ( 81120 149850 ) N ;
+- FILLER_41_161 sky130_fd_sc_ls__decap_4 + PLACED ( 83040 149850 ) N ;
 - FILLER_41_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 149850 ) N ;
 - FILLER_41_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 149850 ) N ;
 - FILLER_41_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 149850 ) N ;
@@ -6039,8 +6036,7 @@
 - FILLER_41_371 sky130_fd_sc_ls__decap_8 + PLACED ( 183840 149850 ) N ;
 - FILLER_41_379 sky130_fd_sc_ls__decap_4 + PLACED ( 187680 149850 ) N ;
 - FILLER_41_383 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189600 149850 ) N ;
-- FILLER_41_386 sky130_fd_sc_ls__decap_4 + PLACED ( 191040 149850 ) N ;
-- FILLER_41_390 sky130_fd_sc_ls__fill_1 + PLACED ( 192960 149850 ) N ;
+- FILLER_41_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 149850 ) N ;
 - FILLER_41_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 149850 ) N ;
 - FILLER_41_402 sky130_fd_sc_ls__decap_8 + PLACED ( 198720 149850 ) N ;
 - FILLER_41_410 sky130_fd_sc_ls__decap_8 + PLACED ( 202560 149850 ) N ;
@@ -6048,14 +6044,15 @@
 - FILLER_41_426 sky130_fd_sc_ls__decap_8 + PLACED ( 210240 149850 ) N ;
 - FILLER_41_434 sky130_fd_sc_ls__decap_4 + PLACED ( 214080 149850 ) N ;
 - FILLER_41_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 149850 ) N ;
-- FILLER_41_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 149850 ) N ;
-- FILLER_41_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 149850 ) N ;
-- FILLER_41_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 149850 ) N ;
-- FILLER_41_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 149850 ) N ;
-- FILLER_41_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 149850 ) N ;
-- FILLER_41_481 sky130_fd_sc_ls__decap_8 + PLACED ( 236640 149850 ) N ;
-- FILLER_41_489 sky130_fd_sc_ls__decap_4 + PLACED ( 240480 149850 ) N ;
-- FILLER_41_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 149850 ) N ;
+- FILLER_41_441 sky130_fd_sc_ls__decap_4 + PLACED ( 217440 149850 ) N ;
+- FILLER_41_448 sky130_fd_sc_ls__decap_8 + PLACED ( 220800 149850 ) N ;
+- FILLER_41_456 sky130_fd_sc_ls__decap_8 + PLACED ( 224640 149850 ) N ;
+- FILLER_41_464 sky130_fd_sc_ls__decap_8 + PLACED ( 228480 149850 ) N ;
+- FILLER_41_472 sky130_fd_sc_ls__decap_8 + PLACED ( 232320 149850 ) N ;
+- FILLER_41_480 sky130_fd_sc_ls__decap_8 + PLACED ( 236160 149850 ) N ;
+- FILLER_41_488 sky130_fd_sc_ls__decap_4 + PLACED ( 240000 149850 ) N ;
+- FILLER_41_492 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 241920 149850 ) N ;
+- FILLER_41_494 sky130_fd_sc_ls__fill_1 + PLACED ( 242880 149850 ) N ;
 - FILLER_41_496 sky130_fd_sc_ls__decap_8 + PLACED ( 243840 149850 ) N ;
 - FILLER_41_504 sky130_fd_sc_ls__decap_8 + PLACED ( 247680 149850 ) N ;
 - FILLER_41_512 sky130_fd_sc_ls__decap_8 + PLACED ( 251520 149850 ) N ;
@@ -6077,37 +6074,36 @@
 - FILLER_42_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 153180 ) FS ;
 - FILLER_42_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 153180 ) FS ;
 - FILLER_42_45 sky130_fd_sc_ls__decap_8 + PLACED ( 27360 153180 ) FS ;
-- FILLER_42_53 sky130_fd_sc_ls__decap_4 + PLACED ( 31200 153180 ) FS ;
-- FILLER_42_57 sky130_fd_sc_ls__fill_1 + PLACED ( 33120 153180 ) FS ;
+- FILLER_42_53 sky130_fd_sc_ls__decap_8 + PLACED ( 31200 153180 ) FS ;
 - FILLER_42_61 sky130_fd_sc_ls__decap_8 + PLACED ( 35040 153180 ) FS ;
 - FILLER_42_69 sky130_fd_sc_ls__decap_8 + PLACED ( 38880 153180 ) FS ;
 - FILLER_42_77 sky130_fd_sc_ls__decap_4 + PLACED ( 42720 153180 ) FS ;
 - FILLER_42_81 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44640 153180 ) FS ;
-- FILLER_42_84 sky130_fd_sc_ls__decap_8 + PLACED ( 46080 153180 ) FS ;
-- FILLER_42_92 sky130_fd_sc_ls__decap_8 + PLACED ( 49920 153180 ) FS ;
-- FILLER_42_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 153180 ) FS ;
-- FILLER_42_108 sky130_fd_sc_ls__decap_8 + PLACED ( 57600 153180 ) FS ;
-- FILLER_42_116 sky130_fd_sc_ls__decap_8 + PLACED ( 61440 153180 ) FS ;
-- FILLER_42_124 sky130_fd_sc_ls__decap_8 + PLACED ( 65280 153180 ) FS ;
-- FILLER_42_132 sky130_fd_sc_ls__decap_4 + PLACED ( 69120 153180 ) FS ;
-- FILLER_42_136 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 71040 153180 ) FS ;
+- FILLER_42_84 sky130_fd_sc_ls__decap_4 + PLACED ( 46080 153180 ) FS ;
+- FILLER_42_91 sky130_fd_sc_ls__decap_8 + PLACED ( 49440 153180 ) FS ;
+- FILLER_42_99 sky130_fd_sc_ls__decap_8 + PLACED ( 53280 153180 ) FS ;
+- FILLER_42_107 sky130_fd_sc_ls__decap_8 + PLACED ( 57120 153180 ) FS ;
+- FILLER_42_115 sky130_fd_sc_ls__decap_8 + PLACED ( 60960 153180 ) FS ;
+- FILLER_42_123 sky130_fd_sc_ls__decap_8 + PLACED ( 64800 153180 ) FS ;
+- FILLER_42_131 sky130_fd_sc_ls__decap_4 + PLACED ( 68640 153180 ) FS ;
+- FILLER_42_135 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 70560 153180 ) FS ;
+- FILLER_42_137 sky130_fd_sc_ls__fill_1 + PLACED ( 71520 153180 ) FS ;
 - FILLER_42_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 153180 ) FS ;
 - FILLER_42_147 sky130_fd_sc_ls__decap_8 + PLACED ( 76320 153180 ) FS ;
 - FILLER_42_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 153180 ) FS ;
 - FILLER_42_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 153180 ) FS ;
 - FILLER_42_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 153180 ) FS ;
-- FILLER_42_179 sky130_fd_sc_ls__decap_4 + PLACED ( 91680 153180 ) FS ;
-- FILLER_42_183 sky130_fd_sc_ls__fill_1 + PLACED ( 93600 153180 ) FS ;
+- FILLER_42_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 153180 ) FS ;
 - FILLER_42_187 sky130_fd_sc_ls__decap_4 + PLACED ( 95520 153180 ) FS ;
 - FILLER_42_191 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 97440 153180 ) FS ;
 - FILLER_42_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 153180 ) FS ;
-- FILLER_42_205 sky130_fd_sc_ls__decap_8 + PLACED ( 104160 153180 ) FS ;
-- FILLER_42_213 sky130_fd_sc_ls__decap_8 + PLACED ( 108000 153180 ) FS ;
-- FILLER_42_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 153180 ) FS ;
-- FILLER_42_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 153180 ) FS ;
-- FILLER_42_237 sky130_fd_sc_ls__decap_8 + PLACED ( 119520 153180 ) FS ;
-- FILLER_42_245 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123360 153180 ) FS ;
-- FILLER_42_247 sky130_fd_sc_ls__fill_1 + PLACED ( 124320 153180 ) FS ;
+- FILLER_42_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 153180 ) FS ;
+- FILLER_42_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 153180 ) FS ;
+- FILLER_42_218 sky130_fd_sc_ls__decap_8 + PLACED ( 110400 153180 ) FS ;
+- FILLER_42_226 sky130_fd_sc_ls__decap_8 + PLACED ( 114240 153180 ) FS ;
+- FILLER_42_234 sky130_fd_sc_ls__decap_8 + PLACED ( 118080 153180 ) FS ;
+- FILLER_42_242 sky130_fd_sc_ls__decap_4 + PLACED ( 121920 153180 ) FS ;
+- FILLER_42_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 153180 ) FS ;
 - FILLER_42_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 153180 ) FS ;
 - FILLER_42_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 153180 ) FS ;
 - FILLER_42_265 sky130_fd_sc_ls__decap_8 + PLACED ( 132960 153180 ) FS ;
@@ -6142,22 +6138,21 @@
 - FILLER_42_466 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 229440 153180 ) FS ;
 - FILLER_42_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 153180 ) FS ;
 - FILLER_42_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 153180 ) FS ;
-- FILLER_42_485 sky130_fd_sc_ls__decap_8 + PLACED ( 238560 153180 ) FS ;
-- FILLER_42_493 sky130_fd_sc_ls__decap_8 + PLACED ( 242400 153180 ) FS ;
-- FILLER_42_501 sky130_fd_sc_ls__decap_8 + PLACED ( 246240 153180 ) FS ;
-- FILLER_42_509 sky130_fd_sc_ls__decap_8 + PLACED ( 250080 153180 ) FS ;
-- FILLER_42_517 sky130_fd_sc_ls__decap_4 + PLACED ( 253920 153180 ) FS ;
-- FILLER_42_521 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255840 153180 ) FS ;
-- FILLER_42_524 sky130_fd_sc_ls__decap_4 + PLACED ( 257280 153180 ) FS ;
-- FILLER_42_531 sky130_fd_sc_ls__decap_8 + PLACED ( 260640 153180 ) FS ;
-- FILLER_42_539 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 264480 153180 ) FS ;
-- FILLER_42_541 sky130_fd_sc_ls__fill_1 + PLACED ( 265440 153180 ) FS ;
-- FILLER_42_545 sky130_fd_sc_ls__decap_8 + PLACED ( 267360 153180 ) FS ;
-- FILLER_42_553 sky130_fd_sc_ls__decap_8 + PLACED ( 271200 153180 ) FS ;
-- FILLER_42_561 sky130_fd_sc_ls__fill_1 + PLACED ( 275040 153180 ) FS ;
-- FILLER_42_565 sky130_fd_sc_ls__decap_8 + PLACED ( 276960 153180 ) FS ;
-- FILLER_42_573 sky130_fd_sc_ls__decap_4 + PLACED ( 280800 153180 ) FS ;
-- FILLER_42_577 sky130_fd_sc_ls__fill_1 + PLACED ( 282720 153180 ) FS ;
+- FILLER_42_485 sky130_fd_sc_ls__decap_4 + PLACED ( 238560 153180 ) FS ;
+- FILLER_42_489 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 240480 153180 ) FS ;
+- FILLER_42_491 sky130_fd_sc_ls__fill_1 + PLACED ( 241440 153180 ) FS ;
+- FILLER_42_495 sky130_fd_sc_ls__decap_8 + PLACED ( 243360 153180 ) FS ;
+- FILLER_42_503 sky130_fd_sc_ls__decap_8 + PLACED ( 247200 153180 ) FS ;
+- FILLER_42_511 sky130_fd_sc_ls__decap_8 + PLACED ( 251040 153180 ) FS ;
+- FILLER_42_519 sky130_fd_sc_ls__decap_4 + PLACED ( 254880 153180 ) FS ;
+- FILLER_42_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 153180 ) FS ;
+- FILLER_42_532 sky130_fd_sc_ls__decap_8 + PLACED ( 261120 153180 ) FS ;
+- FILLER_42_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 153180 ) FS ;
+- FILLER_42_548 sky130_fd_sc_ls__decap_8 + PLACED ( 268800 153180 ) FS ;
+- FILLER_42_556 sky130_fd_sc_ls__decap_8 + PLACED ( 272640 153180 ) FS ;
+- FILLER_42_564 sky130_fd_sc_ls__decap_8 + PLACED ( 276480 153180 ) FS ;
+- FILLER_42_572 sky130_fd_sc_ls__decap_4 + PLACED ( 280320 153180 ) FS ;
+- FILLER_42_576 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 282240 153180 ) FS ;
 - FILLER_42_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 153180 ) FS ;
 - FILLER_42_587 sky130_fd_sc_ls__decap_8 + PLACED ( 287520 153180 ) FS ;
 - FILLER_42_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 153180 ) FS ;
@@ -6178,13 +6173,14 @@
 - FILLER_43_104 sky130_fd_sc_ls__decap_4 + PLACED ( 55680 156510 ) N ;
 - FILLER_43_108 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 57600 156510 ) N ;
 - FILLER_43_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 156510 ) N ;
-- FILLER_43_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 156510 ) N ;
-- FILLER_43_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 156510 ) N ;
-- FILLER_43_135 sky130_fd_sc_ls__decap_8 + PLACED ( 70560 156510 ) N ;
-- FILLER_43_143 sky130_fd_sc_ls__decap_8 + PLACED ( 74400 156510 ) N ;
-- FILLER_43_151 sky130_fd_sc_ls__decap_8 + PLACED ( 78240 156510 ) N ;
-- FILLER_43_159 sky130_fd_sc_ls__decap_4 + PLACED ( 82080 156510 ) N ;
-- FILLER_43_163 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 84000 156510 ) N ;
+- FILLER_43_119 sky130_fd_sc_ls__decap_4 + PLACED ( 62880 156510 ) N ;
+- FILLER_43_126 sky130_fd_sc_ls__decap_8 + PLACED ( 66240 156510 ) N ;
+- FILLER_43_134 sky130_fd_sc_ls__decap_8 + PLACED ( 70080 156510 ) N ;
+- FILLER_43_142 sky130_fd_sc_ls__decap_8 + PLACED ( 73920 156510 ) N ;
+- FILLER_43_150 sky130_fd_sc_ls__decap_8 + PLACED ( 77760 156510 ) N ;
+- FILLER_43_158 sky130_fd_sc_ls__decap_4 + PLACED ( 81600 156510 ) N ;
+- FILLER_43_162 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 83520 156510 ) N ;
+- FILLER_43_164 sky130_fd_sc_ls__fill_1 + PLACED ( 84480 156510 ) N ;
 - FILLER_43_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 156510 ) N ;
 - FILLER_43_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 156510 ) N ;
 - FILLER_43_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 156510 ) N ;
@@ -6238,9 +6234,9 @@
 - FILLER_43_512 sky130_fd_sc_ls__decap_8 + PLACED ( 251520 156510 ) N ;
 - FILLER_43_520 sky130_fd_sc_ls__decap_8 + PLACED ( 255360 156510 ) N ;
 - FILLER_43_528 sky130_fd_sc_ls__decap_8 + PLACED ( 259200 156510 ) N ;
-- FILLER_43_539 sky130_fd_sc_ls__decap_8 + PLACED ( 264480 156510 ) N ;
-- FILLER_43_547 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268320 156510 ) N ;
-- FILLER_43_549 sky130_fd_sc_ls__fill_1 + PLACED ( 269280 156510 ) N ;
+- FILLER_43_536 sky130_fd_sc_ls__decap_8 + PLACED ( 263040 156510 ) N ;
+- FILLER_43_544 sky130_fd_sc_ls__decap_4 + PLACED ( 266880 156510 ) N ;
+- FILLER_43_548 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268800 156510 ) N ;
 - FILLER_43_551 sky130_fd_sc_ls__decap_8 + PLACED ( 270240 156510 ) N ;
 - FILLER_43_559 sky130_fd_sc_ls__decap_8 + PLACED ( 274080 156510 ) N ;
 - FILLER_43_567 sky130_fd_sc_ls__decap_8 + PLACED ( 277920 156510 ) N ;
@@ -6253,12 +6249,12 @@
 - FILLER_44_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 159840 ) FS ;
 - FILLER_44_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 159840 ) FS ;
 - FILLER_44_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 159840 ) FS ;
-- FILLER_44_45 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 27360 159840 ) FS ;
-- FILLER_44_50 sky130_fd_sc_ls__decap_8 + PLACED ( 29760 159840 ) FS ;
-- FILLER_44_58 sky130_fd_sc_ls__decap_8 + PLACED ( 33600 159840 ) FS ;
-- FILLER_44_66 sky130_fd_sc_ls__decap_8 + PLACED ( 37440 159840 ) FS ;
-- FILLER_44_74 sky130_fd_sc_ls__decap_8 + PLACED ( 41280 159840 ) FS ;
-- FILLER_44_82 sky130_fd_sc_ls__fill_1 + PLACED ( 45120 159840 ) FS ;
+- FILLER_44_45 sky130_fd_sc_ls__decap_8 + PLACED ( 27360 159840 ) FS ;
+- FILLER_44_53 sky130_fd_sc_ls__decap_8 + PLACED ( 31200 159840 ) FS ;
+- FILLER_44_61 sky130_fd_sc_ls__decap_8 + PLACED ( 35040 159840 ) FS ;
+- FILLER_44_69 sky130_fd_sc_ls__decap_8 + PLACED ( 38880 159840 ) FS ;
+- FILLER_44_77 sky130_fd_sc_ls__decap_4 + PLACED ( 42720 159840 ) FS ;
+- FILLER_44_81 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44640 159840 ) FS ;
 - FILLER_44_84 sky130_fd_sc_ls__decap_8 + PLACED ( 46080 159840 ) FS ;
 - FILLER_44_92 sky130_fd_sc_ls__decap_8 + PLACED ( 49920 159840 ) FS ;
 - FILLER_44_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 159840 ) FS ;
@@ -6272,26 +6268,25 @@
 - FILLER_44_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 159840 ) FS ;
 - FILLER_44_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 159840 ) FS ;
 - FILLER_44_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 159840 ) FS ;
-- FILLER_44_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 159840 ) FS ;
-- FILLER_44_187 sky130_fd_sc_ls__decap_4 + PLACED ( 95520 159840 ) FS ;
-- FILLER_44_191 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 97440 159840 ) FS ;
+- FILLER_44_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 159840 ) FS ;
+- FILLER_44_190 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 96960 159840 ) FS ;
+- FILLER_44_192 sky130_fd_sc_ls__fill_1 + PLACED ( 97920 159840 ) FS ;
 - FILLER_44_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 159840 ) FS ;
 - FILLER_44_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 159840 ) FS ;
-- FILLER_44_210 sky130_fd_sc_ls__fill_1 + PLACED ( 106560 159840 ) FS ;
-- FILLER_44_214 sky130_fd_sc_ls__decap_8 + PLACED ( 108480 159840 ) FS ;
-- FILLER_44_222 sky130_fd_sc_ls__decap_8 + PLACED ( 112320 159840 ) FS ;
-- FILLER_44_230 sky130_fd_sc_ls__decap_8 + PLACED ( 116160 159840 ) FS ;
-- FILLER_44_238 sky130_fd_sc_ls__decap_8 + PLACED ( 120000 159840 ) FS ;
+- FILLER_44_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 159840 ) FS ;
+- FILLER_44_218 sky130_fd_sc_ls__decap_8 + PLACED ( 110400 159840 ) FS ;
+- FILLER_44_226 sky130_fd_sc_ls__decap_8 + PLACED ( 114240 159840 ) FS ;
+- FILLER_44_234 sky130_fd_sc_ls__decap_8 + PLACED ( 118080 159840 ) FS ;
+- FILLER_44_242 sky130_fd_sc_ls__decap_4 + PLACED ( 121920 159840 ) FS ;
 - FILLER_44_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 159840 ) FS ;
 - FILLER_44_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 159840 ) FS ;
-- FILLER_44_257 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 129120 159840 ) FS ;
-- FILLER_44_262 sky130_fd_sc_ls__decap_8 + PLACED ( 131520 159840 ) FS ;
-- FILLER_44_270 sky130_fd_sc_ls__fill_1 + PLACED ( 135360 159840 ) FS ;
-- FILLER_44_274 sky130_fd_sc_ls__decap_8 + PLACED ( 137280 159840 ) FS ;
-- FILLER_44_282 sky130_fd_sc_ls__decap_8 + PLACED ( 141120 159840 ) FS ;
-- FILLER_44_290 sky130_fd_sc_ls__decap_8 + PLACED ( 144960 159840 ) FS ;
-- FILLER_44_298 sky130_fd_sc_ls__decap_4 + PLACED ( 148800 159840 ) FS ;
-- FILLER_44_302 sky130_fd_sc_ls__fill_1 + PLACED ( 150720 159840 ) FS ;
+- FILLER_44_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 159840 ) FS ;
+- FILLER_44_265 sky130_fd_sc_ls__decap_8 + PLACED ( 132960 159840 ) FS ;
+- FILLER_44_273 sky130_fd_sc_ls__decap_8 + PLACED ( 136800 159840 ) FS ;
+- FILLER_44_281 sky130_fd_sc_ls__decap_8 + PLACED ( 140640 159840 ) FS ;
+- FILLER_44_289 sky130_fd_sc_ls__decap_8 + PLACED ( 144480 159840 ) FS ;
+- FILLER_44_297 sky130_fd_sc_ls__decap_4 + PLACED ( 148320 159840 ) FS ;
+- FILLER_44_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 159840 ) FS ;
 - FILLER_44_304 sky130_fd_sc_ls__decap_8 + PLACED ( 151680 159840 ) FS ;
 - FILLER_44_312 sky130_fd_sc_ls__decap_8 + PLACED ( 155520 159840 ) FS ;
 - FILLER_44_320 sky130_fd_sc_ls__decap_8 + PLACED ( 159360 159840 ) FS ;
@@ -6327,11 +6322,12 @@
 - FILLER_44_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 159840 ) FS ;
 - FILLER_44_532 sky130_fd_sc_ls__decap_8 + PLACED ( 261120 159840 ) FS ;
 - FILLER_44_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 159840 ) FS ;
-- FILLER_44_548 sky130_fd_sc_ls__decap_8 + PLACED ( 268800 159840 ) FS ;
-- FILLER_44_556 sky130_fd_sc_ls__decap_8 + PLACED ( 272640 159840 ) FS ;
-- FILLER_44_564 sky130_fd_sc_ls__decap_8 + PLACED ( 276480 159840 ) FS ;
-- FILLER_44_572 sky130_fd_sc_ls__decap_4 + PLACED ( 280320 159840 ) FS ;
-- FILLER_44_576 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 282240 159840 ) FS ;
+- FILLER_44_548 sky130_fd_sc_ls__decap_4 + PLACED ( 268800 159840 ) FS ;
+- FILLER_44_552 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 270720 159840 ) FS ;
+- FILLER_44_557 sky130_fd_sc_ls__decap_8 + PLACED ( 273120 159840 ) FS ;
+- FILLER_44_565 sky130_fd_sc_ls__decap_8 + PLACED ( 276960 159840 ) FS ;
+- FILLER_44_573 sky130_fd_sc_ls__decap_4 + PLACED ( 280800 159840 ) FS ;
+- FILLER_44_577 sky130_fd_sc_ls__fill_1 + PLACED ( 282720 159840 ) FS ;
 - FILLER_44_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 159840 ) FS ;
 - FILLER_44_587 sky130_fd_sc_ls__decap_8 + PLACED ( 287520 159840 ) FS ;
 - FILLER_44_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 159840 ) FS ;
@@ -6359,14 +6355,15 @@
 - FILLER_45_151 sky130_fd_sc_ls__decap_8 + PLACED ( 78240 163170 ) N ;
 - FILLER_45_159 sky130_fd_sc_ls__decap_4 + PLACED ( 82080 163170 ) N ;
 - FILLER_45_163 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 84000 163170 ) N ;
-- FILLER_45_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 163170 ) N ;
-- FILLER_45_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 163170 ) N ;
-- FILLER_45_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 163170 ) N ;
-- FILLER_45_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 163170 ) N ;
-- FILLER_45_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 163170 ) N ;
-- FILLER_45_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 163170 ) N ;
-- FILLER_45_214 sky130_fd_sc_ls__decap_4 + PLACED ( 108480 163170 ) N ;
-- FILLER_45_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 163170 ) N ;
+- FILLER_45_166 sky130_fd_sc_ls__decap_4 + PLACED ( 85440 163170 ) N ;
+- FILLER_45_170 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 87360 163170 ) N ;
+- FILLER_45_175 sky130_fd_sc_ls__decap_8 + PLACED ( 89760 163170 ) N ;
+- FILLER_45_183 sky130_fd_sc_ls__decap_8 + PLACED ( 93600 163170 ) N ;
+- FILLER_45_191 sky130_fd_sc_ls__decap_8 + PLACED ( 97440 163170 ) N ;
+- FILLER_45_199 sky130_fd_sc_ls__decap_8 + PLACED ( 101280 163170 ) N ;
+- FILLER_45_207 sky130_fd_sc_ls__decap_8 + PLACED ( 105120 163170 ) N ;
+- FILLER_45_215 sky130_fd_sc_ls__decap_4 + PLACED ( 108960 163170 ) N ;
+- FILLER_45_219 sky130_fd_sc_ls__fill_1 + PLACED ( 110880 163170 ) N ;
 - FILLER_45_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 163170 ) N ;
 - FILLER_45_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 163170 ) N ;
 - FILLER_45_237 sky130_fd_sc_ls__decap_8 + PLACED ( 119520 163170 ) N ;
@@ -6392,14 +6389,13 @@
 - FILLER_45_379 sky130_fd_sc_ls__decap_4 + PLACED ( 187680 163170 ) N ;
 - FILLER_45_383 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189600 163170 ) N ;
 - FILLER_45_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 163170 ) N ;
-- FILLER_45_394 sky130_fd_sc_ls__decap_4 + PLACED ( 194880 163170 ) N ;
-- FILLER_45_398 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 196800 163170 ) N ;
-- FILLER_45_403 sky130_fd_sc_ls__decap_8 + PLACED ( 199200 163170 ) N ;
-- FILLER_45_411 sky130_fd_sc_ls__decap_8 + PLACED ( 203040 163170 ) N ;
-- FILLER_45_419 sky130_fd_sc_ls__decap_8 + PLACED ( 206880 163170 ) N ;
-- FILLER_45_427 sky130_fd_sc_ls__decap_8 + PLACED ( 210720 163170 ) N ;
-- FILLER_45_435 sky130_fd_sc_ls__decap_4 + PLACED ( 214560 163170 ) N ;
-- FILLER_45_439 sky130_fd_sc_ls__fill_1 + PLACED ( 216480 163170 ) N ;
+- FILLER_45_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 163170 ) N ;
+- FILLER_45_402 sky130_fd_sc_ls__decap_8 + PLACED ( 198720 163170 ) N ;
+- FILLER_45_410 sky130_fd_sc_ls__decap_8 + PLACED ( 202560 163170 ) N ;
+- FILLER_45_418 sky130_fd_sc_ls__decap_8 + PLACED ( 206400 163170 ) N ;
+- FILLER_45_426 sky130_fd_sc_ls__decap_8 + PLACED ( 210240 163170 ) N ;
+- FILLER_45_434 sky130_fd_sc_ls__decap_4 + PLACED ( 214080 163170 ) N ;
+- FILLER_45_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 163170 ) N ;
 - FILLER_45_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 163170 ) N ;
 - FILLER_45_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 163170 ) N ;
 - FILLER_45_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 163170 ) N ;
@@ -6444,12 +6440,12 @@
 - FILLER_46_136 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 71040 166500 ) FS ;
 - FILLER_46_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 166500 ) FS ;
 - FILLER_46_147 sky130_fd_sc_ls__decap_8 + PLACED ( 76320 166500 ) FS ;
-- FILLER_46_155 sky130_fd_sc_ls__decap_4 + PLACED ( 80160 166500 ) FS ;
-- FILLER_46_159 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 82080 166500 ) FS ;
-- FILLER_46_164 sky130_fd_sc_ls__decap_8 + PLACED ( 84480 166500 ) FS ;
-- FILLER_46_172 sky130_fd_sc_ls__decap_8 + PLACED ( 88320 166500 ) FS ;
-- FILLER_46_180 sky130_fd_sc_ls__decap_8 + PLACED ( 92160 166500 ) FS ;
-- FILLER_46_188 sky130_fd_sc_ls__decap_4 + PLACED ( 96000 166500 ) FS ;
+- FILLER_46_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 166500 ) FS ;
+- FILLER_46_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 166500 ) FS ;
+- FILLER_46_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 166500 ) FS ;
+- FILLER_46_179 sky130_fd_sc_ls__decap_4 + PLACED ( 91680 166500 ) FS ;
+- FILLER_46_186 sky130_fd_sc_ls__decap_4 + PLACED ( 95040 166500 ) FS ;
+- FILLER_46_190 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 96960 166500 ) FS ;
 - FILLER_46_192 sky130_fd_sc_ls__fill_1 + PLACED ( 97920 166500 ) FS ;
 - FILLER_46_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 166500 ) FS ;
 - FILLER_46_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 166500 ) FS ;
@@ -6460,14 +6456,13 @@
 - FILLER_46_242 sky130_fd_sc_ls__decap_4 + PLACED ( 121920 166500 ) FS ;
 - FILLER_46_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 166500 ) FS ;
 - FILLER_46_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 166500 ) FS ;
-- FILLER_46_257 sky130_fd_sc_ls__decap_4 + PLACED ( 129120 166500 ) FS ;
-- FILLER_46_261 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 131040 166500 ) FS ;
-- FILLER_46_263 sky130_fd_sc_ls__fill_1 + PLACED ( 132000 166500 ) FS ;
-- FILLER_46_267 sky130_fd_sc_ls__decap_8 + PLACED ( 133920 166500 ) FS ;
-- FILLER_46_275 sky130_fd_sc_ls__decap_8 + PLACED ( 137760 166500 ) FS ;
-- FILLER_46_283 sky130_fd_sc_ls__decap_8 + PLACED ( 141600 166500 ) FS ;
-- FILLER_46_291 sky130_fd_sc_ls__decap_8 + PLACED ( 145440 166500 ) FS ;
-- FILLER_46_299 sky130_fd_sc_ls__decap_4 + PLACED ( 149280 166500 ) FS ;
+- FILLER_46_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 166500 ) FS ;
+- FILLER_46_265 sky130_fd_sc_ls__decap_8 + PLACED ( 132960 166500 ) FS ;
+- FILLER_46_273 sky130_fd_sc_ls__decap_8 + PLACED ( 136800 166500 ) FS ;
+- FILLER_46_281 sky130_fd_sc_ls__decap_8 + PLACED ( 140640 166500 ) FS ;
+- FILLER_46_289 sky130_fd_sc_ls__decap_8 + PLACED ( 144480 166500 ) FS ;
+- FILLER_46_297 sky130_fd_sc_ls__decap_4 + PLACED ( 148320 166500 ) FS ;
+- FILLER_46_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 166500 ) FS ;
 - FILLER_46_304 sky130_fd_sc_ls__decap_8 + PLACED ( 151680 166500 ) FS ;
 - FILLER_46_312 sky130_fd_sc_ls__decap_8 + PLACED ( 155520 166500 ) FS ;
 - FILLER_46_320 sky130_fd_sc_ls__decap_8 + PLACED ( 159360 166500 ) FS ;
@@ -6476,15 +6471,14 @@
 - FILLER_46_344 sky130_fd_sc_ls__decap_8 + PLACED ( 170880 166500 ) FS ;
 - FILLER_46_352 sky130_fd_sc_ls__decap_4 + PLACED ( 174720 166500 ) FS ;
 - FILLER_46_356 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176640 166500 ) FS ;
-- FILLER_46_359 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 178080 166500 ) FS ;
-- FILLER_46_366 sky130_fd_sc_ls__decap_8 + PLACED ( 181440 166500 ) FS ;
-- FILLER_46_374 sky130_fd_sc_ls__decap_8 + PLACED ( 185280 166500 ) FS ;
-- FILLER_46_382 sky130_fd_sc_ls__decap_8 + PLACED ( 189120 166500 ) FS ;
-- FILLER_46_390 sky130_fd_sc_ls__decap_8 + PLACED ( 192960 166500 ) FS ;
-- FILLER_46_398 sky130_fd_sc_ls__decap_8 + PLACED ( 196800 166500 ) FS ;
-- FILLER_46_406 sky130_fd_sc_ls__decap_4 + PLACED ( 200640 166500 ) FS ;
-- FILLER_46_410 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 202560 166500 ) FS ;
-- FILLER_46_412 sky130_fd_sc_ls__fill_1 + PLACED ( 203520 166500 ) FS ;
+- FILLER_46_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 166500 ) FS ;
+- FILLER_46_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 166500 ) FS ;
+- FILLER_46_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 166500 ) FS ;
+- FILLER_46_383 sky130_fd_sc_ls__decap_8 + PLACED ( 189600 166500 ) FS ;
+- FILLER_46_391 sky130_fd_sc_ls__decap_8 + PLACED ( 193440 166500 ) FS ;
+- FILLER_46_399 sky130_fd_sc_ls__decap_8 + PLACED ( 197280 166500 ) FS ;
+- FILLER_46_407 sky130_fd_sc_ls__decap_4 + PLACED ( 201120 166500 ) FS ;
+- FILLER_46_411 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 203040 166500 ) FS ;
 - FILLER_46_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 166500 ) FS ;
 - FILLER_46_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 166500 ) FS ;
 - FILLER_46_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 166500 ) FS ;
@@ -6546,21 +6540,21 @@
 - FILLER_47_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 169830 ) N ;
 - FILLER_47_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 169830 ) N ;
 - FILLER_47_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 169830 ) N ;
-- FILLER_47_237 sky130_fd_sc_ls__decap_8 + PLACED ( 119520 169830 ) N ;
-- FILLER_47_245 sky130_fd_sc_ls__decap_8 + PLACED ( 123360 169830 ) N ;
-- FILLER_47_253 sky130_fd_sc_ls__decap_8 + PLACED ( 127200 169830 ) N ;
-- FILLER_47_261 sky130_fd_sc_ls__decap_8 + PLACED ( 131040 169830 ) N ;
-- FILLER_47_269 sky130_fd_sc_ls__decap_4 + PLACED ( 134880 169830 ) N ;
-- FILLER_47_273 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136800 169830 ) N ;
-- FILLER_47_276 sky130_fd_sc_ls__decap_4 + PLACED ( 138240 169830 ) N ;
-- FILLER_47_283 sky130_fd_sc_ls__decap_8 + PLACED ( 141600 169830 ) N ;
-- FILLER_47_291 sky130_fd_sc_ls__decap_8 + PLACED ( 145440 169830 ) N ;
-- FILLER_47_299 sky130_fd_sc_ls__decap_8 + PLACED ( 149280 169830 ) N ;
-- FILLER_47_307 sky130_fd_sc_ls__decap_8 + PLACED ( 153120 169830 ) N ;
-- FILLER_47_315 sky130_fd_sc_ls__decap_8 + PLACED ( 156960 169830 ) N ;
-- FILLER_47_323 sky130_fd_sc_ls__decap_4 + PLACED ( 160800 169830 ) N ;
-- FILLER_47_327 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 162720 169830 ) N ;
-- FILLER_47_329 sky130_fd_sc_ls__fill_1 + PLACED ( 163680 169830 ) N ;
+- FILLER_47_237 sky130_fd_sc_ls__decap_4 + PLACED ( 119520 169830 ) N ;
+- FILLER_47_241 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 121440 169830 ) N ;
+- FILLER_47_246 sky130_fd_sc_ls__decap_8 + PLACED ( 123840 169830 ) N ;
+- FILLER_47_254 sky130_fd_sc_ls__decap_8 + PLACED ( 127680 169830 ) N ;
+- FILLER_47_262 sky130_fd_sc_ls__decap_8 + PLACED ( 131520 169830 ) N ;
+- FILLER_47_270 sky130_fd_sc_ls__decap_4 + PLACED ( 135360 169830 ) N ;
+- FILLER_47_274 sky130_fd_sc_ls__fill_1 + PLACED ( 137280 169830 ) N ;
+- FILLER_47_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 169830 ) N ;
+- FILLER_47_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 169830 ) N ;
+- FILLER_47_292 sky130_fd_sc_ls__decap_8 + PLACED ( 145920 169830 ) N ;
+- FILLER_47_300 sky130_fd_sc_ls__decap_8 + PLACED ( 149760 169830 ) N ;
+- FILLER_47_308 sky130_fd_sc_ls__decap_8 + PLACED ( 153600 169830 ) N ;
+- FILLER_47_316 sky130_fd_sc_ls__decap_8 + PLACED ( 157440 169830 ) N ;
+- FILLER_47_324 sky130_fd_sc_ls__decap_4 + PLACED ( 161280 169830 ) N ;
+- FILLER_47_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 169830 ) N ;
 - FILLER_47_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 169830 ) N ;
 - FILLER_47_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 169830 ) N ;
 - FILLER_47_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 169830 ) N ;
@@ -6597,10 +6591,9 @@
 - FILLER_47_559 sky130_fd_sc_ls__decap_8 + PLACED ( 274080 169830 ) N ;
 - FILLER_47_567 sky130_fd_sc_ls__decap_8 + PLACED ( 277920 169830 ) N ;
 - FILLER_47_575 sky130_fd_sc_ls__decap_8 + PLACED ( 281760 169830 ) N ;
-- FILLER_47_583 sky130_fd_sc_ls__decap_4 + PLACED ( 285600 169830 ) N ;
-- FILLER_47_587 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 287520 169830 ) N ;
-- FILLER_47_589 sky130_fd_sc_ls__fill_1 + PLACED ( 288480 169830 ) N ;
-- FILLER_47_593 sky130_fd_sc_ls__decap_4 + PLACED ( 290400 169830 ) N ;
+- FILLER_47_583 sky130_fd_sc_ls__decap_8 + PLACED ( 285600 169830 ) N ;
+- FILLER_47_591 sky130_fd_sc_ls__decap_4 + PLACED ( 289440 169830 ) N ;
+- FILLER_47_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 169830 ) N ;
 - FILLER_48_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 173160 ) FS ;
 - FILLER_48_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 173160 ) FS ;
 - FILLER_48_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 173160 ) FS ;
@@ -6621,14 +6614,13 @@
 - FILLER_48_132 sky130_fd_sc_ls__decap_4 + PLACED ( 69120 173160 ) FS ;
 - FILLER_48_136 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 71040 173160 ) FS ;
 - FILLER_48_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 173160 ) FS ;
-- FILLER_48_147 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 76320 173160 ) FS ;
-- FILLER_48_154 sky130_fd_sc_ls__decap_8 + PLACED ( 79680 173160 ) FS ;
-- FILLER_48_162 sky130_fd_sc_ls__decap_8 + PLACED ( 83520 173160 ) FS ;
-- FILLER_48_170 sky130_fd_sc_ls__decap_8 + PLACED ( 87360 173160 ) FS ;
-- FILLER_48_178 sky130_fd_sc_ls__decap_8 + PLACED ( 91200 173160 ) FS ;
-- FILLER_48_186 sky130_fd_sc_ls__decap_4 + PLACED ( 95040 173160 ) FS ;
-- FILLER_48_190 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 96960 173160 ) FS ;
-- FILLER_48_192 sky130_fd_sc_ls__fill_1 + PLACED ( 97920 173160 ) FS ;
+- FILLER_48_147 sky130_fd_sc_ls__decap_8 + PLACED ( 76320 173160 ) FS ;
+- FILLER_48_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 173160 ) FS ;
+- FILLER_48_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 173160 ) FS ;
+- FILLER_48_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 173160 ) FS ;
+- FILLER_48_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 173160 ) FS ;
+- FILLER_48_187 sky130_fd_sc_ls__decap_4 + PLACED ( 95520 173160 ) FS ;
+- FILLER_48_191 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 97440 173160 ) FS ;
 - FILLER_48_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 173160 ) FS ;
 - FILLER_48_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 173160 ) FS ;
 - FILLER_48_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 173160 ) FS ;
@@ -6645,9 +6637,8 @@
 - FILLER_48_289 sky130_fd_sc_ls__decap_8 + PLACED ( 144480 173160 ) FS ;
 - FILLER_48_297 sky130_fd_sc_ls__decap_4 + PLACED ( 148320 173160 ) FS ;
 - FILLER_48_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 173160 ) FS ;
-- FILLER_48_304 sky130_fd_sc_ls__decap_4 + PLACED ( 151680 173160 ) FS ;
-- FILLER_48_311 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 155040 173160 ) FS ;
-- FILLER_48_313 sky130_fd_sc_ls__fill_1 + PLACED ( 156000 173160 ) FS ;
+- FILLER_48_304 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 151680 173160 ) FS ;
+- FILLER_48_311 sky130_fd_sc_ls__decap_8 + PLACED ( 155040 173160 ) FS ;
 - FILLER_48_319 sky130_fd_sc_ls__decap_8 + PLACED ( 158880 173160 ) FS ;
 - FILLER_48_327 sky130_fd_sc_ls__decap_8 + PLACED ( 162720 173160 ) FS ;
 - FILLER_48_335 sky130_fd_sc_ls__decap_8 + PLACED ( 166560 173160 ) FS ;
@@ -6671,23 +6662,24 @@
 - FILLER_48_454 sky130_fd_sc_ls__decap_8 + PLACED ( 223680 173160 ) FS ;
 - FILLER_48_462 sky130_fd_sc_ls__decap_4 + PLACED ( 227520 173160 ) FS ;
 - FILLER_48_466 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 229440 173160 ) FS ;
-- FILLER_48_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 173160 ) FS ;
-- FILLER_48_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 173160 ) FS ;
-- FILLER_48_485 sky130_fd_sc_ls__decap_8 + PLACED ( 238560 173160 ) FS ;
-- FILLER_48_493 sky130_fd_sc_ls__decap_8 + PLACED ( 242400 173160 ) FS ;
-- FILLER_48_501 sky130_fd_sc_ls__decap_8 + PLACED ( 246240 173160 ) FS ;
-- FILLER_48_509 sky130_fd_sc_ls__decap_8 + PLACED ( 250080 173160 ) FS ;
-- FILLER_48_517 sky130_fd_sc_ls__decap_4 + PLACED ( 253920 173160 ) FS ;
-- FILLER_48_521 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255840 173160 ) FS ;
+- FILLER_48_469 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 230880 173160 ) FS ;
+- FILLER_48_476 sky130_fd_sc_ls__decap_8 + PLACED ( 234240 173160 ) FS ;
+- FILLER_48_484 sky130_fd_sc_ls__decap_8 + PLACED ( 238080 173160 ) FS ;
+- FILLER_48_492 sky130_fd_sc_ls__decap_8 + PLACED ( 241920 173160 ) FS ;
+- FILLER_48_500 sky130_fd_sc_ls__decap_8 + PLACED ( 245760 173160 ) FS ;
+- FILLER_48_508 sky130_fd_sc_ls__decap_8 + PLACED ( 249600 173160 ) FS ;
+- FILLER_48_516 sky130_fd_sc_ls__decap_4 + PLACED ( 253440 173160 ) FS ;
+- FILLER_48_520 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255360 173160 ) FS ;
+- FILLER_48_522 sky130_fd_sc_ls__fill_1 + PLACED ( 256320 173160 ) FS ;
 - FILLER_48_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 173160 ) FS ;
 - FILLER_48_532 sky130_fd_sc_ls__decap_8 + PLACED ( 261120 173160 ) FS ;
-- FILLER_48_545 sky130_fd_sc_ls__decap_8 + PLACED ( 267360 173160 ) FS ;
-- FILLER_48_553 sky130_fd_sc_ls__decap_8 + PLACED ( 271200 173160 ) FS ;
-- FILLER_48_561 sky130_fd_sc_ls__decap_8 + PLACED ( 275040 173160 ) FS ;
-- FILLER_48_569 sky130_fd_sc_ls__decap_8 + PLACED ( 278880 173160 ) FS ;
-- FILLER_48_577 sky130_fd_sc_ls__fill_1 + PLACED ( 282720 173160 ) FS ;
-- FILLER_48_579 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 283680 173160 ) FS ;
-- FILLER_48_581 sky130_fd_sc_ls__fill_1 + PLACED ( 284640 173160 ) FS ;
+- FILLER_48_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 173160 ) FS ;
+- FILLER_48_548 sky130_fd_sc_ls__decap_8 + PLACED ( 268800 173160 ) FS ;
+- FILLER_48_556 sky130_fd_sc_ls__decap_8 + PLACED ( 272640 173160 ) FS ;
+- FILLER_48_564 sky130_fd_sc_ls__decap_8 + PLACED ( 276480 173160 ) FS ;
+- FILLER_48_572 sky130_fd_sc_ls__decap_4 + PLACED ( 280320 173160 ) FS ;
+- FILLER_48_576 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 282240 173160 ) FS ;
+- FILLER_48_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 173160 ) FS ;
 - FILLER_48_587 sky130_fd_sc_ls__decap_8 + PLACED ( 287520 173160 ) FS ;
 - FILLER_48_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 173160 ) FS ;
 - FILLER_49_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 176490 ) N ;
@@ -6703,26 +6695,24 @@
 - FILLER_49_72 sky130_fd_sc_ls__decap_8 + PLACED ( 40320 176490 ) N ;
 - FILLER_49_80 sky130_fd_sc_ls__decap_8 + PLACED ( 44160 176490 ) N ;
 - FILLER_49_88 sky130_fd_sc_ls__decap_8 + PLACED ( 48000 176490 ) N ;
-- FILLER_49_96 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 51840 176490 ) N ;
-- FILLER_49_98 sky130_fd_sc_ls__fill_1 + PLACED ( 52800 176490 ) N ;
+- FILLER_49_96 sky130_fd_sc_ls__decap_8 + PLACED ( 51840 176490 ) N ;
 - FILLER_49_104 sky130_fd_sc_ls__decap_4 + PLACED ( 55680 176490 ) N ;
 - FILLER_49_108 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 57600 176490 ) N ;
 - FILLER_49_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 176490 ) N ;
 - FILLER_49_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 176490 ) N ;
 - FILLER_49_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 176490 ) N ;
-- FILLER_49_135 sky130_fd_sc_ls__decap_8 + PLACED ( 70560 176490 ) N ;
-- FILLER_49_143 sky130_fd_sc_ls__decap_8 + PLACED ( 74400 176490 ) N ;
-- FILLER_49_151 sky130_fd_sc_ls__decap_8 + PLACED ( 78240 176490 ) N ;
-- FILLER_49_159 sky130_fd_sc_ls__decap_4 + PLACED ( 82080 176490 ) N ;
-- FILLER_49_163 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 84000 176490 ) N ;
+- FILLER_49_135 sky130_fd_sc_ls__fill_1 + PLACED ( 70560 176490 ) N ;
+- FILLER_49_141 sky130_fd_sc_ls__decap_8 + PLACED ( 73440 176490 ) N ;
+- FILLER_49_149 sky130_fd_sc_ls__decap_8 + PLACED ( 77280 176490 ) N ;
+- FILLER_49_157 sky130_fd_sc_ls__decap_8 + PLACED ( 81120 176490 ) N ;
 - FILLER_49_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 176490 ) N ;
 - FILLER_49_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 176490 ) N ;
 - FILLER_49_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 176490 ) N ;
 - FILLER_49_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 176490 ) N ;
-- FILLER_49_198 sky130_fd_sc_ls__fill_1 + PLACED ( 100800 176490 ) N ;
-- FILLER_49_204 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 103680 176490 ) N ;
-- FILLER_49_211 sky130_fd_sc_ls__decap_8 + PLACED ( 107040 176490 ) N ;
-- FILLER_49_219 sky130_fd_sc_ls__fill_1 + PLACED ( 110880 176490 ) N ;
+- FILLER_49_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 176490 ) N ;
+- FILLER_49_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 176490 ) N ;
+- FILLER_49_214 sky130_fd_sc_ls__decap_4 + PLACED ( 108480 176490 ) N ;
+- FILLER_49_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 176490 ) N ;
 - FILLER_49_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 176490 ) N ;
 - FILLER_49_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 176490 ) N ;
 - FILLER_49_237 sky130_fd_sc_ls__decap_8 + PLACED ( 119520 176490 ) N ;
@@ -6744,18 +6734,19 @@
 - FILLER_49_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 176490 ) N ;
 - FILLER_49_355 sky130_fd_sc_ls__decap_8 + PLACED ( 176160 176490 ) N ;
 - FILLER_49_363 sky130_fd_sc_ls__decap_8 + PLACED ( 180000 176490 ) N ;
-- FILLER_49_371 sky130_fd_sc_ls__decap_8 + PLACED ( 183840 176490 ) N ;
-- FILLER_49_379 sky130_fd_sc_ls__decap_4 + PLACED ( 187680 176490 ) N ;
-- FILLER_49_383 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189600 176490 ) N ;
+- FILLER_49_371 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 183840 176490 ) N ;
+- FILLER_49_376 sky130_fd_sc_ls__decap_8 + PLACED ( 186240 176490 ) N ;
+- FILLER_49_384 sky130_fd_sc_ls__fill_1 + PLACED ( 190080 176490 ) N ;
 - FILLER_49_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 176490 ) N ;
 - FILLER_49_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 176490 ) N ;
 - FILLER_49_402 sky130_fd_sc_ls__decap_8 + PLACED ( 198720 176490 ) N ;
 - FILLER_49_410 sky130_fd_sc_ls__decap_8 + PLACED ( 202560 176490 ) N ;
 - FILLER_49_418 sky130_fd_sc_ls__decap_8 + PLACED ( 206400 176490 ) N ;
-- FILLER_49_426 sky130_fd_sc_ls__decap_8 + PLACED ( 210240 176490 ) N ;
-- FILLER_49_434 sky130_fd_sc_ls__decap_4 + PLACED ( 214080 176490 ) N ;
-- FILLER_49_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 176490 ) N ;
-- FILLER_49_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 176490 ) N ;
+- FILLER_49_426 sky130_fd_sc_ls__decap_4 + PLACED ( 210240 176490 ) N ;
+- FILLER_49_435 sky130_fd_sc_ls__decap_4 + PLACED ( 214560 176490 ) N ;
+- FILLER_49_439 sky130_fd_sc_ls__fill_1 + PLACED ( 216480 176490 ) N ;
+- FILLER_49_441 sky130_fd_sc_ls__decap_4 + PLACED ( 217440 176490 ) N ;
+- FILLER_49_445 sky130_fd_sc_ls__fill_1 + PLACED ( 219360 176490 ) N ;
 - FILLER_49_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 176490 ) N ;
 - FILLER_49_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 176490 ) N ;
 - FILLER_49_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 176490 ) N ;
@@ -6768,14 +6759,16 @@
 - FILLER_49_512 sky130_fd_sc_ls__decap_8 + PLACED ( 251520 176490 ) N ;
 - FILLER_49_520 sky130_fd_sc_ls__decap_8 + PLACED ( 255360 176490 ) N ;
 - FILLER_49_528 sky130_fd_sc_ls__decap_8 + PLACED ( 259200 176490 ) N ;
-- FILLER_49_541 sky130_fd_sc_ls__decap_8 + PLACED ( 265440 176490 ) N ;
-- FILLER_49_549 sky130_fd_sc_ls__fill_1 + PLACED ( 269280 176490 ) N ;
+- FILLER_49_536 sky130_fd_sc_ls__decap_8 + PLACED ( 263040 176490 ) N ;
+- FILLER_49_544 sky130_fd_sc_ls__decap_4 + PLACED ( 266880 176490 ) N ;
+- FILLER_49_548 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268800 176490 ) N ;
 - FILLER_49_551 sky130_fd_sc_ls__decap_8 + PLACED ( 270240 176490 ) N ;
 - FILLER_49_559 sky130_fd_sc_ls__decap_8 + PLACED ( 274080 176490 ) N ;
 - FILLER_49_567 sky130_fd_sc_ls__decap_8 + PLACED ( 277920 176490 ) N ;
-- FILLER_49_575 sky130_fd_sc_ls__fill_1 + PLACED ( 281760 176490 ) N ;
-- FILLER_49_581 sky130_fd_sc_ls__decap_8 + PLACED ( 284640 176490 ) N ;
-- FILLER_49_589 sky130_fd_sc_ls__decap_8 + PLACED ( 288480 176490 ) N ;
+- FILLER_49_575 sky130_fd_sc_ls__decap_8 + PLACED ( 281760 176490 ) N ;
+- FILLER_49_583 sky130_fd_sc_ls__decap_8 + PLACED ( 285600 176490 ) N ;
+- FILLER_49_591 sky130_fd_sc_ls__decap_4 + PLACED ( 289440 176490 ) N ;
+- FILLER_49_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 176490 ) N ;
 - FILLER_50_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 179820 ) FS ;
 - FILLER_50_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 179820 ) FS ;
 - FILLER_50_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 179820 ) FS ;
@@ -6787,29 +6780,31 @@
 - FILLER_50_69 sky130_fd_sc_ls__decap_8 + PLACED ( 38880 179820 ) FS ;
 - FILLER_50_77 sky130_fd_sc_ls__decap_4 + PLACED ( 42720 179820 ) FS ;
 - FILLER_50_81 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44640 179820 ) FS ;
-- FILLER_50_84 sky130_fd_sc_ls__decap_4 + PLACED ( 46080 179820 ) FS ;
-- FILLER_50_91 sky130_fd_sc_ls__decap_8 + PLACED ( 49440 179820 ) FS ;
-- FILLER_50_99 sky130_fd_sc_ls__decap_8 + PLACED ( 53280 179820 ) FS ;
-- FILLER_50_107 sky130_fd_sc_ls__decap_8 + PLACED ( 57120 179820 ) FS ;
-- FILLER_50_115 sky130_fd_sc_ls__decap_8 + PLACED ( 60960 179820 ) FS ;
-- FILLER_50_123 sky130_fd_sc_ls__decap_8 + PLACED ( 64800 179820 ) FS ;
-- FILLER_50_131 sky130_fd_sc_ls__decap_4 + PLACED ( 68640 179820 ) FS ;
-- FILLER_50_135 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 70560 179820 ) FS ;
-- FILLER_50_137 sky130_fd_sc_ls__fill_1 + PLACED ( 71520 179820 ) FS ;
-- FILLER_50_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 179820 ) FS ;
-- FILLER_50_147 sky130_fd_sc_ls__decap_8 + PLACED ( 76320 179820 ) FS ;
-- FILLER_50_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 179820 ) FS ;
-- FILLER_50_163 sky130_fd_sc_ls__fill_1 + PLACED ( 84000 179820 ) FS ;
-- FILLER_50_169 sky130_fd_sc_ls__decap_8 + PLACED ( 86880 179820 ) FS ;
-- FILLER_50_177 sky130_fd_sc_ls__decap_8 + PLACED ( 90720 179820 ) FS ;
-- FILLER_50_185 sky130_fd_sc_ls__decap_8 + PLACED ( 94560 179820 ) FS ;
+- FILLER_50_84 sky130_fd_sc_ls__decap_8 + PLACED ( 46080 179820 ) FS ;
+- FILLER_50_92 sky130_fd_sc_ls__decap_8 + PLACED ( 49920 179820 ) FS ;
+- FILLER_50_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 179820 ) FS ;
+- FILLER_50_108 sky130_fd_sc_ls__decap_8 + PLACED ( 57600 179820 ) FS ;
+- FILLER_50_116 sky130_fd_sc_ls__decap_8 + PLACED ( 61440 179820 ) FS ;
+- FILLER_50_124 sky130_fd_sc_ls__decap_8 + PLACED ( 65280 179820 ) FS ;
+- FILLER_50_132 sky130_fd_sc_ls__decap_4 + PLACED ( 69120 179820 ) FS ;
+- FILLER_50_136 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 71040 179820 ) FS ;
+- FILLER_50_139 sky130_fd_sc_ls__decap_4 + PLACED ( 72480 179820 ) FS ;
+- FILLER_50_146 sky130_fd_sc_ls__decap_8 + PLACED ( 75840 179820 ) FS ;
+- FILLER_50_154 sky130_fd_sc_ls__decap_8 + PLACED ( 79680 179820 ) FS ;
+- FILLER_50_162 sky130_fd_sc_ls__decap_8 + PLACED ( 83520 179820 ) FS ;
+- FILLER_50_170 sky130_fd_sc_ls__decap_8 + PLACED ( 87360 179820 ) FS ;
+- FILLER_50_178 sky130_fd_sc_ls__decap_8 + PLACED ( 91200 179820 ) FS ;
+- FILLER_50_186 sky130_fd_sc_ls__decap_4 + PLACED ( 95040 179820 ) FS ;
+- FILLER_50_190 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 96960 179820 ) FS ;
+- FILLER_50_192 sky130_fd_sc_ls__fill_1 + PLACED ( 97920 179820 ) FS ;
 - FILLER_50_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 179820 ) FS ;
-- FILLER_50_202 sky130_fd_sc_ls__fill_1 + PLACED ( 102720 179820 ) FS ;
-- FILLER_50_208 sky130_fd_sc_ls__decap_8 + PLACED ( 105600 179820 ) FS ;
-- FILLER_50_216 sky130_fd_sc_ls__decap_8 + PLACED ( 109440 179820 ) FS ;
-- FILLER_50_224 sky130_fd_sc_ls__decap_8 + PLACED ( 113280 179820 ) FS ;
-- FILLER_50_232 sky130_fd_sc_ls__decap_8 + PLACED ( 117120 179820 ) FS ;
-- FILLER_50_240 sky130_fd_sc_ls__decap_8 + PLACED ( 120960 179820 ) FS ;
+- FILLER_50_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 179820 ) FS ;
+- FILLER_50_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 179820 ) FS ;
+- FILLER_50_218 sky130_fd_sc_ls__decap_8 + PLACED ( 110400 179820 ) FS ;
+- FILLER_50_226 sky130_fd_sc_ls__decap_8 + PLACED ( 114240 179820 ) FS ;
+- FILLER_50_234 sky130_fd_sc_ls__decap_8 + PLACED ( 118080 179820 ) FS ;
+- FILLER_50_242 sky130_fd_sc_ls__decap_4 + PLACED ( 121920 179820 ) FS ;
+- FILLER_50_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 179820 ) FS ;
 - FILLER_50_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 179820 ) FS ;
 - FILLER_50_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 179820 ) FS ;
 - FILLER_50_265 sky130_fd_sc_ls__decap_8 + PLACED ( 132960 179820 ) FS ;
@@ -6838,10 +6833,10 @@
 - FILLER_50_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 179820 ) FS ;
 - FILLER_50_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 179820 ) FS ;
 - FILLER_50_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 179820 ) FS ;
-- FILLER_50_446 sky130_fd_sc_ls__decap_4 + PLACED ( 219840 179820 ) FS ;
-- FILLER_50_455 sky130_fd_sc_ls__decap_8 + PLACED ( 224160 179820 ) FS ;
-- FILLER_50_463 sky130_fd_sc_ls__decap_4 + PLACED ( 228000 179820 ) FS ;
-- FILLER_50_467 sky130_fd_sc_ls__fill_1 + PLACED ( 229920 179820 ) FS ;
+- FILLER_50_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 179820 ) FS ;
+- FILLER_50_454 sky130_fd_sc_ls__decap_8 + PLACED ( 223680 179820 ) FS ;
+- FILLER_50_462 sky130_fd_sc_ls__decap_4 + PLACED ( 227520 179820 ) FS ;
+- FILLER_50_466 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 229440 179820 ) FS ;
 - FILLER_50_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 179820 ) FS ;
 - FILLER_50_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 179820 ) FS ;
 - FILLER_50_485 sky130_fd_sc_ls__decap_8 + PLACED ( 238560 179820 ) FS ;
@@ -6880,11 +6875,11 @@
 - FILLER_51_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 183150 ) N ;
 - FILLER_51_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 183150 ) N ;
 - FILLER_51_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 183150 ) N ;
-- FILLER_51_135 sky130_fd_sc_ls__decap_4 + PLACED ( 70560 183150 ) N ;
-- FILLER_51_144 sky130_fd_sc_ls__decap_8 + PLACED ( 74880 183150 ) N ;
-- FILLER_51_152 sky130_fd_sc_ls__decap_8 + PLACED ( 78720 183150 ) N ;
-- FILLER_51_160 sky130_fd_sc_ls__decap_4 + PLACED ( 82560 183150 ) N ;
-- FILLER_51_164 sky130_fd_sc_ls__fill_1 + PLACED ( 84480 183150 ) N ;
+- FILLER_51_135 sky130_fd_sc_ls__decap_8 + PLACED ( 70560 183150 ) N ;
+- FILLER_51_143 sky130_fd_sc_ls__decap_8 + PLACED ( 74400 183150 ) N ;
+- FILLER_51_151 sky130_fd_sc_ls__decap_8 + PLACED ( 78240 183150 ) N ;
+- FILLER_51_159 sky130_fd_sc_ls__decap_4 + PLACED ( 82080 183150 ) N ;
+- FILLER_51_163 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 84000 183150 ) N ;
 - FILLER_51_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 183150 ) N ;
 - FILLER_51_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 183150 ) N ;
 - FILLER_51_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 183150 ) N ;
@@ -6894,22 +6889,22 @@
 - FILLER_51_214 sky130_fd_sc_ls__decap_4 + PLACED ( 108480 183150 ) N ;
 - FILLER_51_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 183150 ) N ;
 - FILLER_51_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 183150 ) N ;
-- FILLER_51_229 sky130_fd_sc_ls__decap_4 + PLACED ( 115680 183150 ) N ;
-- FILLER_51_233 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 117600 183150 ) N ;
-- FILLER_51_235 sky130_fd_sc_ls__fill_1 + PLACED ( 118560 183150 ) N ;
-- FILLER_51_241 sky130_fd_sc_ls__decap_8 + PLACED ( 121440 183150 ) N ;
-- FILLER_51_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 183150 ) N ;
-- FILLER_51_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 183150 ) N ;
-- FILLER_51_265 sky130_fd_sc_ls__decap_8 + PLACED ( 132960 183150 ) N ;
+- FILLER_51_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 183150 ) N ;
+- FILLER_51_237 sky130_fd_sc_ls__decap_8 + PLACED ( 119520 183150 ) N ;
+- FILLER_51_245 sky130_fd_sc_ls__decap_8 + PLACED ( 123360 183150 ) N ;
+- FILLER_51_253 sky130_fd_sc_ls__decap_8 + PLACED ( 127200 183150 ) N ;
+- FILLER_51_261 sky130_fd_sc_ls__decap_8 + PLACED ( 131040 183150 ) N ;
+- FILLER_51_269 sky130_fd_sc_ls__decap_4 + PLACED ( 134880 183150 ) N ;
 - FILLER_51_273 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136800 183150 ) N ;
-- FILLER_51_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 183150 ) N ;
-- FILLER_51_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 183150 ) N ;
-- FILLER_51_292 sky130_fd_sc_ls__decap_8 + PLACED ( 145920 183150 ) N ;
-- FILLER_51_300 sky130_fd_sc_ls__decap_8 + PLACED ( 149760 183150 ) N ;
-- FILLER_51_308 sky130_fd_sc_ls__decap_8 + PLACED ( 153600 183150 ) N ;
-- FILLER_51_316 sky130_fd_sc_ls__decap_8 + PLACED ( 157440 183150 ) N ;
-- FILLER_51_324 sky130_fd_sc_ls__decap_4 + PLACED ( 161280 183150 ) N ;
-- FILLER_51_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 183150 ) N ;
+- FILLER_51_276 sky130_fd_sc_ls__decap_4 + PLACED ( 138240 183150 ) N ;
+- FILLER_51_280 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 140160 183150 ) N ;
+- FILLER_51_287 sky130_fd_sc_ls__decap_8 + PLACED ( 143520 183150 ) N ;
+- FILLER_51_295 sky130_fd_sc_ls__decap_8 + PLACED ( 147360 183150 ) N ;
+- FILLER_51_303 sky130_fd_sc_ls__decap_8 + PLACED ( 151200 183150 ) N ;
+- FILLER_51_311 sky130_fd_sc_ls__decap_8 + PLACED ( 155040 183150 ) N ;
+- FILLER_51_319 sky130_fd_sc_ls__decap_8 + PLACED ( 158880 183150 ) N ;
+- FILLER_51_327 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 162720 183150 ) N ;
+- FILLER_51_329 sky130_fd_sc_ls__fill_1 + PLACED ( 163680 183150 ) N ;
 - FILLER_51_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 183150 ) N ;
 - FILLER_51_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 183150 ) N ;
 - FILLER_51_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 183150 ) N ;
@@ -6922,10 +6917,10 @@
 - FILLER_51_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 183150 ) N ;
 - FILLER_51_402 sky130_fd_sc_ls__decap_8 + PLACED ( 198720 183150 ) N ;
 - FILLER_51_410 sky130_fd_sc_ls__decap_8 + PLACED ( 202560 183150 ) N ;
-- FILLER_51_418 sky130_fd_sc_ls__decap_8 + PLACED ( 206400 183150 ) N ;
-- FILLER_51_426 sky130_fd_sc_ls__decap_8 + PLACED ( 210240 183150 ) N ;
-- FILLER_51_434 sky130_fd_sc_ls__decap_4 + PLACED ( 214080 183150 ) N ;
-- FILLER_51_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 183150 ) N ;
+- FILLER_51_421 sky130_fd_sc_ls__decap_8 + PLACED ( 207840 183150 ) N ;
+- FILLER_51_429 sky130_fd_sc_ls__decap_8 + PLACED ( 211680 183150 ) N ;
+- FILLER_51_437 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 215520 183150 ) N ;
+- FILLER_51_439 sky130_fd_sc_ls__fill_1 + PLACED ( 216480 183150 ) N ;
 - FILLER_51_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 183150 ) N ;
 - FILLER_51_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 183150 ) N ;
 - FILLER_51_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 183150 ) N ;
@@ -6953,15 +6948,13 @@
 - FILLER_52_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 186480 ) FS ;
 - FILLER_52_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 186480 ) FS ;
 - FILLER_52_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 186480 ) FS ;
-- FILLER_52_37 sky130_fd_sc_ls__fill_1 + PLACED ( 23520 186480 ) FS ;
-- FILLER_52_41 sky130_fd_sc_ls__decap_4 + PLACED ( 25440 186480 ) FS ;
-- FILLER_52_45 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 27360 186480 ) FS ;
-- FILLER_52_52 sky130_fd_sc_ls__decap_8 + PLACED ( 30720 186480 ) FS ;
-- FILLER_52_60 sky130_fd_sc_ls__decap_8 + PLACED ( 34560 186480 ) FS ;
-- FILLER_52_68 sky130_fd_sc_ls__decap_8 + PLACED ( 38400 186480 ) FS ;
-- FILLER_52_76 sky130_fd_sc_ls__decap_4 + PLACED ( 42240 186480 ) FS ;
-- FILLER_52_80 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44160 186480 ) FS ;
-- FILLER_52_82 sky130_fd_sc_ls__fill_1 + PLACED ( 45120 186480 ) FS ;
+- FILLER_52_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 186480 ) FS ;
+- FILLER_52_45 sky130_fd_sc_ls__decap_8 + PLACED ( 27360 186480 ) FS ;
+- FILLER_52_53 sky130_fd_sc_ls__decap_8 + PLACED ( 31200 186480 ) FS ;
+- FILLER_52_61 sky130_fd_sc_ls__decap_8 + PLACED ( 35040 186480 ) FS ;
+- FILLER_52_69 sky130_fd_sc_ls__decap_8 + PLACED ( 38880 186480 ) FS ;
+- FILLER_52_77 sky130_fd_sc_ls__decap_4 + PLACED ( 42720 186480 ) FS ;
+- FILLER_52_81 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44640 186480 ) FS ;
 - FILLER_52_84 sky130_fd_sc_ls__decap_8 + PLACED ( 46080 186480 ) FS ;
 - FILLER_52_92 sky130_fd_sc_ls__decap_8 + PLACED ( 49920 186480 ) FS ;
 - FILLER_52_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 186480 ) FS ;
@@ -6999,9 +6992,9 @@
 - FILLER_52_320 sky130_fd_sc_ls__decap_8 + PLACED ( 159360 186480 ) FS ;
 - FILLER_52_328 sky130_fd_sc_ls__decap_8 + PLACED ( 163200 186480 ) FS ;
 - FILLER_52_336 sky130_fd_sc_ls__decap_8 + PLACED ( 167040 186480 ) FS ;
-- FILLER_52_344 sky130_fd_sc_ls__decap_8 + PLACED ( 170880 186480 ) FS ;
-- FILLER_52_352 sky130_fd_sc_ls__decap_4 + PLACED ( 174720 186480 ) FS ;
-- FILLER_52_356 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176640 186480 ) FS ;
+- FILLER_52_344 sky130_fd_sc_ls__decap_4 + PLACED ( 170880 186480 ) FS ;
+- FILLER_52_348 sky130_fd_sc_ls__fill_1 + PLACED ( 172800 186480 ) FS ;
+- FILLER_52_354 sky130_fd_sc_ls__decap_4 + PLACED ( 175680 186480 ) FS ;
 - FILLER_52_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 186480 ) FS ;
 - FILLER_52_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 186480 ) FS ;
 - FILLER_52_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 186480 ) FS ;
@@ -7012,13 +7005,12 @@
 - FILLER_52_411 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 203040 186480 ) FS ;
 - FILLER_52_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 186480 ) FS ;
 - FILLER_52_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 186480 ) FS ;
-- FILLER_52_430 sky130_fd_sc_ls__decap_4 + PLACED ( 212160 186480 ) FS ;
-- FILLER_52_434 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 214080 186480 ) FS ;
-- FILLER_52_436 sky130_fd_sc_ls__fill_1 + PLACED ( 215040 186480 ) FS ;
-- FILLER_52_440 sky130_fd_sc_ls__decap_8 + PLACED ( 216960 186480 ) FS ;
-- FILLER_52_448 sky130_fd_sc_ls__decap_8 + PLACED ( 220800 186480 ) FS ;
-- FILLER_52_456 sky130_fd_sc_ls__decap_8 + PLACED ( 224640 186480 ) FS ;
-- FILLER_52_464 sky130_fd_sc_ls__decap_4 + PLACED ( 228480 186480 ) FS ;
+- FILLER_52_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 186480 ) FS ;
+- FILLER_52_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 186480 ) FS ;
+- FILLER_52_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 186480 ) FS ;
+- FILLER_52_454 sky130_fd_sc_ls__decap_8 + PLACED ( 223680 186480 ) FS ;
+- FILLER_52_462 sky130_fd_sc_ls__decap_4 + PLACED ( 227520 186480 ) FS ;
+- FILLER_52_466 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 229440 186480 ) FS ;
 - FILLER_52_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 186480 ) FS ;
 - FILLER_52_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 186480 ) FS ;
 - FILLER_52_485 sky130_fd_sc_ls__decap_8 + PLACED ( 238560 186480 ) FS ;
@@ -7040,11 +7032,11 @@
 - FILLER_52_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 186480 ) FS ;
 - FILLER_53_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 189810 ) N ;
 - FILLER_53_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 189810 ) N ;
-- FILLER_53_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 189810 ) N ;
-- FILLER_53_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 189810 ) N ;
-- FILLER_53_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 189810 ) N ;
-- FILLER_53_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 189810 ) N ;
-- FILLER_53_52 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 30720 189810 ) N ;
+- FILLER_53_20 sky130_fd_sc_ls__fill_1 + PLACED ( 15360 189810 ) N ;
+- FILLER_53_26 sky130_fd_sc_ls__decap_8 + PLACED ( 18240 189810 ) N ;
+- FILLER_53_34 sky130_fd_sc_ls__decap_8 + PLACED ( 22080 189810 ) N ;
+- FILLER_53_42 sky130_fd_sc_ls__decap_8 + PLACED ( 25920 189810 ) N ;
+- FILLER_53_50 sky130_fd_sc_ls__decap_4 + PLACED ( 29760 189810 ) N ;
 - FILLER_53_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 189810 ) N ;
 - FILLER_53_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 189810 ) N ;
 - FILLER_53_64 sky130_fd_sc_ls__decap_8 + PLACED ( 36480 189810 ) N ;
@@ -7087,13 +7079,13 @@
 - FILLER_53_324 sky130_fd_sc_ls__decap_4 + PLACED ( 161280 189810 ) N ;
 - FILLER_53_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 189810 ) N ;
 - FILLER_53_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 189810 ) N ;
-- FILLER_53_339 sky130_fd_sc_ls__decap_4 + PLACED ( 168480 189810 ) N ;
-- FILLER_53_343 sky130_fd_sc_ls__fill_1 + PLACED ( 170400 189810 ) N ;
-- FILLER_53_349 sky130_fd_sc_ls__decap_8 + PLACED ( 173280 189810 ) N ;
-- FILLER_53_357 sky130_fd_sc_ls__decap_8 + PLACED ( 177120 189810 ) N ;
-- FILLER_53_365 sky130_fd_sc_ls__decap_8 + PLACED ( 180960 189810 ) N ;
-- FILLER_53_373 sky130_fd_sc_ls__decap_8 + PLACED ( 184800 189810 ) N ;
-- FILLER_53_381 sky130_fd_sc_ls__decap_4 + PLACED ( 188640 189810 ) N ;
+- FILLER_53_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 189810 ) N ;
+- FILLER_53_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 189810 ) N ;
+- FILLER_53_355 sky130_fd_sc_ls__decap_8 + PLACED ( 176160 189810 ) N ;
+- FILLER_53_363 sky130_fd_sc_ls__decap_8 + PLACED ( 180000 189810 ) N ;
+- FILLER_53_371 sky130_fd_sc_ls__decap_8 + PLACED ( 183840 189810 ) N ;
+- FILLER_53_379 sky130_fd_sc_ls__decap_4 + PLACED ( 187680 189810 ) N ;
+- FILLER_53_383 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189600 189810 ) N ;
 - FILLER_53_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 189810 ) N ;
 - FILLER_53_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 189810 ) N ;
 - FILLER_53_402 sky130_fd_sc_ls__decap_8 + PLACED ( 198720 189810 ) N ;
@@ -7118,14 +7110,13 @@
 - FILLER_53_536 sky130_fd_sc_ls__decap_8 + PLACED ( 263040 189810 ) N ;
 - FILLER_53_544 sky130_fd_sc_ls__decap_4 + PLACED ( 266880 189810 ) N ;
 - FILLER_53_548 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268800 189810 ) N ;
-- FILLER_53_551 sky130_fd_sc_ls__decap_4 + PLACED ( 270240 189810 ) N ;
-- FILLER_53_558 sky130_fd_sc_ls__decap_4 + PLACED ( 273600 189810 ) N ;
-- FILLER_53_562 sky130_fd_sc_ls__fill_1 + PLACED ( 275520 189810 ) N ;
-- FILLER_53_568 sky130_fd_sc_ls__decap_8 + PLACED ( 278400 189810 ) N ;
-- FILLER_53_576 sky130_fd_sc_ls__decap_8 + PLACED ( 282240 189810 ) N ;
-- FILLER_53_584 sky130_fd_sc_ls__decap_8 + PLACED ( 286080 189810 ) N ;
-- FILLER_53_592 sky130_fd_sc_ls__decap_4 + PLACED ( 289920 189810 ) N ;
-- FILLER_53_596 sky130_fd_sc_ls__fill_1 + PLACED ( 291840 189810 ) N ;
+- FILLER_53_551 sky130_fd_sc_ls__decap_8 + PLACED ( 270240 189810 ) N ;
+- FILLER_53_559 sky130_fd_sc_ls__decap_8 + PLACED ( 274080 189810 ) N ;
+- FILLER_53_567 sky130_fd_sc_ls__decap_8 + PLACED ( 277920 189810 ) N ;
+- FILLER_53_575 sky130_fd_sc_ls__decap_8 + PLACED ( 281760 189810 ) N ;
+- FILLER_53_583 sky130_fd_sc_ls__decap_8 + PLACED ( 285600 189810 ) N ;
+- FILLER_53_591 sky130_fd_sc_ls__decap_4 + PLACED ( 289440 189810 ) N ;
+- FILLER_53_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 189810 ) N ;
 - FILLER_54_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 193140 ) FS ;
 - FILLER_54_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 193140 ) FS ;
 - FILLER_54_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 193140 ) FS ;
@@ -7138,8 +7129,7 @@
 - FILLER_54_77 sky130_fd_sc_ls__decap_4 + PLACED ( 42720 193140 ) FS ;
 - FILLER_54_81 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44640 193140 ) FS ;
 - FILLER_54_84 sky130_fd_sc_ls__decap_8 + PLACED ( 46080 193140 ) FS ;
-- FILLER_54_92 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 49920 193140 ) FS ;
-- FILLER_54_94 sky130_fd_sc_ls__fill_1 + PLACED ( 50880 193140 ) FS ;
+- FILLER_54_92 sky130_fd_sc_ls__decap_8 + PLACED ( 49920 193140 ) FS ;
 - FILLER_54_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 193140 ) FS ;
 - FILLER_54_108 sky130_fd_sc_ls__decap_8 + PLACED ( 57600 193140 ) FS ;
 - FILLER_54_116 sky130_fd_sc_ls__decap_8 + PLACED ( 61440 193140 ) FS ;
@@ -7159,24 +7149,26 @@
 - FILLER_54_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 193140 ) FS ;
 - FILLER_54_218 sky130_fd_sc_ls__decap_8 + PLACED ( 110400 193140 ) FS ;
 - FILLER_54_226 sky130_fd_sc_ls__decap_8 + PLACED ( 114240 193140 ) FS ;
-- FILLER_54_234 sky130_fd_sc_ls__decap_4 + PLACED ( 118080 193140 ) FS ;
-- FILLER_54_243 sky130_fd_sc_ls__decap_4 + PLACED ( 122400 193140 ) FS ;
-- FILLER_54_247 sky130_fd_sc_ls__fill_1 + PLACED ( 124320 193140 ) FS ;
+- FILLER_54_234 sky130_fd_sc_ls__decap_8 + PLACED ( 118080 193140 ) FS ;
+- FILLER_54_242 sky130_fd_sc_ls__decap_4 + PLACED ( 121920 193140 ) FS ;
+- FILLER_54_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 193140 ) FS ;
 - FILLER_54_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 193140 ) FS ;
 - FILLER_54_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 193140 ) FS ;
-- FILLER_54_268 sky130_fd_sc_ls__decap_8 + PLACED ( 134400 193140 ) FS ;
-- FILLER_54_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 193140 ) FS ;
-- FILLER_54_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 193140 ) FS ;
-- FILLER_54_292 sky130_fd_sc_ls__decap_8 + PLACED ( 145920 193140 ) FS ;
-- FILLER_54_300 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 149760 193140 ) FS ;
-- FILLER_54_302 sky130_fd_sc_ls__fill_1 + PLACED ( 150720 193140 ) FS ;
+- FILLER_54_265 sky130_fd_sc_ls__decap_8 + PLACED ( 132960 193140 ) FS ;
+- FILLER_54_273 sky130_fd_sc_ls__decap_8 + PLACED ( 136800 193140 ) FS ;
+- FILLER_54_281 sky130_fd_sc_ls__decap_8 + PLACED ( 140640 193140 ) FS ;
+- FILLER_54_289 sky130_fd_sc_ls__decap_8 + PLACED ( 144480 193140 ) FS ;
+- FILLER_54_297 sky130_fd_sc_ls__decap_4 + PLACED ( 148320 193140 ) FS ;
+- FILLER_54_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 193140 ) FS ;
 - FILLER_54_304 sky130_fd_sc_ls__decap_4 + PLACED ( 151680 193140 ) FS ;
-- FILLER_54_311 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 155040 193140 ) FS ;
-- FILLER_54_318 sky130_fd_sc_ls__decap_8 + PLACED ( 158400 193140 ) FS ;
-- FILLER_54_326 sky130_fd_sc_ls__decap_8 + PLACED ( 162240 193140 ) FS ;
-- FILLER_54_334 sky130_fd_sc_ls__decap_8 + PLACED ( 166080 193140 ) FS ;
-- FILLER_54_342 sky130_fd_sc_ls__decap_8 + PLACED ( 169920 193140 ) FS ;
-- FILLER_54_350 sky130_fd_sc_ls__decap_8 + PLACED ( 173760 193140 ) FS ;
+- FILLER_54_311 sky130_fd_sc_ls__decap_8 + PLACED ( 155040 193140 ) FS ;
+- FILLER_54_319 sky130_fd_sc_ls__decap_8 + PLACED ( 158880 193140 ) FS ;
+- FILLER_54_327 sky130_fd_sc_ls__decap_8 + PLACED ( 162720 193140 ) FS ;
+- FILLER_54_335 sky130_fd_sc_ls__decap_8 + PLACED ( 166560 193140 ) FS ;
+- FILLER_54_343 sky130_fd_sc_ls__decap_8 + PLACED ( 170400 193140 ) FS ;
+- FILLER_54_351 sky130_fd_sc_ls__decap_4 + PLACED ( 174240 193140 ) FS ;
+- FILLER_54_355 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176160 193140 ) FS ;
+- FILLER_54_357 sky130_fd_sc_ls__fill_1 + PLACED ( 177120 193140 ) FS ;
 - FILLER_54_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 193140 ) FS ;
 - FILLER_54_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 193140 ) FS ;
 - FILLER_54_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 193140 ) FS ;
@@ -7187,12 +7179,13 @@
 - FILLER_54_411 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 203040 193140 ) FS ;
 - FILLER_54_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 193140 ) FS ;
 - FILLER_54_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 193140 ) FS ;
-- FILLER_54_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 193140 ) FS ;
-- FILLER_54_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 193140 ) FS ;
-- FILLER_54_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 193140 ) FS ;
-- FILLER_54_454 sky130_fd_sc_ls__decap_8 + PLACED ( 223680 193140 ) FS ;
-- FILLER_54_462 sky130_fd_sc_ls__decap_4 + PLACED ( 227520 193140 ) FS ;
-- FILLER_54_466 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 229440 193140 ) FS ;
+- FILLER_54_430 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 212160 193140 ) FS ;
+- FILLER_54_437 sky130_fd_sc_ls__decap_8 + PLACED ( 215520 193140 ) FS ;
+- FILLER_54_445 sky130_fd_sc_ls__decap_8 + PLACED ( 219360 193140 ) FS ;
+- FILLER_54_453 sky130_fd_sc_ls__decap_8 + PLACED ( 223200 193140 ) FS ;
+- FILLER_54_461 sky130_fd_sc_ls__decap_4 + PLACED ( 227040 193140 ) FS ;
+- FILLER_54_465 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 228960 193140 ) FS ;
+- FILLER_54_467 sky130_fd_sc_ls__fill_1 + PLACED ( 229920 193140 ) FS ;
 - FILLER_54_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 193140 ) FS ;
 - FILLER_54_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 193140 ) FS ;
 - FILLER_54_485 sky130_fd_sc_ls__decap_8 + PLACED ( 238560 193140 ) FS ;
@@ -7210,8 +7203,8 @@
 - FILLER_54_572 sky130_fd_sc_ls__decap_4 + PLACED ( 280320 193140 ) FS ;
 - FILLER_54_576 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 282240 193140 ) FS ;
 - FILLER_54_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 193140 ) FS ;
-- FILLER_54_587 sky130_fd_sc_ls__fill_1 + PLACED ( 287520 193140 ) FS ;
-- FILLER_54_593 sky130_fd_sc_ls__decap_4 + PLACED ( 290400 193140 ) FS ;
+- FILLER_54_587 sky130_fd_sc_ls__decap_8 + PLACED ( 287520 193140 ) FS ;
+- FILLER_54_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 193140 ) FS ;
 - FILLER_55_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 196470 ) N ;
 - FILLER_55_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 196470 ) N ;
 - FILLER_55_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 196470 ) N ;
@@ -7248,18 +7241,20 @@
 - FILLER_55_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 196470 ) N ;
 - FILLER_55_237 sky130_fd_sc_ls__decap_8 + PLACED ( 119520 196470 ) N ;
 - FILLER_55_245 sky130_fd_sc_ls__decap_8 + PLACED ( 123360 196470 ) N ;
-- FILLER_55_253 sky130_fd_sc_ls__decap_8 + PLACED ( 127200 196470 ) N ;
+- FILLER_55_253 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 127200 196470 ) N ;
+- FILLER_55_255 sky130_fd_sc_ls__fill_1 + PLACED ( 128160 196470 ) N ;
 - FILLER_55_261 sky130_fd_sc_ls__decap_8 + PLACED ( 131040 196470 ) N ;
 - FILLER_55_269 sky130_fd_sc_ls__decap_4 + PLACED ( 134880 196470 ) N ;
 - FILLER_55_273 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136800 196470 ) N ;
-- FILLER_55_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 196470 ) N ;
-- FILLER_55_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 196470 ) N ;
-- FILLER_55_292 sky130_fd_sc_ls__decap_8 + PLACED ( 145920 196470 ) N ;
-- FILLER_55_300 sky130_fd_sc_ls__decap_8 + PLACED ( 149760 196470 ) N ;
-- FILLER_55_308 sky130_fd_sc_ls__decap_8 + PLACED ( 153600 196470 ) N ;
-- FILLER_55_316 sky130_fd_sc_ls__decap_8 + PLACED ( 157440 196470 ) N ;
-- FILLER_55_324 sky130_fd_sc_ls__decap_4 + PLACED ( 161280 196470 ) N ;
-- FILLER_55_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 196470 ) N ;
+- FILLER_55_276 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 138240 196470 ) N ;
+- FILLER_55_283 sky130_fd_sc_ls__decap_8 + PLACED ( 141600 196470 ) N ;
+- FILLER_55_291 sky130_fd_sc_ls__decap_8 + PLACED ( 145440 196470 ) N ;
+- FILLER_55_299 sky130_fd_sc_ls__decap_8 + PLACED ( 149280 196470 ) N ;
+- FILLER_55_307 sky130_fd_sc_ls__decap_8 + PLACED ( 153120 196470 ) N ;
+- FILLER_55_315 sky130_fd_sc_ls__fill_1 + PLACED ( 156960 196470 ) N ;
+- FILLER_55_319 sky130_fd_sc_ls__decap_8 + PLACED ( 158880 196470 ) N ;
+- FILLER_55_327 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 162720 196470 ) N ;
+- FILLER_55_329 sky130_fd_sc_ls__fill_1 + PLACED ( 163680 196470 ) N ;
 - FILLER_55_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 196470 ) N ;
 - FILLER_55_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 196470 ) N ;
 - FILLER_55_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 196470 ) N ;
@@ -7296,9 +7291,9 @@
 - FILLER_55_559 sky130_fd_sc_ls__decap_8 + PLACED ( 274080 196470 ) N ;
 - FILLER_55_567 sky130_fd_sc_ls__decap_8 + PLACED ( 277920 196470 ) N ;
 - FILLER_55_575 sky130_fd_sc_ls__decap_8 + PLACED ( 281760 196470 ) N ;
-- FILLER_55_583 sky130_fd_sc_ls__decap_4 + PLACED ( 285600 196470 ) N ;
-- FILLER_55_587 sky130_fd_sc_ls__fill_1 + PLACED ( 287520 196470 ) N ;
-- FILLER_55_593 sky130_fd_sc_ls__decap_4 + PLACED ( 290400 196470 ) N ;
+- FILLER_55_583 sky130_fd_sc_ls__decap_8 + PLACED ( 285600 196470 ) N ;
+- FILLER_55_591 sky130_fd_sc_ls__decap_4 + PLACED ( 289440 196470 ) N ;
+- FILLER_55_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 196470 ) N ;
 - FILLER_56_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 199800 ) FS ;
 - FILLER_56_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 199800 ) FS ;
 - FILLER_56_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 199800 ) FS ;
@@ -7315,9 +7310,8 @@
 - FILLER_56_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 199800 ) FS ;
 - FILLER_56_108 sky130_fd_sc_ls__decap_8 + PLACED ( 57600 199800 ) FS ;
 - FILLER_56_116 sky130_fd_sc_ls__decap_8 + PLACED ( 61440 199800 ) FS ;
-- FILLER_56_124 sky130_fd_sc_ls__decap_8 + PLACED ( 65280 199800 ) FS ;
-- FILLER_56_132 sky130_fd_sc_ls__decap_4 + PLACED ( 69120 199800 ) FS ;
-- FILLER_56_136 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 71040 199800 ) FS ;
+- FILLER_56_129 sky130_fd_sc_ls__decap_8 + PLACED ( 67680 199800 ) FS ;
+- FILLER_56_137 sky130_fd_sc_ls__fill_1 + PLACED ( 71520 199800 ) FS ;
 - FILLER_56_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 199800 ) FS ;
 - FILLER_56_147 sky130_fd_sc_ls__decap_8 + PLACED ( 76320 199800 ) FS ;
 - FILLER_56_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 199800 ) FS ;
@@ -7336,11 +7330,12 @@
 - FILLER_56_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 199800 ) FS ;
 - FILLER_56_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 199800 ) FS ;
 - FILLER_56_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 199800 ) FS ;
-- FILLER_56_270 sky130_fd_sc_ls__decap_8 + PLACED ( 135360 199800 ) FS ;
-- FILLER_56_278 sky130_fd_sc_ls__decap_8 + PLACED ( 139200 199800 ) FS ;
-- FILLER_56_286 sky130_fd_sc_ls__decap_8 + PLACED ( 143040 199800 ) FS ;
-- FILLER_56_294 sky130_fd_sc_ls__decap_8 + PLACED ( 146880 199800 ) FS ;
-- FILLER_56_302 sky130_fd_sc_ls__fill_1 + PLACED ( 150720 199800 ) FS ;
+- FILLER_56_265 sky130_fd_sc_ls__decap_8 + PLACED ( 132960 199800 ) FS ;
+- FILLER_56_273 sky130_fd_sc_ls__decap_8 + PLACED ( 136800 199800 ) FS ;
+- FILLER_56_281 sky130_fd_sc_ls__decap_8 + PLACED ( 140640 199800 ) FS ;
+- FILLER_56_289 sky130_fd_sc_ls__decap_8 + PLACED ( 144480 199800 ) FS ;
+- FILLER_56_297 sky130_fd_sc_ls__decap_4 + PLACED ( 148320 199800 ) FS ;
+- FILLER_56_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 199800 ) FS ;
 - FILLER_56_304 sky130_fd_sc_ls__decap_8 + PLACED ( 151680 199800 ) FS ;
 - FILLER_56_312 sky130_fd_sc_ls__decap_8 + PLACED ( 155520 199800 ) FS ;
 - FILLER_56_320 sky130_fd_sc_ls__decap_8 + PLACED ( 159360 199800 ) FS ;
@@ -7362,9 +7357,9 @@
 - FILLER_56_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 199800 ) FS ;
 - FILLER_56_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 199800 ) FS ;
 - FILLER_56_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 199800 ) FS ;
-- FILLER_56_454 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 223680 199800 ) FS ;
-- FILLER_56_459 sky130_fd_sc_ls__decap_8 + PLACED ( 226080 199800 ) FS ;
-- FILLER_56_467 sky130_fd_sc_ls__fill_1 + PLACED ( 229920 199800 ) FS ;
+- FILLER_56_454 sky130_fd_sc_ls__decap_8 + PLACED ( 223680 199800 ) FS ;
+- FILLER_56_462 sky130_fd_sc_ls__decap_4 + PLACED ( 227520 199800 ) FS ;
+- FILLER_56_466 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 229440 199800 ) FS ;
 - FILLER_56_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 199800 ) FS ;
 - FILLER_56_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 199800 ) FS ;
 - FILLER_56_485 sky130_fd_sc_ls__decap_8 + PLACED ( 238560 199800 ) FS ;
@@ -7381,19 +7376,16 @@
 - FILLER_56_564 sky130_fd_sc_ls__decap_8 + PLACED ( 276480 199800 ) FS ;
 - FILLER_56_572 sky130_fd_sc_ls__decap_4 + PLACED ( 280320 199800 ) FS ;
 - FILLER_56_576 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 282240 199800 ) FS ;
-- FILLER_56_579 sky130_fd_sc_ls__decap_4 + PLACED ( 283680 199800 ) FS ;
-- FILLER_56_583 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 285600 199800 ) FS ;
-- FILLER_56_590 sky130_fd_sc_ls__decap_4 + PLACED ( 288960 199800 ) FS ;
-- FILLER_56_594 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 290880 199800 ) FS ;
-- FILLER_56_596 sky130_fd_sc_ls__fill_1 + PLACED ( 291840 199800 ) FS ;
-- FILLER_57_4 sky130_fd_sc_ls__fill_1 + PLACED ( 7680 203130 ) N ;
-- FILLER_57_10 sky130_fd_sc_ls__decap_8 + PLACED ( 10560 203130 ) N ;
-- FILLER_57_18 sky130_fd_sc_ls__decap_8 + PLACED ( 14400 203130 ) N ;
-- FILLER_57_26 sky130_fd_sc_ls__decap_8 + PLACED ( 18240 203130 ) N ;
-- FILLER_57_34 sky130_fd_sc_ls__decap_8 + PLACED ( 22080 203130 ) N ;
-- FILLER_57_42 sky130_fd_sc_ls__decap_8 + PLACED ( 25920 203130 ) N ;
-- FILLER_57_50 sky130_fd_sc_ls__decap_4 + PLACED ( 29760 203130 ) N ;
-- FILLER_57_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 203130 ) N ;
+- FILLER_56_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 199800 ) FS ;
+- FILLER_56_587 sky130_fd_sc_ls__decap_8 + PLACED ( 287520 199800 ) FS ;
+- FILLER_56_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 199800 ) FS ;
+- FILLER_57_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 203130 ) N ;
+- FILLER_57_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 203130 ) N ;
+- FILLER_57_20 sky130_fd_sc_ls__decap_4 + PLACED ( 15360 203130 ) N ;
+- FILLER_57_24 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 17280 203130 ) N ;
+- FILLER_57_31 sky130_fd_sc_ls__decap_8 + PLACED ( 20640 203130 ) N ;
+- FILLER_57_39 sky130_fd_sc_ls__decap_8 + PLACED ( 24480 203130 ) N ;
+- FILLER_57_47 sky130_fd_sc_ls__decap_8 + PLACED ( 28320 203130 ) N ;
 - FILLER_57_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 203130 ) N ;
 - FILLER_57_64 sky130_fd_sc_ls__decap_8 + PLACED ( 36480 203130 ) N ;
 - FILLER_57_72 sky130_fd_sc_ls__decap_8 + PLACED ( 40320 203130 ) N ;
@@ -7414,10 +7406,11 @@
 - FILLER_57_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 203130 ) N ;
 - FILLER_57_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 203130 ) N ;
 - FILLER_57_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 203130 ) N ;
-- FILLER_57_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 203130 ) N ;
-- FILLER_57_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 203130 ) N ;
-- FILLER_57_214 sky130_fd_sc_ls__decap_4 + PLACED ( 108480 203130 ) N ;
-- FILLER_57_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 203130 ) N ;
+- FILLER_57_198 sky130_fd_sc_ls__decap_4 + PLACED ( 100800 203130 ) N ;
+- FILLER_57_205 sky130_fd_sc_ls__decap_8 + PLACED ( 104160 203130 ) N ;
+- FILLER_57_213 sky130_fd_sc_ls__decap_4 + PLACED ( 108000 203130 ) N ;
+- FILLER_57_217 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 109920 203130 ) N ;
+- FILLER_57_219 sky130_fd_sc_ls__fill_1 + PLACED ( 110880 203130 ) N ;
 - FILLER_57_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 203130 ) N ;
 - FILLER_57_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 203130 ) N ;
 - FILLER_57_237 sky130_fd_sc_ls__decap_8 + PLACED ( 119520 203130 ) N ;
@@ -7431,14 +7424,13 @@
 - FILLER_57_292 sky130_fd_sc_ls__decap_8 + PLACED ( 145920 203130 ) N ;
 - FILLER_57_300 sky130_fd_sc_ls__decap_8 + PLACED ( 149760 203130 ) N ;
 - FILLER_57_308 sky130_fd_sc_ls__decap_8 + PLACED ( 153600 203130 ) N ;
-- FILLER_57_316 sky130_fd_sc_ls__decap_8 + PLACED ( 157440 203130 ) N ;
-- FILLER_57_324 sky130_fd_sc_ls__decap_4 + PLACED ( 161280 203130 ) N ;
-- FILLER_57_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 203130 ) N ;
-- FILLER_57_331 sky130_fd_sc_ls__decap_4 + PLACED ( 164640 203130 ) N ;
-- FILLER_57_338 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 168000 203130 ) N ;
-- FILLER_57_340 sky130_fd_sc_ls__fill_1 + PLACED ( 168960 203130 ) N ;
-- FILLER_57_346 sky130_fd_sc_ls__decap_8 + PLACED ( 171840 203130 ) N ;
-- FILLER_57_354 sky130_fd_sc_ls__decap_8 + PLACED ( 175680 203130 ) N ;
+- FILLER_57_316 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 157440 203130 ) N ;
+- FILLER_57_321 sky130_fd_sc_ls__decap_8 + PLACED ( 159840 203130 ) N ;
+- FILLER_57_329 sky130_fd_sc_ls__fill_1 + PLACED ( 163680 203130 ) N ;
+- FILLER_57_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 203130 ) N ;
+- FILLER_57_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 203130 ) N ;
+- FILLER_57_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 203130 ) N ;
+- FILLER_57_355 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176160 203130 ) N ;
 - FILLER_57_362 sky130_fd_sc_ls__decap_8 + PLACED ( 179520 203130 ) N ;
 - FILLER_57_370 sky130_fd_sc_ls__decap_8 + PLACED ( 183360 203130 ) N ;
 - FILLER_57_378 sky130_fd_sc_ls__decap_4 + PLACED ( 187200 203130 ) N ;
@@ -7457,24 +7449,26 @@
 - FILLER_57_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 203130 ) N ;
 - FILLER_57_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 203130 ) N ;
 - FILLER_57_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 203130 ) N ;
-- FILLER_57_481 sky130_fd_sc_ls__decap_8 + PLACED ( 236640 203130 ) N ;
-- FILLER_57_489 sky130_fd_sc_ls__decap_4 + PLACED ( 240480 203130 ) N ;
-- FILLER_57_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 203130 ) N ;
-- FILLER_57_496 sky130_fd_sc_ls__decap_8 + PLACED ( 243840 203130 ) N ;
-- FILLER_57_504 sky130_fd_sc_ls__decap_8 + PLACED ( 247680 203130 ) N ;
-- FILLER_57_512 sky130_fd_sc_ls__decap_8 + PLACED ( 251520 203130 ) N ;
-- FILLER_57_520 sky130_fd_sc_ls__decap_8 + PLACED ( 255360 203130 ) N ;
-- FILLER_57_528 sky130_fd_sc_ls__decap_8 + PLACED ( 259200 203130 ) N ;
-- FILLER_57_536 sky130_fd_sc_ls__decap_8 + PLACED ( 263040 203130 ) N ;
-- FILLER_57_544 sky130_fd_sc_ls__decap_4 + PLACED ( 266880 203130 ) N ;
-- FILLER_57_548 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268800 203130 ) N ;
-- FILLER_57_551 sky130_fd_sc_ls__decap_8 + PLACED ( 270240 203130 ) N ;
-- FILLER_57_559 sky130_fd_sc_ls__decap_8 + PLACED ( 274080 203130 ) N ;
-- FILLER_57_567 sky130_fd_sc_ls__decap_8 + PLACED ( 277920 203130 ) N ;
-- FILLER_57_575 sky130_fd_sc_ls__decap_8 + PLACED ( 281760 203130 ) N ;
-- FILLER_57_583 sky130_fd_sc_ls__decap_8 + PLACED ( 285600 203130 ) N ;
-- FILLER_57_591 sky130_fd_sc_ls__decap_4 + PLACED ( 289440 203130 ) N ;
-- FILLER_57_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 203130 ) N ;
+- FILLER_57_481 sky130_fd_sc_ls__decap_4 + PLACED ( 236640 203130 ) N ;
+- FILLER_57_485 sky130_fd_sc_ls__fill_1 + PLACED ( 238560 203130 ) N ;
+- FILLER_57_491 sky130_fd_sc_ls__decap_4 + PLACED ( 241440 203130 ) N ;
+- FILLER_57_496 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 243840 203130 ) N ;
+- FILLER_57_503 sky130_fd_sc_ls__decap_8 + PLACED ( 247200 203130 ) N ;
+- FILLER_57_511 sky130_fd_sc_ls__decap_8 + PLACED ( 251040 203130 ) N ;
+- FILLER_57_519 sky130_fd_sc_ls__decap_8 + PLACED ( 254880 203130 ) N ;
+- FILLER_57_527 sky130_fd_sc_ls__decap_8 + PLACED ( 258720 203130 ) N ;
+- FILLER_57_535 sky130_fd_sc_ls__decap_8 + PLACED ( 262560 203130 ) N ;
+- FILLER_57_543 sky130_fd_sc_ls__decap_4 + PLACED ( 266400 203130 ) N ;
+- FILLER_57_547 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268320 203130 ) N ;
+- FILLER_57_549 sky130_fd_sc_ls__fill_1 + PLACED ( 269280 203130 ) N ;
+- FILLER_57_551 sky130_fd_sc_ls__decap_4 + PLACED ( 270240 203130 ) N ;
+- FILLER_57_555 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 272160 203130 ) N ;
+- FILLER_57_557 sky130_fd_sc_ls__fill_1 + PLACED ( 273120 203130 ) N ;
+- FILLER_57_561 sky130_fd_sc_ls__decap_8 + PLACED ( 275040 203130 ) N ;
+- FILLER_57_569 sky130_fd_sc_ls__decap_8 + PLACED ( 278880 203130 ) N ;
+- FILLER_57_577 sky130_fd_sc_ls__decap_8 + PLACED ( 282720 203130 ) N ;
+- FILLER_57_585 sky130_fd_sc_ls__decap_8 + PLACED ( 286560 203130 ) N ;
+- FILLER_57_593 sky130_fd_sc_ls__decap_4 + PLACED ( 290400 203130 ) N ;
 - FILLER_58_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 206460 ) FS ;
 - FILLER_58_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 206460 ) FS ;
 - FILLER_58_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 206460 ) FS ;
@@ -7499,8 +7493,8 @@
 - FILLER_58_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 206460 ) FS ;
 - FILLER_58_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 206460 ) FS ;
 - FILLER_58_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 206460 ) FS ;
-- FILLER_58_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 206460 ) FS ;
-- FILLER_58_187 sky130_fd_sc_ls__decap_4 + PLACED ( 95520 206460 ) FS ;
+- FILLER_58_179 sky130_fd_sc_ls__fill_1 + PLACED ( 91680 206460 ) FS ;
+- FILLER_58_183 sky130_fd_sc_ls__decap_8 + PLACED ( 93600 206460 ) FS ;
 - FILLER_58_191 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 97440 206460 ) FS ;
 - FILLER_58_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 206460 ) FS ;
 - FILLER_58_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 206460 ) FS ;
@@ -7521,18 +7515,16 @@
 - FILLER_58_304 sky130_fd_sc_ls__decap_8 + PLACED ( 151680 206460 ) FS ;
 - FILLER_58_312 sky130_fd_sc_ls__decap_8 + PLACED ( 155520 206460 ) FS ;
 - FILLER_58_320 sky130_fd_sc_ls__decap_8 + PLACED ( 159360 206460 ) FS ;
-- FILLER_58_328 sky130_fd_sc_ls__decap_4 + PLACED ( 163200 206460 ) FS ;
-- FILLER_58_332 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 165120 206460 ) FS ;
-- FILLER_58_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 206460 ) FS ;
-- FILLER_58_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 206460 ) FS ;
-- FILLER_58_355 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176160 206460 ) FS ;
-- FILLER_58_357 sky130_fd_sc_ls__fill_1 + PLACED ( 177120 206460 ) FS ;
+- FILLER_58_328 sky130_fd_sc_ls__decap_8 + PLACED ( 163200 206460 ) FS ;
+- FILLER_58_336 sky130_fd_sc_ls__decap_8 + PLACED ( 167040 206460 ) FS ;
+- FILLER_58_344 sky130_fd_sc_ls__decap_8 + PLACED ( 170880 206460 ) FS ;
+- FILLER_58_352 sky130_fd_sc_ls__decap_4 + PLACED ( 174720 206460 ) FS ;
+- FILLER_58_356 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176640 206460 ) FS ;
 - FILLER_58_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 206460 ) FS ;
 - FILLER_58_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 206460 ) FS ;
 - FILLER_58_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 206460 ) FS ;
-- FILLER_58_383 sky130_fd_sc_ls__decap_8 + PLACED ( 189600 206460 ) FS ;
-- FILLER_58_391 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 193440 206460 ) FS ;
-- FILLER_58_393 sky130_fd_sc_ls__fill_1 + PLACED ( 194400 206460 ) FS ;
+- FILLER_58_383 sky130_fd_sc_ls__fill_1 + PLACED ( 189600 206460 ) FS ;
+- FILLER_58_389 sky130_fd_sc_ls__decap_8 + PLACED ( 192480 206460 ) FS ;
 - FILLER_58_397 sky130_fd_sc_ls__decap_8 + PLACED ( 196320 206460 ) FS ;
 - FILLER_58_405 sky130_fd_sc_ls__decap_8 + PLACED ( 200160 206460 ) FS ;
 - FILLER_58_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 206460 ) FS ;
@@ -7553,8 +7545,8 @@
 - FILLER_58_521 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255840 206460 ) FS ;
 - FILLER_58_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 206460 ) FS ;
 - FILLER_58_532 sky130_fd_sc_ls__decap_8 + PLACED ( 261120 206460 ) FS ;
-- FILLER_58_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 206460 ) FS ;
-- FILLER_58_548 sky130_fd_sc_ls__decap_8 + PLACED ( 268800 206460 ) FS ;
+- FILLER_58_545 sky130_fd_sc_ls__decap_4 + PLACED ( 267360 206460 ) FS ;
+- FILLER_58_549 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 269280 206460 ) FS ;
 - FILLER_58_556 sky130_fd_sc_ls__decap_8 + PLACED ( 272640 206460 ) FS ;
 - FILLER_58_564 sky130_fd_sc_ls__decap_8 + PLACED ( 276480 206460 ) FS ;
 - FILLER_58_572 sky130_fd_sc_ls__decap_4 + PLACED ( 280320 206460 ) FS ;
@@ -7564,36 +7556,36 @@
 - FILLER_58_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 206460 ) FS ;
 - FILLER_59_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 209790 ) N ;
 - FILLER_59_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 209790 ) N ;
-- FILLER_59_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 209790 ) N ;
-- FILLER_59_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 209790 ) N ;
-- FILLER_59_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 209790 ) N ;
-- FILLER_59_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 209790 ) N ;
-- FILLER_59_52 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 30720 209790 ) N ;
-- FILLER_59_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 209790 ) N ;
+- FILLER_59_20 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 15360 209790 ) N ;
+- FILLER_59_27 sky130_fd_sc_ls__decap_8 + PLACED ( 18720 209790 ) N ;
+- FILLER_59_35 sky130_fd_sc_ls__decap_8 + PLACED ( 22560 209790 ) N ;
+- FILLER_59_43 sky130_fd_sc_ls__decap_8 + PLACED ( 26400 209790 ) N ;
+- FILLER_59_51 sky130_fd_sc_ls__decap_4 + PLACED ( 30240 209790 ) N ;
 - FILLER_59_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 209790 ) N ;
 - FILLER_59_64 sky130_fd_sc_ls__decap_8 + PLACED ( 36480 209790 ) N ;
 - FILLER_59_72 sky130_fd_sc_ls__decap_8 + PLACED ( 40320 209790 ) N ;
-- FILLER_59_80 sky130_fd_sc_ls__decap_8 + PLACED ( 44160 209790 ) N ;
-- FILLER_59_88 sky130_fd_sc_ls__decap_8 + PLACED ( 48000 209790 ) N ;
-- FILLER_59_96 sky130_fd_sc_ls__decap_8 + PLACED ( 51840 209790 ) N ;
-- FILLER_59_104 sky130_fd_sc_ls__decap_4 + PLACED ( 55680 209790 ) N ;
-- FILLER_59_108 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 57600 209790 ) N ;
+- FILLER_59_80 sky130_fd_sc_ls__fill_1 + PLACED ( 44160 209790 ) N ;
+- FILLER_59_86 sky130_fd_sc_ls__decap_8 + PLACED ( 47040 209790 ) N ;
+- FILLER_59_94 sky130_fd_sc_ls__decap_8 + PLACED ( 50880 209790 ) N ;
+- FILLER_59_102 sky130_fd_sc_ls__fill_1 + PLACED ( 54720 209790 ) N ;
+- FILLER_59_106 sky130_fd_sc_ls__decap_4 + PLACED ( 56640 209790 ) N ;
 - FILLER_59_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 209790 ) N ;
-- FILLER_59_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 209790 ) N ;
+- FILLER_59_119 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 62880 209790 ) N ;
+- FILLER_59_121 sky130_fd_sc_ls__fill_1 + PLACED ( 63840 209790 ) N ;
 - FILLER_59_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 209790 ) N ;
 - FILLER_59_135 sky130_fd_sc_ls__decap_8 + PLACED ( 70560 209790 ) N ;
 - FILLER_59_143 sky130_fd_sc_ls__decap_8 + PLACED ( 74400 209790 ) N ;
 - FILLER_59_151 sky130_fd_sc_ls__decap_4 + PLACED ( 78240 209790 ) N ;
-- FILLER_59_155 sky130_fd_sc_ls__fill_1 + PLACED ( 80160 209790 ) N ;
-- FILLER_59_161 sky130_fd_sc_ls__decap_4 + PLACED ( 83040 209790 ) N ;
+- FILLER_59_160 sky130_fd_sc_ls__decap_4 + PLACED ( 82560 209790 ) N ;
+- FILLER_59_164 sky130_fd_sc_ls__fill_1 + PLACED ( 84480 209790 ) N ;
 - FILLER_59_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 209790 ) N ;
 - FILLER_59_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 209790 ) N ;
 - FILLER_59_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 209790 ) N ;
 - FILLER_59_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 209790 ) N ;
-- FILLER_59_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 209790 ) N ;
-- FILLER_59_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 209790 ) N ;
-- FILLER_59_214 sky130_fd_sc_ls__decap_4 + PLACED ( 108480 209790 ) N ;
-- FILLER_59_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 209790 ) N ;
+- FILLER_59_198 sky130_fd_sc_ls__decap_4 + PLACED ( 100800 209790 ) N ;
+- FILLER_59_207 sky130_fd_sc_ls__decap_8 + PLACED ( 105120 209790 ) N ;
+- FILLER_59_215 sky130_fd_sc_ls__decap_4 + PLACED ( 108960 209790 ) N ;
+- FILLER_59_219 sky130_fd_sc_ls__fill_1 + PLACED ( 110880 209790 ) N ;
 - FILLER_59_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 209790 ) N ;
 - FILLER_59_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 209790 ) N ;
 - FILLER_59_237 sky130_fd_sc_ls__decap_8 + PLACED ( 119520 209790 ) N ;
@@ -7612,12 +7604,12 @@
 - FILLER_59_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 209790 ) N ;
 - FILLER_59_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 209790 ) N ;
 - FILLER_59_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 209790 ) N ;
-- FILLER_59_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 209790 ) N ;
-- FILLER_59_355 sky130_fd_sc_ls__decap_8 + PLACED ( 176160 209790 ) N ;
-- FILLER_59_363 sky130_fd_sc_ls__decap_8 + PLACED ( 180000 209790 ) N ;
-- FILLER_59_371 sky130_fd_sc_ls__decap_8 + PLACED ( 183840 209790 ) N ;
-- FILLER_59_379 sky130_fd_sc_ls__decap_4 + PLACED ( 187680 209790 ) N ;
-- FILLER_59_383 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189600 209790 ) N ;
+- FILLER_59_347 sky130_fd_sc_ls__decap_4 + PLACED ( 172320 209790 ) N ;
+- FILLER_59_351 sky130_fd_sc_ls__fill_1 + PLACED ( 174240 209790 ) N ;
+- FILLER_59_357 sky130_fd_sc_ls__decap_8 + PLACED ( 177120 209790 ) N ;
+- FILLER_59_365 sky130_fd_sc_ls__decap_8 + PLACED ( 180960 209790 ) N ;
+- FILLER_59_373 sky130_fd_sc_ls__decap_8 + PLACED ( 184800 209790 ) N ;
+- FILLER_59_381 sky130_fd_sc_ls__decap_4 + PLACED ( 188640 209790 ) N ;
 - FILLER_59_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 209790 ) N ;
 - FILLER_59_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 209790 ) N ;
 - FILLER_59_402 sky130_fd_sc_ls__decap_8 + PLACED ( 198720 209790 ) N ;
@@ -7631,10 +7623,9 @@
 - FILLER_59_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 209790 ) N ;
 - FILLER_59_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 209790 ) N ;
 - FILLER_59_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 209790 ) N ;
-- FILLER_59_481 sky130_fd_sc_ls__decap_4 + PLACED ( 236640 209790 ) N ;
-- FILLER_59_485 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 238560 209790 ) N ;
-- FILLER_59_487 sky130_fd_sc_ls__fill_1 + PLACED ( 239520 209790 ) N ;
-- FILLER_59_491 sky130_fd_sc_ls__decap_4 + PLACED ( 241440 209790 ) N ;
+- FILLER_59_481 sky130_fd_sc_ls__decap_8 + PLACED ( 236640 209790 ) N ;
+- FILLER_59_489 sky130_fd_sc_ls__decap_4 + PLACED ( 240480 209790 ) N ;
+- FILLER_59_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 209790 ) N ;
 - FILLER_59_496 sky130_fd_sc_ls__decap_8 + PLACED ( 243840 209790 ) N ;
 - FILLER_59_504 sky130_fd_sc_ls__decap_8 + PLACED ( 247680 209790 ) N ;
 - FILLER_59_512 sky130_fd_sc_ls__decap_8 + PLACED ( 251520 209790 ) N ;
@@ -7644,12 +7635,12 @@
 - FILLER_59_544 sky130_fd_sc_ls__decap_4 + PLACED ( 266880 209790 ) N ;
 - FILLER_59_548 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268800 209790 ) N ;
 - FILLER_59_551 sky130_fd_sc_ls__decap_8 + PLACED ( 270240 209790 ) N ;
-- FILLER_59_559 sky130_fd_sc_ls__decap_8 + PLACED ( 274080 209790 ) N ;
-- FILLER_59_567 sky130_fd_sc_ls__decap_8 + PLACED ( 277920 209790 ) N ;
-- FILLER_59_575 sky130_fd_sc_ls__decap_8 + PLACED ( 281760 209790 ) N ;
-- FILLER_59_583 sky130_fd_sc_ls__decap_8 + PLACED ( 285600 209790 ) N ;
-- FILLER_59_591 sky130_fd_sc_ls__decap_4 + PLACED ( 289440 209790 ) N ;
-- FILLER_59_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 209790 ) N ;
+- FILLER_59_559 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 274080 209790 ) N ;
+- FILLER_59_564 sky130_fd_sc_ls__decap_8 + PLACED ( 276480 209790 ) N ;
+- FILLER_59_572 sky130_fd_sc_ls__decap_8 + PLACED ( 280320 209790 ) N ;
+- FILLER_59_580 sky130_fd_sc_ls__decap_8 + PLACED ( 284160 209790 ) N ;
+- FILLER_59_588 sky130_fd_sc_ls__decap_8 + PLACED ( 288000 209790 ) N ;
+- FILLER_59_596 sky130_fd_sc_ls__fill_1 + PLACED ( 291840 209790 ) N ;
 - FILLER_60_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 213120 ) FS ;
 - FILLER_60_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 213120 ) FS ;
 - FILLER_60_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 213120 ) FS ;
@@ -7663,34 +7654,37 @@
 - FILLER_60_81 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44640 213120 ) FS ;
 - FILLER_60_84 sky130_fd_sc_ls__decap_8 + PLACED ( 46080 213120 ) FS ;
 - FILLER_60_92 sky130_fd_sc_ls__decap_8 + PLACED ( 49920 213120 ) FS ;
-- FILLER_60_100 sky130_fd_sc_ls__fill_1 + PLACED ( 53760 213120 ) FS ;
-- FILLER_60_106 sky130_fd_sc_ls__decap_8 + PLACED ( 56640 213120 ) FS ;
-- FILLER_60_114 sky130_fd_sc_ls__decap_8 + PLACED ( 60480 213120 ) FS ;
-- FILLER_60_122 sky130_fd_sc_ls__decap_8 + PLACED ( 64320 213120 ) FS ;
-- FILLER_60_130 sky130_fd_sc_ls__decap_8 + PLACED ( 68160 213120 ) FS ;
+- FILLER_60_100 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 53760 213120 ) FS ;
+- FILLER_60_102 sky130_fd_sc_ls__fill_1 + PLACED ( 54720 213120 ) FS ;
+- FILLER_60_108 sky130_fd_sc_ls__decap_8 + PLACED ( 57600 213120 ) FS ;
+- FILLER_60_116 sky130_fd_sc_ls__decap_8 + PLACED ( 61440 213120 ) FS ;
+- FILLER_60_124 sky130_fd_sc_ls__decap_8 + PLACED ( 65280 213120 ) FS ;
+- FILLER_60_132 sky130_fd_sc_ls__decap_4 + PLACED ( 69120 213120 ) FS ;
+- FILLER_60_136 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 71040 213120 ) FS ;
 - FILLER_60_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 213120 ) FS ;
 - FILLER_60_147 sky130_fd_sc_ls__decap_8 + PLACED ( 76320 213120 ) FS ;
 - FILLER_60_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 213120 ) FS ;
 - FILLER_60_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 213120 ) FS ;
-- FILLER_60_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 213120 ) FS ;
+- FILLER_60_171 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 87840 213120 ) FS ;
+- FILLER_60_173 sky130_fd_sc_ls__fill_1 + PLACED ( 88800 213120 ) FS ;
 - FILLER_60_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 213120 ) FS ;
 - FILLER_60_187 sky130_fd_sc_ls__decap_4 + PLACED ( 95520 213120 ) FS ;
 - FILLER_60_191 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 97440 213120 ) FS ;
 - FILLER_60_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 213120 ) FS ;
 - FILLER_60_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 213120 ) FS ;
-- FILLER_60_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 213120 ) FS ;
-- FILLER_60_218 sky130_fd_sc_ls__decap_8 + PLACED ( 110400 213120 ) FS ;
-- FILLER_60_226 sky130_fd_sc_ls__decap_8 + PLACED ( 114240 213120 ) FS ;
-- FILLER_60_234 sky130_fd_sc_ls__decap_8 + PLACED ( 118080 213120 ) FS ;
-- FILLER_60_242 sky130_fd_sc_ls__decap_4 + PLACED ( 121920 213120 ) FS ;
-- FILLER_60_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 213120 ) FS ;
+- FILLER_60_210 sky130_fd_sc_ls__fill_1 + PLACED ( 106560 213120 ) FS ;
+- FILLER_60_216 sky130_fd_sc_ls__decap_8 + PLACED ( 109440 213120 ) FS ;
+- FILLER_60_224 sky130_fd_sc_ls__decap_8 + PLACED ( 113280 213120 ) FS ;
+- FILLER_60_232 sky130_fd_sc_ls__decap_8 + PLACED ( 117120 213120 ) FS ;
+- FILLER_60_240 sky130_fd_sc_ls__decap_8 + PLACED ( 120960 213120 ) FS ;
 - FILLER_60_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 213120 ) FS ;
-- FILLER_60_262 sky130_fd_sc_ls__decap_8 + PLACED ( 131520 213120 ) FS ;
-- FILLER_60_270 sky130_fd_sc_ls__decap_8 + PLACED ( 135360 213120 ) FS ;
-- FILLER_60_278 sky130_fd_sc_ls__decap_8 + PLACED ( 139200 213120 ) FS ;
-- FILLER_60_286 sky130_fd_sc_ls__decap_8 + PLACED ( 143040 213120 ) FS ;
-- FILLER_60_294 sky130_fd_sc_ls__decap_8 + PLACED ( 146880 213120 ) FS ;
-- FILLER_60_302 sky130_fd_sc_ls__fill_1 + PLACED ( 150720 213120 ) FS ;
+- FILLER_60_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 213120 ) FS ;
+- FILLER_60_265 sky130_fd_sc_ls__decap_8 + PLACED ( 132960 213120 ) FS ;
+- FILLER_60_273 sky130_fd_sc_ls__decap_8 + PLACED ( 136800 213120 ) FS ;
+- FILLER_60_281 sky130_fd_sc_ls__decap_8 + PLACED ( 140640 213120 ) FS ;
+- FILLER_60_289 sky130_fd_sc_ls__decap_8 + PLACED ( 144480 213120 ) FS ;
+- FILLER_60_297 sky130_fd_sc_ls__decap_4 + PLACED ( 148320 213120 ) FS ;
+- FILLER_60_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 213120 ) FS ;
 - FILLER_60_304 sky130_fd_sc_ls__decap_8 + PLACED ( 151680 213120 ) FS ;
 - FILLER_60_312 sky130_fd_sc_ls__decap_8 + PLACED ( 155520 213120 ) FS ;
 - FILLER_60_320 sky130_fd_sc_ls__decap_8 + PLACED ( 159360 213120 ) FS ;
@@ -7712,25 +7706,26 @@
 - FILLER_60_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 213120 ) FS ;
 - FILLER_60_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 213120 ) FS ;
 - FILLER_60_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 213120 ) FS ;
-- FILLER_60_454 sky130_fd_sc_ls__decap_4 + PLACED ( 223680 213120 ) FS ;
-- FILLER_60_458 sky130_fd_sc_ls__fill_1 + PLACED ( 225600 213120 ) FS ;
-- FILLER_60_464 sky130_fd_sc_ls__decap_4 + PLACED ( 228480 213120 ) FS ;
+- FILLER_60_454 sky130_fd_sc_ls__decap_8 + PLACED ( 223680 213120 ) FS ;
+- FILLER_60_462 sky130_fd_sc_ls__decap_4 + PLACED ( 227520 213120 ) FS ;
+- FILLER_60_466 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 229440 213120 ) FS ;
 - FILLER_60_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 213120 ) FS ;
 - FILLER_60_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 213120 ) FS ;
 - FILLER_60_485 sky130_fd_sc_ls__decap_8 + PLACED ( 238560 213120 ) FS ;
 - FILLER_60_493 sky130_fd_sc_ls__decap_8 + PLACED ( 242400 213120 ) FS ;
-- FILLER_60_501 sky130_fd_sc_ls__decap_8 + PLACED ( 246240 213120 ) FS ;
-- FILLER_60_509 sky130_fd_sc_ls__decap_8 + PLACED ( 250080 213120 ) FS ;
-- FILLER_60_517 sky130_fd_sc_ls__decap_4 + PLACED ( 253920 213120 ) FS ;
+- FILLER_60_501 sky130_fd_sc_ls__fill_1 + PLACED ( 246240 213120 ) FS ;
+- FILLER_60_505 sky130_fd_sc_ls__decap_8 + PLACED ( 248160 213120 ) FS ;
+- FILLER_60_513 sky130_fd_sc_ls__decap_8 + PLACED ( 252000 213120 ) FS ;
 - FILLER_60_521 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255840 213120 ) FS ;
-- FILLER_60_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 213120 ) FS ;
-- FILLER_60_532 sky130_fd_sc_ls__decap_8 + PLACED ( 261120 213120 ) FS ;
-- FILLER_60_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 213120 ) FS ;
-- FILLER_60_548 sky130_fd_sc_ls__decap_8 + PLACED ( 268800 213120 ) FS ;
-- FILLER_60_556 sky130_fd_sc_ls__decap_8 + PLACED ( 272640 213120 ) FS ;
-- FILLER_60_564 sky130_fd_sc_ls__decap_8 + PLACED ( 276480 213120 ) FS ;
-- FILLER_60_572 sky130_fd_sc_ls__decap_4 + PLACED ( 280320 213120 ) FS ;
-- FILLER_60_576 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 282240 213120 ) FS ;
+- FILLER_60_524 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 257280 213120 ) FS ;
+- FILLER_60_531 sky130_fd_sc_ls__decap_8 + PLACED ( 260640 213120 ) FS ;
+- FILLER_60_539 sky130_fd_sc_ls__decap_8 + PLACED ( 264480 213120 ) FS ;
+- FILLER_60_547 sky130_fd_sc_ls__decap_8 + PLACED ( 268320 213120 ) FS ;
+- FILLER_60_555 sky130_fd_sc_ls__decap_8 + PLACED ( 272160 213120 ) FS ;
+- FILLER_60_563 sky130_fd_sc_ls__decap_8 + PLACED ( 276000 213120 ) FS ;
+- FILLER_60_571 sky130_fd_sc_ls__decap_4 + PLACED ( 279840 213120 ) FS ;
+- FILLER_60_575 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 281760 213120 ) FS ;
+- FILLER_60_577 sky130_fd_sc_ls__fill_1 + PLACED ( 282720 213120 ) FS ;
 - FILLER_60_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 213120 ) FS ;
 - FILLER_60_587 sky130_fd_sc_ls__decap_8 + PLACED ( 287520 213120 ) FS ;
 - FILLER_60_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 213120 ) FS ;
@@ -7752,12 +7747,12 @@
 - FILLER_61_108 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 57600 216450 ) N ;
 - FILLER_61_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 216450 ) N ;
 - FILLER_61_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 216450 ) N ;
-- FILLER_61_127 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 66720 216450 ) N ;
-- FILLER_61_132 sky130_fd_sc_ls__decap_8 + PLACED ( 69120 216450 ) N ;
-- FILLER_61_140 sky130_fd_sc_ls__decap_8 + PLACED ( 72960 216450 ) N ;
-- FILLER_61_148 sky130_fd_sc_ls__decap_8 + PLACED ( 76800 216450 ) N ;
-- FILLER_61_156 sky130_fd_sc_ls__decap_8 + PLACED ( 80640 216450 ) N ;
-- FILLER_61_164 sky130_fd_sc_ls__fill_1 + PLACED ( 84480 216450 ) N ;
+- FILLER_61_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 216450 ) N ;
+- FILLER_61_135 sky130_fd_sc_ls__decap_8 + PLACED ( 70560 216450 ) N ;
+- FILLER_61_143 sky130_fd_sc_ls__decap_8 + PLACED ( 74400 216450 ) N ;
+- FILLER_61_151 sky130_fd_sc_ls__decap_8 + PLACED ( 78240 216450 ) N ;
+- FILLER_61_159 sky130_fd_sc_ls__decap_4 + PLACED ( 82080 216450 ) N ;
+- FILLER_61_163 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 84000 216450 ) N ;
 - FILLER_61_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 216450 ) N ;
 - FILLER_61_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 216450 ) N ;
 - FILLER_61_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 216450 ) N ;
@@ -7787,19 +7782,17 @@
 - FILLER_61_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 216450 ) N ;
 - FILLER_61_355 sky130_fd_sc_ls__decap_8 + PLACED ( 176160 216450 ) N ;
 - FILLER_61_363 sky130_fd_sc_ls__decap_8 + PLACED ( 180000 216450 ) N ;
-- FILLER_61_371 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 183840 216450 ) N ;
-- FILLER_61_378 sky130_fd_sc_ls__decap_4 + PLACED ( 187200 216450 ) N ;
-- FILLER_61_382 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189120 216450 ) N ;
-- FILLER_61_384 sky130_fd_sc_ls__fill_1 + PLACED ( 190080 216450 ) N ;
-- FILLER_61_386 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 191040 216450 ) N ;
-- FILLER_61_393 sky130_fd_sc_ls__decap_8 + PLACED ( 194400 216450 ) N ;
-- FILLER_61_401 sky130_fd_sc_ls__decap_8 + PLACED ( 198240 216450 ) N ;
-- FILLER_61_409 sky130_fd_sc_ls__decap_8 + PLACED ( 202080 216450 ) N ;
-- FILLER_61_417 sky130_fd_sc_ls__decap_8 + PLACED ( 205920 216450 ) N ;
-- FILLER_61_425 sky130_fd_sc_ls__decap_8 + PLACED ( 209760 216450 ) N ;
-- FILLER_61_433 sky130_fd_sc_ls__decap_4 + PLACED ( 213600 216450 ) N ;
-- FILLER_61_437 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 215520 216450 ) N ;
-- FILLER_61_439 sky130_fd_sc_ls__fill_1 + PLACED ( 216480 216450 ) N ;
+- FILLER_61_371 sky130_fd_sc_ls__decap_8 + PLACED ( 183840 216450 ) N ;
+- FILLER_61_379 sky130_fd_sc_ls__decap_4 + PLACED ( 187680 216450 ) N ;
+- FILLER_61_383 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189600 216450 ) N ;
+- FILLER_61_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 216450 ) N ;
+- FILLER_61_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 216450 ) N ;
+- FILLER_61_402 sky130_fd_sc_ls__decap_8 + PLACED ( 198720 216450 ) N ;
+- FILLER_61_410 sky130_fd_sc_ls__decap_8 + PLACED ( 202560 216450 ) N ;
+- FILLER_61_418 sky130_fd_sc_ls__decap_8 + PLACED ( 206400 216450 ) N ;
+- FILLER_61_426 sky130_fd_sc_ls__decap_8 + PLACED ( 210240 216450 ) N ;
+- FILLER_61_434 sky130_fd_sc_ls__decap_4 + PLACED ( 214080 216450 ) N ;
+- FILLER_61_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 216450 ) N ;
 - FILLER_61_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 216450 ) N ;
 - FILLER_61_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 216450 ) N ;
 - FILLER_61_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 216450 ) N ;
@@ -7812,10 +7805,10 @@
 - FILLER_61_504 sky130_fd_sc_ls__decap_8 + PLACED ( 247680 216450 ) N ;
 - FILLER_61_512 sky130_fd_sc_ls__decap_8 + PLACED ( 251520 216450 ) N ;
 - FILLER_61_520 sky130_fd_sc_ls__decap_8 + PLACED ( 255360 216450 ) N ;
-- FILLER_61_531 sky130_fd_sc_ls__decap_8 + PLACED ( 260640 216450 ) N ;
-- FILLER_61_539 sky130_fd_sc_ls__decap_8 + PLACED ( 264480 216450 ) N ;
-- FILLER_61_547 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268320 216450 ) N ;
-- FILLER_61_549 sky130_fd_sc_ls__fill_1 + PLACED ( 269280 216450 ) N ;
+- FILLER_61_528 sky130_fd_sc_ls__decap_8 + PLACED ( 259200 216450 ) N ;
+- FILLER_61_536 sky130_fd_sc_ls__decap_8 + PLACED ( 263040 216450 ) N ;
+- FILLER_61_544 sky130_fd_sc_ls__decap_4 + PLACED ( 266880 216450 ) N ;
+- FILLER_61_548 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268800 216450 ) N ;
 - FILLER_61_551 sky130_fd_sc_ls__decap_8 + PLACED ( 270240 216450 ) N ;
 - FILLER_61_559 sky130_fd_sc_ls__decap_8 + PLACED ( 274080 216450 ) N ;
 - FILLER_61_567 sky130_fd_sc_ls__decap_8 + PLACED ( 277920 216450 ) N ;
@@ -7842,14 +7835,15 @@
 - FILLER_62_124 sky130_fd_sc_ls__decap_8 + PLACED ( 65280 219780 ) FS ;
 - FILLER_62_132 sky130_fd_sc_ls__decap_4 + PLACED ( 69120 219780 ) FS ;
 - FILLER_62_136 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 71040 219780 ) FS ;
-- FILLER_62_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 219780 ) FS ;
-- FILLER_62_147 sky130_fd_sc_ls__decap_8 + PLACED ( 76320 219780 ) FS ;
-- FILLER_62_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 219780 ) FS ;
-- FILLER_62_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 219780 ) FS ;
-- FILLER_62_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 219780 ) FS ;
-- FILLER_62_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 219780 ) FS ;
-- FILLER_62_187 sky130_fd_sc_ls__decap_4 + PLACED ( 95520 219780 ) FS ;
-- FILLER_62_191 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 97440 219780 ) FS ;
+- FILLER_62_139 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 72480 219780 ) FS ;
+- FILLER_62_146 sky130_fd_sc_ls__decap_8 + PLACED ( 75840 219780 ) FS ;
+- FILLER_62_154 sky130_fd_sc_ls__decap_8 + PLACED ( 79680 219780 ) FS ;
+- FILLER_62_162 sky130_fd_sc_ls__decap_8 + PLACED ( 83520 219780 ) FS ;
+- FILLER_62_170 sky130_fd_sc_ls__decap_8 + PLACED ( 87360 219780 ) FS ;
+- FILLER_62_178 sky130_fd_sc_ls__decap_8 + PLACED ( 91200 219780 ) FS ;
+- FILLER_62_186 sky130_fd_sc_ls__decap_4 + PLACED ( 95040 219780 ) FS ;
+- FILLER_62_190 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 96960 219780 ) FS ;
+- FILLER_62_192 sky130_fd_sc_ls__fill_1 + PLACED ( 97920 219780 ) FS ;
 - FILLER_62_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 219780 ) FS ;
 - FILLER_62_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 219780 ) FS ;
 - FILLER_62_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 219780 ) FS ;
@@ -7858,15 +7852,16 @@
 - FILLER_62_234 sky130_fd_sc_ls__decap_8 + PLACED ( 118080 219780 ) FS ;
 - FILLER_62_242 sky130_fd_sc_ls__decap_4 + PLACED ( 121920 219780 ) FS ;
 - FILLER_62_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 219780 ) FS ;
-- FILLER_62_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 219780 ) FS ;
-- FILLER_62_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 219780 ) FS ;
-- FILLER_62_265 sky130_fd_sc_ls__decap_8 + PLACED ( 132960 219780 ) FS ;
+- FILLER_62_249 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 125280 219780 ) FS ;
+- FILLER_62_256 sky130_fd_sc_ls__decap_8 + PLACED ( 128640 219780 ) FS ;
+- FILLER_62_264 sky130_fd_sc_ls__decap_4 + PLACED ( 132480 219780 ) FS ;
 - FILLER_62_273 sky130_fd_sc_ls__decap_8 + PLACED ( 136800 219780 ) FS ;
 - FILLER_62_281 sky130_fd_sc_ls__decap_8 + PLACED ( 140640 219780 ) FS ;
 - FILLER_62_289 sky130_fd_sc_ls__decap_8 + PLACED ( 144480 219780 ) FS ;
 - FILLER_62_297 sky130_fd_sc_ls__decap_4 + PLACED ( 148320 219780 ) FS ;
 - FILLER_62_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 219780 ) FS ;
-- FILLER_62_304 sky130_fd_sc_ls__decap_8 + PLACED ( 151680 219780 ) FS ;
+- FILLER_62_304 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 151680 219780 ) FS ;
+- FILLER_62_306 sky130_fd_sc_ls__fill_1 + PLACED ( 152640 219780 ) FS ;
 - FILLER_62_312 sky130_fd_sc_ls__decap_8 + PLACED ( 155520 219780 ) FS ;
 - FILLER_62_320 sky130_fd_sc_ls__decap_8 + PLACED ( 159360 219780 ) FS ;
 - FILLER_62_328 sky130_fd_sc_ls__decap_8 + PLACED ( 163200 219780 ) FS ;
@@ -7890,18 +7885,19 @@
 - FILLER_62_454 sky130_fd_sc_ls__decap_8 + PLACED ( 223680 219780 ) FS ;
 - FILLER_62_462 sky130_fd_sc_ls__decap_4 + PLACED ( 227520 219780 ) FS ;
 - FILLER_62_466 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 229440 219780 ) FS ;
-- FILLER_62_469 sky130_fd_sc_ls__decap_4 + PLACED ( 230880 219780 ) FS ;
-- FILLER_62_473 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 232800 219780 ) FS ;
-- FILLER_62_480 sky130_fd_sc_ls__decap_4 + PLACED ( 236160 219780 ) FS ;
-- FILLER_62_489 sky130_fd_sc_ls__decap_8 + PLACED ( 240480 219780 ) FS ;
-- FILLER_62_497 sky130_fd_sc_ls__decap_8 + PLACED ( 244320 219780 ) FS ;
-- FILLER_62_505 sky130_fd_sc_ls__decap_8 + PLACED ( 248160 219780 ) FS ;
-- FILLER_62_513 sky130_fd_sc_ls__decap_8 + PLACED ( 252000 219780 ) FS ;
+- FILLER_62_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 219780 ) FS ;
+- FILLER_62_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 219780 ) FS ;
+- FILLER_62_485 sky130_fd_sc_ls__decap_8 + PLACED ( 238560 219780 ) FS ;
+- FILLER_62_493 sky130_fd_sc_ls__decap_8 + PLACED ( 242400 219780 ) FS ;
+- FILLER_62_501 sky130_fd_sc_ls__decap_8 + PLACED ( 246240 219780 ) FS ;
+- FILLER_62_509 sky130_fd_sc_ls__decap_8 + PLACED ( 250080 219780 ) FS ;
+- FILLER_62_517 sky130_fd_sc_ls__decap_4 + PLACED ( 253920 219780 ) FS ;
 - FILLER_62_521 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255840 219780 ) FS ;
 - FILLER_62_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 219780 ) FS ;
 - FILLER_62_532 sky130_fd_sc_ls__decap_8 + PLACED ( 261120 219780 ) FS ;
 - FILLER_62_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 219780 ) FS ;
-- FILLER_62_548 sky130_fd_sc_ls__decap_8 + PLACED ( 268800 219780 ) FS ;
+- FILLER_62_548 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268800 219780 ) FS ;
+- FILLER_62_550 sky130_fd_sc_ls__fill_1 + PLACED ( 269760 219780 ) FS ;
 - FILLER_62_556 sky130_fd_sc_ls__decap_8 + PLACED ( 272640 219780 ) FS ;
 - FILLER_62_564 sky130_fd_sc_ls__decap_8 + PLACED ( 276480 219780 ) FS ;
 - FILLER_62_572 sky130_fd_sc_ls__decap_4 + PLACED ( 280320 219780 ) FS ;
@@ -7965,14 +7961,13 @@
 - FILLER_63_371 sky130_fd_sc_ls__decap_8 + PLACED ( 183840 223110 ) N ;
 - FILLER_63_379 sky130_fd_sc_ls__decap_4 + PLACED ( 187680 223110 ) N ;
 - FILLER_63_383 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189600 223110 ) N ;
-- FILLER_63_386 sky130_fd_sc_ls__decap_4 + PLACED ( 191040 223110 ) N ;
-- FILLER_63_390 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 192960 223110 ) N ;
-- FILLER_63_392 sky130_fd_sc_ls__fill_1 + PLACED ( 193920 223110 ) N ;
-- FILLER_63_398 sky130_fd_sc_ls__decap_8 + PLACED ( 196800 223110 ) N ;
-- FILLER_63_406 sky130_fd_sc_ls__decap_8 + PLACED ( 200640 223110 ) N ;
-- FILLER_63_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 223110 ) N ;
-- FILLER_63_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 223110 ) N ;
-- FILLER_63_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 223110 ) N ;
+- FILLER_63_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 223110 ) N ;
+- FILLER_63_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 223110 ) N ;
+- FILLER_63_402 sky130_fd_sc_ls__decap_8 + PLACED ( 198720 223110 ) N ;
+- FILLER_63_410 sky130_fd_sc_ls__decap_8 + PLACED ( 202560 223110 ) N ;
+- FILLER_63_418 sky130_fd_sc_ls__decap_8 + PLACED ( 206400 223110 ) N ;
+- FILLER_63_426 sky130_fd_sc_ls__decap_8 + PLACED ( 210240 223110 ) N ;
+- FILLER_63_434 sky130_fd_sc_ls__decap_4 + PLACED ( 214080 223110 ) N ;
 - FILLER_63_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 223110 ) N ;
 - FILLER_63_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 223110 ) N ;
 - FILLER_63_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 223110 ) N ;
@@ -7986,10 +7981,9 @@
 - FILLER_63_504 sky130_fd_sc_ls__decap_8 + PLACED ( 247680 223110 ) N ;
 - FILLER_63_512 sky130_fd_sc_ls__decap_8 + PLACED ( 251520 223110 ) N ;
 - FILLER_63_520 sky130_fd_sc_ls__decap_8 + PLACED ( 255360 223110 ) N ;
-- FILLER_63_528 sky130_fd_sc_ls__decap_8 + PLACED ( 259200 223110 ) N ;
-- FILLER_63_536 sky130_fd_sc_ls__decap_8 + PLACED ( 263040 223110 ) N ;
-- FILLER_63_544 sky130_fd_sc_ls__decap_4 + PLACED ( 266880 223110 ) N ;
-- FILLER_63_548 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268800 223110 ) N ;
+- FILLER_63_533 sky130_fd_sc_ls__decap_8 + PLACED ( 261600 223110 ) N ;
+- FILLER_63_541 sky130_fd_sc_ls__decap_8 + PLACED ( 265440 223110 ) N ;
+- FILLER_63_549 sky130_fd_sc_ls__fill_1 + PLACED ( 269280 223110 ) N ;
 - FILLER_63_551 sky130_fd_sc_ls__decap_8 + PLACED ( 270240 223110 ) N ;
 - FILLER_63_559 sky130_fd_sc_ls__decap_8 + PLACED ( 274080 223110 ) N ;
 - FILLER_63_567 sky130_fd_sc_ls__decap_8 + PLACED ( 277920 223110 ) N ;
@@ -8060,10 +8054,10 @@
 - FILLER_64_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 226440 ) FS ;
 - FILLER_64_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 226440 ) FS ;
 - FILLER_64_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 226440 ) FS ;
-- FILLER_64_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 226440 ) FS ;
-- FILLER_64_454 sky130_fd_sc_ls__decap_8 + PLACED ( 223680 226440 ) FS ;
-- FILLER_64_462 sky130_fd_sc_ls__decap_4 + PLACED ( 227520 226440 ) FS ;
-- FILLER_64_466 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 229440 226440 ) FS ;
+- FILLER_64_446 sky130_fd_sc_ls__decap_4 + PLACED ( 219840 226440 ) FS ;
+- FILLER_64_450 sky130_fd_sc_ls__fill_1 + PLACED ( 221760 226440 ) FS ;
+- FILLER_64_456 sky130_fd_sc_ls__decap_8 + PLACED ( 224640 226440 ) FS ;
+- FILLER_64_464 sky130_fd_sc_ls__decap_4 + PLACED ( 228480 226440 ) FS ;
 - FILLER_64_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 226440 ) FS ;
 - FILLER_64_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 226440 ) FS ;
 - FILLER_64_485 sky130_fd_sc_ls__decap_8 + PLACED ( 238560 226440 ) FS ;
@@ -8075,11 +8069,11 @@
 - FILLER_64_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 226440 ) FS ;
 - FILLER_64_532 sky130_fd_sc_ls__decap_8 + PLACED ( 261120 226440 ) FS ;
 - FILLER_64_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 226440 ) FS ;
-- FILLER_64_548 sky130_fd_sc_ls__decap_8 + PLACED ( 268800 226440 ) FS ;
-- FILLER_64_556 sky130_fd_sc_ls__decap_8 + PLACED ( 272640 226440 ) FS ;
-- FILLER_64_564 sky130_fd_sc_ls__decap_8 + PLACED ( 276480 226440 ) FS ;
-- FILLER_64_572 sky130_fd_sc_ls__decap_4 + PLACED ( 280320 226440 ) FS ;
-- FILLER_64_576 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 282240 226440 ) FS ;
+- FILLER_64_548 sky130_fd_sc_ls__decap_4 + PLACED ( 268800 226440 ) FS ;
+- FILLER_64_557 sky130_fd_sc_ls__decap_8 + PLACED ( 273120 226440 ) FS ;
+- FILLER_64_565 sky130_fd_sc_ls__decap_8 + PLACED ( 276960 226440 ) FS ;
+- FILLER_64_573 sky130_fd_sc_ls__decap_4 + PLACED ( 280800 226440 ) FS ;
+- FILLER_64_577 sky130_fd_sc_ls__fill_1 + PLACED ( 282720 226440 ) FS ;
 - FILLER_64_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 226440 ) FS ;
 - FILLER_64_587 sky130_fd_sc_ls__decap_8 + PLACED ( 287520 226440 ) FS ;
 - FILLER_64_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 226440 ) FS ;
@@ -8107,31 +8101,32 @@
 - FILLER_65_151 sky130_fd_sc_ls__decap_8 + PLACED ( 78240 229770 ) N ;
 - FILLER_65_159 sky130_fd_sc_ls__decap_4 + PLACED ( 82080 229770 ) N ;
 - FILLER_65_163 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 84000 229770 ) N ;
-- FILLER_65_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 229770 ) N ;
-- FILLER_65_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 229770 ) N ;
-- FILLER_65_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 229770 ) N ;
-- FILLER_65_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 229770 ) N ;
-- FILLER_65_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 229770 ) N ;
-- FILLER_65_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 229770 ) N ;
-- FILLER_65_214 sky130_fd_sc_ls__decap_4 + PLACED ( 108480 229770 ) N ;
-- FILLER_65_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 229770 ) N ;
+- FILLER_65_166 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 85440 229770 ) N ;
+- FILLER_65_173 sky130_fd_sc_ls__decap_8 + PLACED ( 88800 229770 ) N ;
+- FILLER_65_181 sky130_fd_sc_ls__decap_8 + PLACED ( 92640 229770 ) N ;
+- FILLER_65_189 sky130_fd_sc_ls__decap_8 + PLACED ( 96480 229770 ) N ;
+- FILLER_65_197 sky130_fd_sc_ls__decap_8 + PLACED ( 100320 229770 ) N ;
+- FILLER_65_205 sky130_fd_sc_ls__decap_8 + PLACED ( 104160 229770 ) N ;
+- FILLER_65_213 sky130_fd_sc_ls__decap_4 + PLACED ( 108000 229770 ) N ;
+- FILLER_65_217 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 109920 229770 ) N ;
+- FILLER_65_219 sky130_fd_sc_ls__fill_1 + PLACED ( 110880 229770 ) N ;
 - FILLER_65_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 229770 ) N ;
 - FILLER_65_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 229770 ) N ;
-- FILLER_65_237 sky130_fd_sc_ls__decap_8 + PLACED ( 119520 229770 ) N ;
-- FILLER_65_245 sky130_fd_sc_ls__decap_8 + PLACED ( 123360 229770 ) N ;
-- FILLER_65_253 sky130_fd_sc_ls__decap_8 + PLACED ( 127200 229770 ) N ;
-- FILLER_65_261 sky130_fd_sc_ls__decap_8 + PLACED ( 131040 229770 ) N ;
-- FILLER_65_269 sky130_fd_sc_ls__decap_4 + PLACED ( 134880 229770 ) N ;
-- FILLER_65_273 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136800 229770 ) N ;
-- FILLER_65_276 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 138240 229770 ) N ;
-- FILLER_65_283 sky130_fd_sc_ls__decap_8 + PLACED ( 141600 229770 ) N ;
-- FILLER_65_291 sky130_fd_sc_ls__decap_8 + PLACED ( 145440 229770 ) N ;
-- FILLER_65_299 sky130_fd_sc_ls__decap_8 + PLACED ( 149280 229770 ) N ;
-- FILLER_65_307 sky130_fd_sc_ls__decap_8 + PLACED ( 153120 229770 ) N ;
-- FILLER_65_315 sky130_fd_sc_ls__decap_8 + PLACED ( 156960 229770 ) N ;
-- FILLER_65_323 sky130_fd_sc_ls__decap_4 + PLACED ( 160800 229770 ) N ;
-- FILLER_65_327 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 162720 229770 ) N ;
-- FILLER_65_329 sky130_fd_sc_ls__fill_1 + PLACED ( 163680 229770 ) N ;
+- FILLER_65_237 sky130_fd_sc_ls__decap_4 + PLACED ( 119520 229770 ) N ;
+- FILLER_65_241 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 121440 229770 ) N ;
+- FILLER_65_246 sky130_fd_sc_ls__decap_8 + PLACED ( 123840 229770 ) N ;
+- FILLER_65_254 sky130_fd_sc_ls__decap_8 + PLACED ( 127680 229770 ) N ;
+- FILLER_65_262 sky130_fd_sc_ls__decap_8 + PLACED ( 131520 229770 ) N ;
+- FILLER_65_270 sky130_fd_sc_ls__decap_4 + PLACED ( 135360 229770 ) N ;
+- FILLER_65_274 sky130_fd_sc_ls__fill_1 + PLACED ( 137280 229770 ) N ;
+- FILLER_65_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 229770 ) N ;
+- FILLER_65_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 229770 ) N ;
+- FILLER_65_292 sky130_fd_sc_ls__decap_8 + PLACED ( 145920 229770 ) N ;
+- FILLER_65_300 sky130_fd_sc_ls__decap_8 + PLACED ( 149760 229770 ) N ;
+- FILLER_65_308 sky130_fd_sc_ls__decap_8 + PLACED ( 153600 229770 ) N ;
+- FILLER_65_316 sky130_fd_sc_ls__decap_8 + PLACED ( 157440 229770 ) N ;
+- FILLER_65_324 sky130_fd_sc_ls__decap_4 + PLACED ( 161280 229770 ) N ;
+- FILLER_65_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 229770 ) N ;
 - FILLER_65_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 229770 ) N ;
 - FILLER_65_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 229770 ) N ;
 - FILLER_65_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 229770 ) N ;
@@ -8142,21 +8137,19 @@
 - FILLER_65_383 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189600 229770 ) N ;
 - FILLER_65_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 229770 ) N ;
 - FILLER_65_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 229770 ) N ;
-- FILLER_65_402 sky130_fd_sc_ls__decap_4 + PLACED ( 198720 229770 ) N ;
-- FILLER_65_406 sky130_fd_sc_ls__fill_1 + PLACED ( 200640 229770 ) N ;
+- FILLER_65_402 sky130_fd_sc_ls__decap_8 + PLACED ( 198720 229770 ) N ;
 - FILLER_65_410 sky130_fd_sc_ls__decap_8 + PLACED ( 202560 229770 ) N ;
 - FILLER_65_418 sky130_fd_sc_ls__decap_8 + PLACED ( 206400 229770 ) N ;
 - FILLER_65_426 sky130_fd_sc_ls__decap_8 + PLACED ( 210240 229770 ) N ;
 - FILLER_65_434 sky130_fd_sc_ls__decap_4 + PLACED ( 214080 229770 ) N ;
 - FILLER_65_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 229770 ) N ;
-- FILLER_65_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 229770 ) N ;
-- FILLER_65_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 229770 ) N ;
-- FILLER_65_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 229770 ) N ;
-- FILLER_65_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 229770 ) N ;
-- FILLER_65_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 229770 ) N ;
-- FILLER_65_481 sky130_fd_sc_ls__decap_8 + PLACED ( 236640 229770 ) N ;
-- FILLER_65_489 sky130_fd_sc_ls__decap_4 + PLACED ( 240480 229770 ) N ;
-- FILLER_65_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 229770 ) N ;
+- FILLER_65_441 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 217440 229770 ) N ;
+- FILLER_65_448 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 220800 229770 ) N ;
+- FILLER_65_455 sky130_fd_sc_ls__decap_8 + PLACED ( 224160 229770 ) N ;
+- FILLER_65_463 sky130_fd_sc_ls__decap_8 + PLACED ( 228000 229770 ) N ;
+- FILLER_65_471 sky130_fd_sc_ls__decap_8 + PLACED ( 231840 229770 ) N ;
+- FILLER_65_479 sky130_fd_sc_ls__decap_8 + PLACED ( 235680 229770 ) N ;
+- FILLER_65_487 sky130_fd_sc_ls__decap_8 + PLACED ( 239520 229770 ) N ;
 - FILLER_65_496 sky130_fd_sc_ls__decap_8 + PLACED ( 243840 229770 ) N ;
 - FILLER_65_504 sky130_fd_sc_ls__decap_8 + PLACED ( 247680 229770 ) N ;
 - FILLER_65_512 sky130_fd_sc_ls__decap_8 + PLACED ( 251520 229770 ) N ;
@@ -8165,39 +8158,41 @@
 - FILLER_65_536 sky130_fd_sc_ls__decap_8 + PLACED ( 263040 229770 ) N ;
 - FILLER_65_544 sky130_fd_sc_ls__decap_4 + PLACED ( 266880 229770 ) N ;
 - FILLER_65_548 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268800 229770 ) N ;
-- FILLER_65_551 sky130_fd_sc_ls__decap_8 + PLACED ( 270240 229770 ) N ;
-- FILLER_65_559 sky130_fd_sc_ls__decap_8 + PLACED ( 274080 229770 ) N ;
-- FILLER_65_567 sky130_fd_sc_ls__decap_8 + PLACED ( 277920 229770 ) N ;
-- FILLER_65_575 sky130_fd_sc_ls__decap_8 + PLACED ( 281760 229770 ) N ;
-- FILLER_65_583 sky130_fd_sc_ls__decap_8 + PLACED ( 285600 229770 ) N ;
-- FILLER_65_591 sky130_fd_sc_ls__decap_4 + PLACED ( 289440 229770 ) N ;
-- FILLER_65_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 229770 ) N ;
+- FILLER_65_551 sky130_fd_sc_ls__decap_4 + PLACED ( 270240 229770 ) N ;
+- FILLER_65_560 sky130_fd_sc_ls__decap_8 + PLACED ( 274560 229770 ) N ;
+- FILLER_65_568 sky130_fd_sc_ls__decap_8 + PLACED ( 278400 229770 ) N ;
+- FILLER_65_576 sky130_fd_sc_ls__decap_8 + PLACED ( 282240 229770 ) N ;
+- FILLER_65_584 sky130_fd_sc_ls__decap_8 + PLACED ( 286080 229770 ) N ;
+- FILLER_65_592 sky130_fd_sc_ls__decap_4 + PLACED ( 289920 229770 ) N ;
+- FILLER_65_596 sky130_fd_sc_ls__fill_1 + PLACED ( 291840 229770 ) N ;
 - FILLER_66_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 233100 ) FS ;
 - FILLER_66_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 233100 ) FS ;
 - FILLER_66_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 233100 ) FS ;
-- FILLER_66_29 sky130_fd_sc_ls__decap_4 + PLACED ( 19680 233100 ) FS ;
-- FILLER_66_33 sky130_fd_sc_ls__fill_1 + PLACED ( 21600 233100 ) FS ;
-- FILLER_66_39 sky130_fd_sc_ls__decap_8 + PLACED ( 24480 233100 ) FS ;
-- FILLER_66_47 sky130_fd_sc_ls__decap_8 + PLACED ( 28320 233100 ) FS ;
-- FILLER_66_55 sky130_fd_sc_ls__decap_8 + PLACED ( 32160 233100 ) FS ;
+- FILLER_66_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 233100 ) FS ;
+- FILLER_66_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 233100 ) FS ;
+- FILLER_66_45 sky130_fd_sc_ls__decap_8 + PLACED ( 27360 233100 ) FS ;
+- FILLER_66_53 sky130_fd_sc_ls__decap_4 + PLACED ( 31200 233100 ) FS ;
+- FILLER_66_57 sky130_fd_sc_ls__fill_1 + PLACED ( 33120 233100 ) FS ;
 - FILLER_66_63 sky130_fd_sc_ls__decap_8 + PLACED ( 36000 233100 ) FS ;
 - FILLER_66_71 sky130_fd_sc_ls__decap_8 + PLACED ( 39840 233100 ) FS ;
 - FILLER_66_79 sky130_fd_sc_ls__decap_4 + PLACED ( 43680 233100 ) FS ;
-- FILLER_66_84 sky130_fd_sc_ls__decap_8 + PLACED ( 46080 233100 ) FS ;
-- FILLER_66_92 sky130_fd_sc_ls__decap_8 + PLACED ( 49920 233100 ) FS ;
-- FILLER_66_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 233100 ) FS ;
-- FILLER_66_108 sky130_fd_sc_ls__decap_8 + PLACED ( 57600 233100 ) FS ;
-- FILLER_66_116 sky130_fd_sc_ls__decap_8 + PLACED ( 61440 233100 ) FS ;
-- FILLER_66_124 sky130_fd_sc_ls__decap_4 + PLACED ( 65280 233100 ) FS ;
-- FILLER_66_128 sky130_fd_sc_ls__fill_1 + PLACED ( 67200 233100 ) FS ;
-- FILLER_66_134 sky130_fd_sc_ls__decap_4 + PLACED ( 70080 233100 ) FS ;
+- FILLER_66_84 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 46080 233100 ) FS ;
+- FILLER_66_91 sky130_fd_sc_ls__decap_8 + PLACED ( 49440 233100 ) FS ;
+- FILLER_66_99 sky130_fd_sc_ls__decap_8 + PLACED ( 53280 233100 ) FS ;
+- FILLER_66_107 sky130_fd_sc_ls__decap_8 + PLACED ( 57120 233100 ) FS ;
+- FILLER_66_115 sky130_fd_sc_ls__decap_8 + PLACED ( 60960 233100 ) FS ;
+- FILLER_66_123 sky130_fd_sc_ls__decap_8 + PLACED ( 64800 233100 ) FS ;
+- FILLER_66_131 sky130_fd_sc_ls__decap_4 + PLACED ( 68640 233100 ) FS ;
+- FILLER_66_135 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 70560 233100 ) FS ;
+- FILLER_66_137 sky130_fd_sc_ls__fill_1 + PLACED ( 71520 233100 ) FS ;
 - FILLER_66_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 233100 ) FS ;
-- FILLER_66_152 sky130_fd_sc_ls__decap_8 + PLACED ( 78720 233100 ) FS ;
-- FILLER_66_160 sky130_fd_sc_ls__decap_8 + PLACED ( 82560 233100 ) FS ;
-- FILLER_66_168 sky130_fd_sc_ls__decap_8 + PLACED ( 86400 233100 ) FS ;
-- FILLER_66_176 sky130_fd_sc_ls__decap_8 + PLACED ( 90240 233100 ) FS ;
-- FILLER_66_184 sky130_fd_sc_ls__decap_8 + PLACED ( 94080 233100 ) FS ;
-- FILLER_66_192 sky130_fd_sc_ls__fill_1 + PLACED ( 97920 233100 ) FS ;
+- FILLER_66_147 sky130_fd_sc_ls__decap_8 + PLACED ( 76320 233100 ) FS ;
+- FILLER_66_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 233100 ) FS ;
+- FILLER_66_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 233100 ) FS ;
+- FILLER_66_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 233100 ) FS ;
+- FILLER_66_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 233100 ) FS ;
+- FILLER_66_187 sky130_fd_sc_ls__decap_4 + PLACED ( 95520 233100 ) FS ;
+- FILLER_66_191 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 97440 233100 ) FS ;
 - FILLER_66_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 233100 ) FS ;
 - FILLER_66_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 233100 ) FS ;
 - FILLER_66_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 233100 ) FS ;
@@ -8215,14 +8210,12 @@
 - FILLER_66_297 sky130_fd_sc_ls__decap_4 + PLACED ( 148320 233100 ) FS ;
 - FILLER_66_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 233100 ) FS ;
 - FILLER_66_304 sky130_fd_sc_ls__decap_8 + PLACED ( 151680 233100 ) FS ;
-- FILLER_66_312 sky130_fd_sc_ls__decap_4 + PLACED ( 155520 233100 ) FS ;
-- FILLER_66_316 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 157440 233100 ) FS ;
-- FILLER_66_321 sky130_fd_sc_ls__decap_8 + PLACED ( 159840 233100 ) FS ;
-- FILLER_66_329 sky130_fd_sc_ls__decap_8 + PLACED ( 163680 233100 ) FS ;
-- FILLER_66_337 sky130_fd_sc_ls__decap_8 + PLACED ( 167520 233100 ) FS ;
-- FILLER_66_345 sky130_fd_sc_ls__decap_8 + PLACED ( 171360 233100 ) FS ;
-- FILLER_66_353 sky130_fd_sc_ls__decap_4 + PLACED ( 175200 233100 ) FS ;
-- FILLER_66_357 sky130_fd_sc_ls__fill_1 + PLACED ( 177120 233100 ) FS ;
+- FILLER_66_312 sky130_fd_sc_ls__decap_8 + PLACED ( 155520 233100 ) FS ;
+- FILLER_66_320 sky130_fd_sc_ls__decap_8 + PLACED ( 159360 233100 ) FS ;
+- FILLER_66_328 sky130_fd_sc_ls__decap_8 + PLACED ( 163200 233100 ) FS ;
+- FILLER_66_336 sky130_fd_sc_ls__fill_1 + PLACED ( 167040 233100 ) FS ;
+- FILLER_66_342 sky130_fd_sc_ls__decap_8 + PLACED ( 169920 233100 ) FS ;
+- FILLER_66_350 sky130_fd_sc_ls__decap_8 + PLACED ( 173760 233100 ) FS ;
 - FILLER_66_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 233100 ) FS ;
 - FILLER_66_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 233100 ) FS ;
 - FILLER_66_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 233100 ) FS ;
@@ -8236,9 +8229,9 @@
 - FILLER_66_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 233100 ) FS ;
 - FILLER_66_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 233100 ) FS ;
 - FILLER_66_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 233100 ) FS ;
-- FILLER_66_454 sky130_fd_sc_ls__decap_4 + PLACED ( 223680 233100 ) FS ;
-- FILLER_66_458 sky130_fd_sc_ls__fill_1 + PLACED ( 225600 233100 ) FS ;
-- FILLER_66_464 sky130_fd_sc_ls__decap_4 + PLACED ( 228480 233100 ) FS ;
+- FILLER_66_454 sky130_fd_sc_ls__decap_8 + PLACED ( 223680 233100 ) FS ;
+- FILLER_66_462 sky130_fd_sc_ls__decap_4 + PLACED ( 227520 233100 ) FS ;
+- FILLER_66_466 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 229440 233100 ) FS ;
 - FILLER_66_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 233100 ) FS ;
 - FILLER_66_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 233100 ) FS ;
 - FILLER_66_485 sky130_fd_sc_ls__decap_8 + PLACED ( 238560 233100 ) FS ;
@@ -8282,23 +8275,22 @@
 - FILLER_67_151 sky130_fd_sc_ls__decap_8 + PLACED ( 78240 236430 ) N ;
 - FILLER_67_159 sky130_fd_sc_ls__decap_4 + PLACED ( 82080 236430 ) N ;
 - FILLER_67_163 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 84000 236430 ) N ;
-- FILLER_67_166 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 85440 236430 ) N ;
-- FILLER_67_173 sky130_fd_sc_ls__decap_8 + PLACED ( 88800 236430 ) N ;
-- FILLER_67_181 sky130_fd_sc_ls__decap_8 + PLACED ( 92640 236430 ) N ;
-- FILLER_67_189 sky130_fd_sc_ls__decap_8 + PLACED ( 96480 236430 ) N ;
-- FILLER_67_197 sky130_fd_sc_ls__decap_8 + PLACED ( 100320 236430 ) N ;
-- FILLER_67_205 sky130_fd_sc_ls__decap_8 + PLACED ( 104160 236430 ) N ;
-- FILLER_67_213 sky130_fd_sc_ls__decap_4 + PLACED ( 108000 236430 ) N ;
-- FILLER_67_217 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 109920 236430 ) N ;
-- FILLER_67_219 sky130_fd_sc_ls__fill_1 + PLACED ( 110880 236430 ) N ;
+- FILLER_67_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 236430 ) N ;
+- FILLER_67_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 236430 ) N ;
+- FILLER_67_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 236430 ) N ;
+- FILLER_67_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 236430 ) N ;
+- FILLER_67_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 236430 ) N ;
+- FILLER_67_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 236430 ) N ;
+- FILLER_67_214 sky130_fd_sc_ls__decap_4 + PLACED ( 108480 236430 ) N ;
+- FILLER_67_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 236430 ) N ;
 - FILLER_67_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 236430 ) N ;
-- FILLER_67_229 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 115680 236430 ) N ;
-- FILLER_67_234 sky130_fd_sc_ls__decap_8 + PLACED ( 118080 236430 ) N ;
-- FILLER_67_242 sky130_fd_sc_ls__decap_8 + PLACED ( 121920 236430 ) N ;
-- FILLER_67_250 sky130_fd_sc_ls__decap_8 + PLACED ( 125760 236430 ) N ;
-- FILLER_67_258 sky130_fd_sc_ls__decap_8 + PLACED ( 129600 236430 ) N ;
-- FILLER_67_266 sky130_fd_sc_ls__decap_8 + PLACED ( 133440 236430 ) N ;
-- FILLER_67_274 sky130_fd_sc_ls__fill_1 + PLACED ( 137280 236430 ) N ;
+- FILLER_67_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 236430 ) N ;
+- FILLER_67_237 sky130_fd_sc_ls__decap_8 + PLACED ( 119520 236430 ) N ;
+- FILLER_67_245 sky130_fd_sc_ls__decap_8 + PLACED ( 123360 236430 ) N ;
+- FILLER_67_253 sky130_fd_sc_ls__decap_8 + PLACED ( 127200 236430 ) N ;
+- FILLER_67_261 sky130_fd_sc_ls__decap_8 + PLACED ( 131040 236430 ) N ;
+- FILLER_67_269 sky130_fd_sc_ls__decap_4 + PLACED ( 134880 236430 ) N ;
+- FILLER_67_273 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136800 236430 ) N ;
 - FILLER_67_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 236430 ) N ;
 - FILLER_67_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 236430 ) N ;
 - FILLER_67_292 sky130_fd_sc_ls__decap_8 + PLACED ( 145920 236430 ) N ;
@@ -8316,13 +8308,13 @@
 - FILLER_67_379 sky130_fd_sc_ls__decap_4 + PLACED ( 187680 236430 ) N ;
 - FILLER_67_383 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189600 236430 ) N ;
 - FILLER_67_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 236430 ) N ;
-- FILLER_67_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 236430 ) N ;
-- FILLER_67_402 sky130_fd_sc_ls__decap_8 + PLACED ( 198720 236430 ) N ;
-- FILLER_67_410 sky130_fd_sc_ls__decap_8 + PLACED ( 202560 236430 ) N ;
-- FILLER_67_418 sky130_fd_sc_ls__decap_8 + PLACED ( 206400 236430 ) N ;
-- FILLER_67_426 sky130_fd_sc_ls__decap_8 + PLACED ( 210240 236430 ) N ;
-- FILLER_67_434 sky130_fd_sc_ls__decap_4 + PLACED ( 214080 236430 ) N ;
-- FILLER_67_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 236430 ) N ;
+- FILLER_67_394 sky130_fd_sc_ls__decap_4 + PLACED ( 194880 236430 ) N ;
+- FILLER_67_403 sky130_fd_sc_ls__decap_8 + PLACED ( 199200 236430 ) N ;
+- FILLER_67_411 sky130_fd_sc_ls__decap_8 + PLACED ( 203040 236430 ) N ;
+- FILLER_67_419 sky130_fd_sc_ls__decap_8 + PLACED ( 206880 236430 ) N ;
+- FILLER_67_427 sky130_fd_sc_ls__decap_8 + PLACED ( 210720 236430 ) N ;
+- FILLER_67_435 sky130_fd_sc_ls__decap_4 + PLACED ( 214560 236430 ) N ;
+- FILLER_67_439 sky130_fd_sc_ls__fill_1 + PLACED ( 216480 236430 ) N ;
 - FILLER_67_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 236430 ) N ;
 - FILLER_67_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 236430 ) N ;
 - FILLER_67_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 236430 ) N ;
@@ -8340,24 +8332,23 @@
 - FILLER_67_544 sky130_fd_sc_ls__decap_4 + PLACED ( 266880 236430 ) N ;
 - FILLER_67_548 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268800 236430 ) N ;
 - FILLER_67_551 sky130_fd_sc_ls__decap_8 + PLACED ( 270240 236430 ) N ;
-- FILLER_67_559 sky130_fd_sc_ls__decap_8 + PLACED ( 274080 236430 ) N ;
-- FILLER_67_567 sky130_fd_sc_ls__decap_8 + PLACED ( 277920 236430 ) N ;
-- FILLER_67_575 sky130_fd_sc_ls__decap_8 + PLACED ( 281760 236430 ) N ;
-- FILLER_67_583 sky130_fd_sc_ls__decap_8 + PLACED ( 285600 236430 ) N ;
-- FILLER_67_591 sky130_fd_sc_ls__decap_4 + PLACED ( 289440 236430 ) N ;
-- FILLER_67_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 236430 ) N ;
+- FILLER_67_559 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 274080 236430 ) N ;
+- FILLER_67_564 sky130_fd_sc_ls__decap_8 + PLACED ( 276480 236430 ) N ;
+- FILLER_67_572 sky130_fd_sc_ls__decap_8 + PLACED ( 280320 236430 ) N ;
+- FILLER_67_580 sky130_fd_sc_ls__decap_8 + PLACED ( 284160 236430 ) N ;
+- FILLER_67_588 sky130_fd_sc_ls__decap_8 + PLACED ( 288000 236430 ) N ;
+- FILLER_67_596 sky130_fd_sc_ls__fill_1 + PLACED ( 291840 236430 ) N ;
 - FILLER_68_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 239760 ) FS ;
 - FILLER_68_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 239760 ) FS ;
 - FILLER_68_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 239760 ) FS ;
-- FILLER_68_29 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 19680 239760 ) FS ;
-- FILLER_68_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 239760 ) FS ;
-- FILLER_68_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 239760 ) FS ;
-- FILLER_68_52 sky130_fd_sc_ls__decap_8 + PLACED ( 30720 239760 ) FS ;
-- FILLER_68_60 sky130_fd_sc_ls__decap_8 + PLACED ( 34560 239760 ) FS ;
-- FILLER_68_68 sky130_fd_sc_ls__decap_8 + PLACED ( 38400 239760 ) FS ;
-- FILLER_68_76 sky130_fd_sc_ls__decap_4 + PLACED ( 42240 239760 ) FS ;
-- FILLER_68_80 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44160 239760 ) FS ;
-- FILLER_68_82 sky130_fd_sc_ls__fill_1 + PLACED ( 45120 239760 ) FS ;
+- FILLER_68_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 239760 ) FS ;
+- FILLER_68_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 239760 ) FS ;
+- FILLER_68_45 sky130_fd_sc_ls__decap_8 + PLACED ( 27360 239760 ) FS ;
+- FILLER_68_53 sky130_fd_sc_ls__decap_8 + PLACED ( 31200 239760 ) FS ;
+- FILLER_68_61 sky130_fd_sc_ls__decap_8 + PLACED ( 35040 239760 ) FS ;
+- FILLER_68_69 sky130_fd_sc_ls__decap_8 + PLACED ( 38880 239760 ) FS ;
+- FILLER_68_77 sky130_fd_sc_ls__decap_4 + PLACED ( 42720 239760 ) FS ;
+- FILLER_68_81 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44640 239760 ) FS ;
 - FILLER_68_84 sky130_fd_sc_ls__decap_8 + PLACED ( 46080 239760 ) FS ;
 - FILLER_68_92 sky130_fd_sc_ls__decap_8 + PLACED ( 49920 239760 ) FS ;
 - FILLER_68_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 239760 ) FS ;
@@ -8375,13 +8366,13 @@
 - FILLER_68_187 sky130_fd_sc_ls__decap_4 + PLACED ( 95520 239760 ) FS ;
 - FILLER_68_191 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 97440 239760 ) FS ;
 - FILLER_68_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 239760 ) FS ;
-- FILLER_68_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 239760 ) FS ;
-- FILLER_68_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 239760 ) FS ;
-- FILLER_68_218 sky130_fd_sc_ls__decap_8 + PLACED ( 110400 239760 ) FS ;
-- FILLER_68_226 sky130_fd_sc_ls__decap_8 + PLACED ( 114240 239760 ) FS ;
-- FILLER_68_234 sky130_fd_sc_ls__decap_8 + PLACED ( 118080 239760 ) FS ;
-- FILLER_68_242 sky130_fd_sc_ls__decap_4 + PLACED ( 121920 239760 ) FS ;
-- FILLER_68_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 239760 ) FS ;
+- FILLER_68_202 sky130_fd_sc_ls__decap_4 + PLACED ( 102720 239760 ) FS ;
+- FILLER_68_206 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 104640 239760 ) FS ;
+- FILLER_68_213 sky130_fd_sc_ls__decap_8 + PLACED ( 108000 239760 ) FS ;
+- FILLER_68_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 239760 ) FS ;
+- FILLER_68_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 239760 ) FS ;
+- FILLER_68_237 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 119520 239760 ) FS ;
+- FILLER_68_244 sky130_fd_sc_ls__decap_4 + PLACED ( 122880 239760 ) FS ;
 - FILLER_68_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 239760 ) FS ;
 - FILLER_68_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 239760 ) FS ;
 - FILLER_68_265 sky130_fd_sc_ls__decap_8 + PLACED ( 132960 239760 ) FS ;
@@ -8431,18 +8422,17 @@
 - FILLER_68_572 sky130_fd_sc_ls__decap_4 + PLACED ( 280320 239760 ) FS ;
 - FILLER_68_576 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 282240 239760 ) FS ;
 - FILLER_68_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 239760 ) FS ;
-- FILLER_68_587 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 287520 239760 ) FS ;
-- FILLER_68_589 sky130_fd_sc_ls__fill_1 + PLACED ( 288480 239760 ) FS ;
-- FILLER_68_593 sky130_fd_sc_ls__decap_4 + PLACED ( 290400 239760 ) FS ;
-- FILLER_69_9 sky130_fd_sc_ls__decap_8 + PLACED ( 10080 243090 ) N ;
-- FILLER_69_17 sky130_fd_sc_ls__decap_8 + PLACED ( 13920 243090 ) N ;
-- FILLER_69_25 sky130_fd_sc_ls__decap_8 + PLACED ( 17760 243090 ) N ;
-- FILLER_69_33 sky130_fd_sc_ls__decap_8 + PLACED ( 21600 243090 ) N ;
-- FILLER_69_41 sky130_fd_sc_ls__decap_8 + PLACED ( 25440 243090 ) N ;
-- FILLER_69_49 sky130_fd_sc_ls__decap_4 + PLACED ( 29280 243090 ) N ;
-- FILLER_69_53 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 31200 243090 ) N ;
-- FILLER_69_56 sky130_fd_sc_ls__decap_4 + PLACED ( 32640 243090 ) N ;
-- FILLER_69_60 sky130_fd_sc_ls__fill_1 + PLACED ( 34560 243090 ) N ;
+- FILLER_68_587 sky130_fd_sc_ls__decap_8 + PLACED ( 287520 239760 ) FS ;
+- FILLER_68_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 239760 ) FS ;
+- FILLER_69_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 243090 ) N ;
+- FILLER_69_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 243090 ) N ;
+- FILLER_69_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 243090 ) N ;
+- FILLER_69_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 243090 ) N ;
+- FILLER_69_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 243090 ) N ;
+- FILLER_69_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 243090 ) N ;
+- FILLER_69_52 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 30720 243090 ) N ;
+- FILLER_69_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 243090 ) N ;
+- FILLER_69_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 243090 ) N ;
 - FILLER_69_64 sky130_fd_sc_ls__decap_8 + PLACED ( 36480 243090 ) N ;
 - FILLER_69_72 sky130_fd_sc_ls__decap_8 + PLACED ( 40320 243090 ) N ;
 - FILLER_69_80 sky130_fd_sc_ls__decap_8 + PLACED ( 44160 243090 ) N ;
@@ -8467,20 +8457,19 @@
 - FILLER_69_214 sky130_fd_sc_ls__decap_4 + PLACED ( 108480 243090 ) N ;
 - FILLER_69_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 243090 ) N ;
 - FILLER_69_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 243090 ) N ;
-- FILLER_69_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 243090 ) N ;
-- FILLER_69_237 sky130_fd_sc_ls__decap_8 + PLACED ( 119520 243090 ) N ;
-- FILLER_69_245 sky130_fd_sc_ls__decap_8 + PLACED ( 123360 243090 ) N ;
-- FILLER_69_253 sky130_fd_sc_ls__decap_8 + PLACED ( 127200 243090 ) N ;
-- FILLER_69_261 sky130_fd_sc_ls__decap_8 + PLACED ( 131040 243090 ) N ;
-- FILLER_69_269 sky130_fd_sc_ls__decap_4 + PLACED ( 134880 243090 ) N ;
-- FILLER_69_273 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136800 243090 ) N ;
+- FILLER_69_229 sky130_fd_sc_ls__fill_1 + PLACED ( 115680 243090 ) N ;
+- FILLER_69_235 sky130_fd_sc_ls__decap_8 + PLACED ( 118560 243090 ) N ;
+- FILLER_69_243 sky130_fd_sc_ls__decap_8 + PLACED ( 122400 243090 ) N ;
+- FILLER_69_251 sky130_fd_sc_ls__decap_8 + PLACED ( 126240 243090 ) N ;
+- FILLER_69_259 sky130_fd_sc_ls__decap_8 + PLACED ( 130080 243090 ) N ;
+- FILLER_69_267 sky130_fd_sc_ls__decap_8 + PLACED ( 133920 243090 ) N ;
 - FILLER_69_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 243090 ) N ;
-- FILLER_69_284 sky130_fd_sc_ls__fill_1 + PLACED ( 142080 243090 ) N ;
-- FILLER_69_288 sky130_fd_sc_ls__decap_8 + PLACED ( 144000 243090 ) N ;
-- FILLER_69_296 sky130_fd_sc_ls__decap_8 + PLACED ( 147840 243090 ) N ;
-- FILLER_69_304 sky130_fd_sc_ls__decap_8 + PLACED ( 151680 243090 ) N ;
-- FILLER_69_312 sky130_fd_sc_ls__decap_8 + PLACED ( 155520 243090 ) N ;
-- FILLER_69_320 sky130_fd_sc_ls__decap_8 + PLACED ( 159360 243090 ) N ;
+- FILLER_69_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 243090 ) N ;
+- FILLER_69_292 sky130_fd_sc_ls__decap_8 + PLACED ( 145920 243090 ) N ;
+- FILLER_69_300 sky130_fd_sc_ls__decap_8 + PLACED ( 149760 243090 ) N ;
+- FILLER_69_308 sky130_fd_sc_ls__decap_8 + PLACED ( 153600 243090 ) N ;
+- FILLER_69_316 sky130_fd_sc_ls__decap_8 + PLACED ( 157440 243090 ) N ;
+- FILLER_69_324 sky130_fd_sc_ls__decap_4 + PLACED ( 161280 243090 ) N ;
 - FILLER_69_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 243090 ) N ;
 - FILLER_69_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 243090 ) N ;
 - FILLER_69_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 243090 ) N ;
@@ -8494,9 +8483,10 @@
 - FILLER_69_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 243090 ) N ;
 - FILLER_69_402 sky130_fd_sc_ls__decap_8 + PLACED ( 198720 243090 ) N ;
 - FILLER_69_410 sky130_fd_sc_ls__decap_8 + PLACED ( 202560 243090 ) N ;
-- FILLER_69_418 sky130_fd_sc_ls__fill_1 + PLACED ( 206400 243090 ) N ;
-- FILLER_69_424 sky130_fd_sc_ls__decap_8 + PLACED ( 209280 243090 ) N ;
-- FILLER_69_432 sky130_fd_sc_ls__decap_8 + PLACED ( 213120 243090 ) N ;
+- FILLER_69_418 sky130_fd_sc_ls__decap_8 + PLACED ( 206400 243090 ) N ;
+- FILLER_69_426 sky130_fd_sc_ls__decap_8 + PLACED ( 210240 243090 ) N ;
+- FILLER_69_434 sky130_fd_sc_ls__decap_4 + PLACED ( 214080 243090 ) N ;
+- FILLER_69_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 243090 ) N ;
 - FILLER_69_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 243090 ) N ;
 - FILLER_69_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 243090 ) N ;
 - FILLER_69_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 243090 ) N ;
@@ -8526,11 +8516,11 @@
 - FILLER_70_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 246420 ) FS ;
 - FILLER_70_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 246420 ) FS ;
 - FILLER_70_45 sky130_fd_sc_ls__decap_8 + PLACED ( 27360 246420 ) FS ;
-- FILLER_70_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 246420 ) FS ;
-- FILLER_70_64 sky130_fd_sc_ls__decap_8 + PLACED ( 36480 246420 ) FS ;
-- FILLER_70_72 sky130_fd_sc_ls__decap_8 + PLACED ( 40320 246420 ) FS ;
-- FILLER_70_80 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44160 246420 ) FS ;
-- FILLER_70_82 sky130_fd_sc_ls__fill_1 + PLACED ( 45120 246420 ) FS ;
+- FILLER_70_53 sky130_fd_sc_ls__decap_8 + PLACED ( 31200 246420 ) FS ;
+- FILLER_70_61 sky130_fd_sc_ls__decap_8 + PLACED ( 35040 246420 ) FS ;
+- FILLER_70_69 sky130_fd_sc_ls__decap_8 + PLACED ( 38880 246420 ) FS ;
+- FILLER_70_77 sky130_fd_sc_ls__decap_4 + PLACED ( 42720 246420 ) FS ;
+- FILLER_70_81 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44640 246420 ) FS ;
 - FILLER_70_84 sky130_fd_sc_ls__decap_8 + PLACED ( 46080 246420 ) FS ;
 - FILLER_70_92 sky130_fd_sc_ls__decap_8 + PLACED ( 49920 246420 ) FS ;
 - FILLER_70_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 246420 ) FS ;
@@ -8539,14 +8529,15 @@
 - FILLER_70_124 sky130_fd_sc_ls__decap_8 + PLACED ( 65280 246420 ) FS ;
 - FILLER_70_132 sky130_fd_sc_ls__decap_4 + PLACED ( 69120 246420 ) FS ;
 - FILLER_70_136 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 71040 246420 ) FS ;
-- FILLER_70_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 246420 ) FS ;
-- FILLER_70_147 sky130_fd_sc_ls__decap_8 + PLACED ( 76320 246420 ) FS ;
-- FILLER_70_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 246420 ) FS ;
-- FILLER_70_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 246420 ) FS ;
-- FILLER_70_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 246420 ) FS ;
-- FILLER_70_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 246420 ) FS ;
-- FILLER_70_187 sky130_fd_sc_ls__decap_4 + PLACED ( 95520 246420 ) FS ;
-- FILLER_70_191 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 97440 246420 ) FS ;
+- FILLER_70_139 sky130_fd_sc_ls__decap_4 + PLACED ( 72480 246420 ) FS ;
+- FILLER_70_146 sky130_fd_sc_ls__decap_8 + PLACED ( 75840 246420 ) FS ;
+- FILLER_70_154 sky130_fd_sc_ls__decap_8 + PLACED ( 79680 246420 ) FS ;
+- FILLER_70_162 sky130_fd_sc_ls__decap_8 + PLACED ( 83520 246420 ) FS ;
+- FILLER_70_170 sky130_fd_sc_ls__decap_8 + PLACED ( 87360 246420 ) FS ;
+- FILLER_70_178 sky130_fd_sc_ls__decap_8 + PLACED ( 91200 246420 ) FS ;
+- FILLER_70_186 sky130_fd_sc_ls__decap_4 + PLACED ( 95040 246420 ) FS ;
+- FILLER_70_190 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 96960 246420 ) FS ;
+- FILLER_70_192 sky130_fd_sc_ls__fill_1 + PLACED ( 97920 246420 ) FS ;
 - FILLER_70_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 246420 ) FS ;
 - FILLER_70_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 246420 ) FS ;
 - FILLER_70_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 246420 ) FS ;
@@ -8584,17 +8575,17 @@
 - FILLER_70_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 246420 ) FS ;
 - FILLER_70_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 246420 ) FS ;
 - FILLER_70_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 246420 ) FS ;
-- FILLER_70_454 sky130_fd_sc_ls__fill_1 + PLACED ( 223680 246420 ) FS ;
-- FILLER_70_460 sky130_fd_sc_ls__decap_8 + PLACED ( 226560 246420 ) FS ;
+- FILLER_70_454 sky130_fd_sc_ls__decap_8 + PLACED ( 223680 246420 ) FS ;
+- FILLER_70_462 sky130_fd_sc_ls__decap_4 + PLACED ( 227520 246420 ) FS ;
+- FILLER_70_466 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 229440 246420 ) FS ;
 - FILLER_70_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 246420 ) FS ;
 - FILLER_70_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 246420 ) FS ;
-- FILLER_70_485 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 238560 246420 ) FS ;
-- FILLER_70_492 sky130_fd_sc_ls__decap_8 + PLACED ( 241920 246420 ) FS ;
-- FILLER_70_500 sky130_fd_sc_ls__decap_8 + PLACED ( 245760 246420 ) FS ;
-- FILLER_70_508 sky130_fd_sc_ls__decap_8 + PLACED ( 249600 246420 ) FS ;
-- FILLER_70_516 sky130_fd_sc_ls__decap_4 + PLACED ( 253440 246420 ) FS ;
-- FILLER_70_520 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255360 246420 ) FS ;
-- FILLER_70_522 sky130_fd_sc_ls__fill_1 + PLACED ( 256320 246420 ) FS ;
+- FILLER_70_485 sky130_fd_sc_ls__decap_8 + PLACED ( 238560 246420 ) FS ;
+- FILLER_70_493 sky130_fd_sc_ls__decap_8 + PLACED ( 242400 246420 ) FS ;
+- FILLER_70_501 sky130_fd_sc_ls__decap_8 + PLACED ( 246240 246420 ) FS ;
+- FILLER_70_509 sky130_fd_sc_ls__decap_8 + PLACED ( 250080 246420 ) FS ;
+- FILLER_70_517 sky130_fd_sc_ls__decap_4 + PLACED ( 253920 246420 ) FS ;
+- FILLER_70_521 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255840 246420 ) FS ;
 - FILLER_70_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 246420 ) FS ;
 - FILLER_70_532 sky130_fd_sc_ls__decap_8 + PLACED ( 261120 246420 ) FS ;
 - FILLER_70_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 246420 ) FS ;
@@ -8609,10 +8600,9 @@
 - FILLER_71_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 249750 ) N ;
 - FILLER_71_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 249750 ) N ;
 - FILLER_71_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 249750 ) N ;
-- FILLER_71_28 sky130_fd_sc_ls__fill_1 + PLACED ( 19200 249750 ) N ;
-- FILLER_71_32 sky130_fd_sc_ls__decap_8 + PLACED ( 21120 249750 ) N ;
-- FILLER_71_40 sky130_fd_sc_ls__decap_8 + PLACED ( 24960 249750 ) N ;
-- FILLER_71_48 sky130_fd_sc_ls__decap_4 + PLACED ( 28800 249750 ) N ;
+- FILLER_71_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 249750 ) N ;
+- FILLER_71_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 249750 ) N ;
+- FILLER_71_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 249750 ) N ;
 - FILLER_71_52 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 30720 249750 ) N ;
 - FILLER_71_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 249750 ) N ;
 - FILLER_71_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 249750 ) N ;
@@ -8623,14 +8613,15 @@
 - FILLER_71_96 sky130_fd_sc_ls__decap_8 + PLACED ( 51840 249750 ) N ;
 - FILLER_71_104 sky130_fd_sc_ls__decap_4 + PLACED ( 55680 249750 ) N ;
 - FILLER_71_108 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 57600 249750 ) N ;
-- FILLER_71_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 249750 ) N ;
-- FILLER_71_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 249750 ) N ;
-- FILLER_71_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 249750 ) N ;
-- FILLER_71_135 sky130_fd_sc_ls__decap_8 + PLACED ( 70560 249750 ) N ;
-- FILLER_71_143 sky130_fd_sc_ls__decap_8 + PLACED ( 74400 249750 ) N ;
-- FILLER_71_151 sky130_fd_sc_ls__decap_8 + PLACED ( 78240 249750 ) N ;
-- FILLER_71_159 sky130_fd_sc_ls__decap_4 + PLACED ( 82080 249750 ) N ;
-- FILLER_71_163 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 84000 249750 ) N ;
+- FILLER_71_111 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 59040 249750 ) N ;
+- FILLER_71_118 sky130_fd_sc_ls__decap_8 + PLACED ( 62400 249750 ) N ;
+- FILLER_71_126 sky130_fd_sc_ls__decap_8 + PLACED ( 66240 249750 ) N ;
+- FILLER_71_134 sky130_fd_sc_ls__decap_8 + PLACED ( 70080 249750 ) N ;
+- FILLER_71_142 sky130_fd_sc_ls__decap_8 + PLACED ( 73920 249750 ) N ;
+- FILLER_71_150 sky130_fd_sc_ls__decap_8 + PLACED ( 77760 249750 ) N ;
+- FILLER_71_158 sky130_fd_sc_ls__decap_4 + PLACED ( 81600 249750 ) N ;
+- FILLER_71_162 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 83520 249750 ) N ;
+- FILLER_71_164 sky130_fd_sc_ls__fill_1 + PLACED ( 84480 249750 ) N ;
 - FILLER_71_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 249750 ) N ;
 - FILLER_71_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 249750 ) N ;
 - FILLER_71_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 249750 ) N ;
@@ -8666,12 +8657,11 @@
 - FILLER_71_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 249750 ) N ;
 - FILLER_71_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 249750 ) N ;
 - FILLER_71_402 sky130_fd_sc_ls__decap_8 + PLACED ( 198720 249750 ) N ;
-- FILLER_71_410 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 202560 249750 ) N ;
-- FILLER_71_417 sky130_fd_sc_ls__decap_8 + PLACED ( 205920 249750 ) N ;
-- FILLER_71_425 sky130_fd_sc_ls__decap_8 + PLACED ( 209760 249750 ) N ;
-- FILLER_71_433 sky130_fd_sc_ls__decap_4 + PLACED ( 213600 249750 ) N ;
-- FILLER_71_437 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 215520 249750 ) N ;
-- FILLER_71_439 sky130_fd_sc_ls__fill_1 + PLACED ( 216480 249750 ) N ;
+- FILLER_71_410 sky130_fd_sc_ls__decap_8 + PLACED ( 202560 249750 ) N ;
+- FILLER_71_418 sky130_fd_sc_ls__decap_8 + PLACED ( 206400 249750 ) N ;
+- FILLER_71_426 sky130_fd_sc_ls__decap_8 + PLACED ( 210240 249750 ) N ;
+- FILLER_71_434 sky130_fd_sc_ls__decap_4 + PLACED ( 214080 249750 ) N ;
+- FILLER_71_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 249750 ) N ;
 - FILLER_71_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 249750 ) N ;
 - FILLER_71_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 249750 ) N ;
 - FILLER_71_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 249750 ) N ;
@@ -8710,17 +8700,18 @@
 - FILLER_72_92 sky130_fd_sc_ls__decap_8 + PLACED ( 49920 253080 ) FS ;
 - FILLER_72_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 253080 ) FS ;
 - FILLER_72_108 sky130_fd_sc_ls__decap_8 + PLACED ( 57600 253080 ) FS ;
-- FILLER_72_116 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 61440 253080 ) FS ;
-- FILLER_72_121 sky130_fd_sc_ls__decap_8 + PLACED ( 63840 253080 ) FS ;
-- FILLER_72_129 sky130_fd_sc_ls__decap_8 + PLACED ( 67680 253080 ) FS ;
-- FILLER_72_137 sky130_fd_sc_ls__fill_1 + PLACED ( 71520 253080 ) FS ;
-- FILLER_72_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 253080 ) FS ;
-- FILLER_72_147 sky130_fd_sc_ls__decap_8 + PLACED ( 76320 253080 ) FS ;
-- FILLER_72_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 253080 ) FS ;
-- FILLER_72_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 253080 ) FS ;
-- FILLER_72_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 253080 ) FS ;
-- FILLER_72_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 253080 ) FS ;
-- FILLER_72_187 sky130_fd_sc_ls__decap_4 + PLACED ( 95520 253080 ) FS ;
+- FILLER_72_116 sky130_fd_sc_ls__decap_8 + PLACED ( 61440 253080 ) FS ;
+- FILLER_72_124 sky130_fd_sc_ls__decap_8 + PLACED ( 65280 253080 ) FS ;
+- FILLER_72_132 sky130_fd_sc_ls__decap_4 + PLACED ( 69120 253080 ) FS ;
+- FILLER_72_136 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 71040 253080 ) FS ;
+- FILLER_72_139 sky130_fd_sc_ls__decap_4 + PLACED ( 72480 253080 ) FS ;
+- FILLER_72_143 sky130_fd_sc_ls__fill_1 + PLACED ( 74400 253080 ) FS ;
+- FILLER_72_149 sky130_fd_sc_ls__decap_4 + PLACED ( 77280 253080 ) FS ;
+- FILLER_72_156 sky130_fd_sc_ls__decap_4 + PLACED ( 80640 253080 ) FS ;
+- FILLER_72_160 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 82560 253080 ) FS ;
+- FILLER_72_167 sky130_fd_sc_ls__decap_8 + PLACED ( 85920 253080 ) FS ;
+- FILLER_72_175 sky130_fd_sc_ls__decap_8 + PLACED ( 89760 253080 ) FS ;
+- FILLER_72_183 sky130_fd_sc_ls__decap_8 + PLACED ( 93600 253080 ) FS ;
 - FILLER_72_191 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 97440 253080 ) FS ;
 - FILLER_72_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 253080 ) FS ;
 - FILLER_72_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 253080 ) FS ;
@@ -8732,27 +8723,29 @@
 - FILLER_72_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 253080 ) FS ;
 - FILLER_72_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 253080 ) FS ;
 - FILLER_72_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 253080 ) FS ;
-- FILLER_72_265 sky130_fd_sc_ls__decap_8 + PLACED ( 132960 253080 ) FS ;
-- FILLER_72_273 sky130_fd_sc_ls__decap_8 + PLACED ( 136800 253080 ) FS ;
-- FILLER_72_281 sky130_fd_sc_ls__decap_8 + PLACED ( 140640 253080 ) FS ;
-- FILLER_72_289 sky130_fd_sc_ls__decap_8 + PLACED ( 144480 253080 ) FS ;
-- FILLER_72_297 sky130_fd_sc_ls__decap_4 + PLACED ( 148320 253080 ) FS ;
+- FILLER_72_265 sky130_fd_sc_ls__decap_4 + PLACED ( 132960 253080 ) FS ;
+- FILLER_72_269 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 134880 253080 ) FS ;
+- FILLER_72_271 sky130_fd_sc_ls__fill_1 + PLACED ( 135840 253080 ) FS ;
+- FILLER_72_277 sky130_fd_sc_ls__decap_8 + PLACED ( 138720 253080 ) FS ;
+- FILLER_72_285 sky130_fd_sc_ls__decap_8 + PLACED ( 142560 253080 ) FS ;
+- FILLER_72_293 sky130_fd_sc_ls__decap_8 + PLACED ( 146400 253080 ) FS ;
 - FILLER_72_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 253080 ) FS ;
 - FILLER_72_304 sky130_fd_sc_ls__decap_8 + PLACED ( 151680 253080 ) FS ;
 - FILLER_72_312 sky130_fd_sc_ls__decap_8 + PLACED ( 155520 253080 ) FS ;
-- FILLER_72_320 sky130_fd_sc_ls__fill_1 + PLACED ( 159360 253080 ) FS ;
-- FILLER_72_324 sky130_fd_sc_ls__decap_8 + PLACED ( 161280 253080 ) FS ;
-- FILLER_72_332 sky130_fd_sc_ls__decap_8 + PLACED ( 165120 253080 ) FS ;
-- FILLER_72_340 sky130_fd_sc_ls__decap_8 + PLACED ( 168960 253080 ) FS ;
-- FILLER_72_348 sky130_fd_sc_ls__decap_8 + PLACED ( 172800 253080 ) FS ;
+- FILLER_72_320 sky130_fd_sc_ls__decap_8 + PLACED ( 159360 253080 ) FS ;
+- FILLER_72_328 sky130_fd_sc_ls__decap_8 + PLACED ( 163200 253080 ) FS ;
+- FILLER_72_336 sky130_fd_sc_ls__decap_8 + PLACED ( 167040 253080 ) FS ;
+- FILLER_72_344 sky130_fd_sc_ls__decap_8 + PLACED ( 170880 253080 ) FS ;
+- FILLER_72_352 sky130_fd_sc_ls__decap_4 + PLACED ( 174720 253080 ) FS ;
 - FILLER_72_356 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176640 253080 ) FS ;
 - FILLER_72_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 253080 ) FS ;
 - FILLER_72_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 253080 ) FS ;
-- FILLER_72_375 sky130_fd_sc_ls__fill_1 + PLACED ( 185760 253080 ) FS ;
-- FILLER_72_381 sky130_fd_sc_ls__decap_8 + PLACED ( 188640 253080 ) FS ;
-- FILLER_72_389 sky130_fd_sc_ls__decap_8 + PLACED ( 192480 253080 ) FS ;
-- FILLER_72_397 sky130_fd_sc_ls__decap_8 + PLACED ( 196320 253080 ) FS ;
-- FILLER_72_405 sky130_fd_sc_ls__decap_8 + PLACED ( 200160 253080 ) FS ;
+- FILLER_72_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 253080 ) FS ;
+- FILLER_72_383 sky130_fd_sc_ls__decap_8 + PLACED ( 189600 253080 ) FS ;
+- FILLER_72_391 sky130_fd_sc_ls__decap_8 + PLACED ( 193440 253080 ) FS ;
+- FILLER_72_399 sky130_fd_sc_ls__decap_8 + PLACED ( 197280 253080 ) FS ;
+- FILLER_72_407 sky130_fd_sc_ls__decap_4 + PLACED ( 201120 253080 ) FS ;
+- FILLER_72_411 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 203040 253080 ) FS ;
 - FILLER_72_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 253080 ) FS ;
 - FILLER_72_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 253080 ) FS ;
 - FILLER_72_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 253080 ) FS ;
@@ -8777,17 +8770,16 @@
 - FILLER_72_564 sky130_fd_sc_ls__decap_8 + PLACED ( 276480 253080 ) FS ;
 - FILLER_72_572 sky130_fd_sc_ls__decap_4 + PLACED ( 280320 253080 ) FS ;
 - FILLER_72_576 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 282240 253080 ) FS ;
-- FILLER_72_579 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 283680 253080 ) FS ;
-- FILLER_72_586 sky130_fd_sc_ls__decap_8 + PLACED ( 287040 253080 ) FS ;
-- FILLER_72_594 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 290880 253080 ) FS ;
-- FILLER_72_596 sky130_fd_sc_ls__fill_1 + PLACED ( 291840 253080 ) FS ;
+- FILLER_72_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 253080 ) FS ;
+- FILLER_72_587 sky130_fd_sc_ls__decap_8 + PLACED ( 287520 253080 ) FS ;
+- FILLER_72_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 253080 ) FS ;
 - FILLER_73_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 256410 ) N ;
-- FILLER_73_12 sky130_fd_sc_ls__fill_1 + PLACED ( 11520 256410 ) N ;
-- FILLER_73_18 sky130_fd_sc_ls__decap_8 + PLACED ( 14400 256410 ) N ;
-- FILLER_73_26 sky130_fd_sc_ls__decap_8 + PLACED ( 18240 256410 ) N ;
-- FILLER_73_34 sky130_fd_sc_ls__decap_8 + PLACED ( 22080 256410 ) N ;
-- FILLER_73_42 sky130_fd_sc_ls__decap_8 + PLACED ( 25920 256410 ) N ;
-- FILLER_73_50 sky130_fd_sc_ls__decap_4 + PLACED ( 29760 256410 ) N ;
+- FILLER_73_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 256410 ) N ;
+- FILLER_73_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 256410 ) N ;
+- FILLER_73_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 256410 ) N ;
+- FILLER_73_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 256410 ) N ;
+- FILLER_73_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 256410 ) N ;
+- FILLER_73_52 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 30720 256410 ) N ;
 - FILLER_73_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 256410 ) N ;
 - FILLER_73_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 256410 ) N ;
 - FILLER_73_64 sky130_fd_sc_ls__decap_8 + PLACED ( 36480 256410 ) N ;
@@ -8821,14 +8813,15 @@
 - FILLER_73_261 sky130_fd_sc_ls__decap_8 + PLACED ( 131040 256410 ) N ;
 - FILLER_73_269 sky130_fd_sc_ls__decap_4 + PLACED ( 134880 256410 ) N ;
 - FILLER_73_273 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136800 256410 ) N ;
-- FILLER_73_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 256410 ) N ;
-- FILLER_73_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 256410 ) N ;
-- FILLER_73_292 sky130_fd_sc_ls__decap_8 + PLACED ( 145920 256410 ) N ;
-- FILLER_73_300 sky130_fd_sc_ls__decap_8 + PLACED ( 149760 256410 ) N ;
-- FILLER_73_308 sky130_fd_sc_ls__decap_8 + PLACED ( 153600 256410 ) N ;
-- FILLER_73_316 sky130_fd_sc_ls__decap_8 + PLACED ( 157440 256410 ) N ;
-- FILLER_73_324 sky130_fd_sc_ls__decap_4 + PLACED ( 161280 256410 ) N ;
-- FILLER_73_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 256410 ) N ;
+- FILLER_73_276 sky130_fd_sc_ls__decap_4 + PLACED ( 138240 256410 ) N ;
+- FILLER_73_283 sky130_fd_sc_ls__decap_8 + PLACED ( 141600 256410 ) N ;
+- FILLER_73_291 sky130_fd_sc_ls__decap_8 + PLACED ( 145440 256410 ) N ;
+- FILLER_73_299 sky130_fd_sc_ls__decap_8 + PLACED ( 149280 256410 ) N ;
+- FILLER_73_307 sky130_fd_sc_ls__decap_8 + PLACED ( 153120 256410 ) N ;
+- FILLER_73_315 sky130_fd_sc_ls__decap_8 + PLACED ( 156960 256410 ) N ;
+- FILLER_73_323 sky130_fd_sc_ls__decap_4 + PLACED ( 160800 256410 ) N ;
+- FILLER_73_327 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 162720 256410 ) N ;
+- FILLER_73_329 sky130_fd_sc_ls__fill_1 + PLACED ( 163680 256410 ) N ;
 - FILLER_73_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 256410 ) N ;
 - FILLER_73_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 256410 ) N ;
 - FILLER_73_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 256410 ) N ;
@@ -8845,15 +8838,14 @@
 - FILLER_73_426 sky130_fd_sc_ls__decap_8 + PLACED ( 210240 256410 ) N ;
 - FILLER_73_434 sky130_fd_sc_ls__decap_4 + PLACED ( 214080 256410 ) N ;
 - FILLER_73_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 256410 ) N ;
-- FILLER_73_441 sky130_fd_sc_ls__decap_4 + PLACED ( 217440 256410 ) N ;
-- FILLER_73_448 sky130_fd_sc_ls__decap_8 + PLACED ( 220800 256410 ) N ;
-- FILLER_73_456 sky130_fd_sc_ls__decap_8 + PLACED ( 224640 256410 ) N ;
-- FILLER_73_464 sky130_fd_sc_ls__decap_8 + PLACED ( 228480 256410 ) N ;
-- FILLER_73_472 sky130_fd_sc_ls__decap_8 + PLACED ( 232320 256410 ) N ;
-- FILLER_73_480 sky130_fd_sc_ls__decap_8 + PLACED ( 236160 256410 ) N ;
-- FILLER_73_488 sky130_fd_sc_ls__decap_4 + PLACED ( 240000 256410 ) N ;
-- FILLER_73_492 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 241920 256410 ) N ;
-- FILLER_73_494 sky130_fd_sc_ls__fill_1 + PLACED ( 242880 256410 ) N ;
+- FILLER_73_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 256410 ) N ;
+- FILLER_73_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 256410 ) N ;
+- FILLER_73_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 256410 ) N ;
+- FILLER_73_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 256410 ) N ;
+- FILLER_73_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 256410 ) N ;
+- FILLER_73_481 sky130_fd_sc_ls__decap_8 + PLACED ( 236640 256410 ) N ;
+- FILLER_73_489 sky130_fd_sc_ls__decap_4 + PLACED ( 240480 256410 ) N ;
+- FILLER_73_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 256410 ) N ;
 - FILLER_73_496 sky130_fd_sc_ls__decap_8 + PLACED ( 243840 256410 ) N ;
 - FILLER_73_504 sky130_fd_sc_ls__decap_8 + PLACED ( 247680 256410 ) N ;
 - FILLER_73_512 sky130_fd_sc_ls__decap_8 + PLACED ( 251520 256410 ) N ;
@@ -8870,8 +8862,9 @@
 - FILLER_73_591 sky130_fd_sc_ls__decap_4 + PLACED ( 289440 256410 ) N ;
 - FILLER_73_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 256410 ) N ;
 - FILLER_74_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 259740 ) FS ;
-- FILLER_74_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 259740 ) FS ;
-- FILLER_74_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 259740 ) FS ;
+- FILLER_74_12 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 11520 259740 ) FS ;
+- FILLER_74_19 sky130_fd_sc_ls__decap_8 + PLACED ( 14880 259740 ) FS ;
+- FILLER_74_27 sky130_fd_sc_ls__fill_1 + PLACED ( 18720 259740 ) FS ;
 - FILLER_74_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 259740 ) FS ;
 - FILLER_74_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 259740 ) FS ;
 - FILLER_74_45 sky130_fd_sc_ls__decap_8 + PLACED ( 27360 259740 ) FS ;
@@ -8955,22 +8948,22 @@
 - FILLER_74_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 259740 ) FS ;
 - FILLER_74_587 sky130_fd_sc_ls__decap_8 + PLACED ( 287520 259740 ) FS ;
 - FILLER_74_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 259740 ) FS ;
-- FILLER_75_4 sky130_fd_sc_ls__fill_1 + PLACED ( 7680 263070 ) N ;
-- FILLER_75_10 sky130_fd_sc_ls__decap_8 + PLACED ( 10560 263070 ) N ;
-- FILLER_75_18 sky130_fd_sc_ls__decap_8 + PLACED ( 14400 263070 ) N ;
-- FILLER_75_26 sky130_fd_sc_ls__decap_8 + PLACED ( 18240 263070 ) N ;
-- FILLER_75_34 sky130_fd_sc_ls__decap_8 + PLACED ( 22080 263070 ) N ;
-- FILLER_75_42 sky130_fd_sc_ls__decap_8 + PLACED ( 25920 263070 ) N ;
-- FILLER_75_50 sky130_fd_sc_ls__decap_4 + PLACED ( 29760 263070 ) N ;
+- FILLER_75_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 263070 ) N ;
+- FILLER_75_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 263070 ) N ;
+- FILLER_75_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 263070 ) N ;
+- FILLER_75_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 263070 ) N ;
+- FILLER_75_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 263070 ) N ;
+- FILLER_75_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 263070 ) N ;
+- FILLER_75_52 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 30720 263070 ) N ;
 - FILLER_75_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 263070 ) N ;
-- FILLER_75_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 263070 ) N ;
-- FILLER_75_64 sky130_fd_sc_ls__decap_8 + PLACED ( 36480 263070 ) N ;
-- FILLER_75_72 sky130_fd_sc_ls__decap_8 + PLACED ( 40320 263070 ) N ;
-- FILLER_75_80 sky130_fd_sc_ls__decap_8 + PLACED ( 44160 263070 ) N ;
-- FILLER_75_88 sky130_fd_sc_ls__decap_8 + PLACED ( 48000 263070 ) N ;
-- FILLER_75_96 sky130_fd_sc_ls__decap_8 + PLACED ( 51840 263070 ) N ;
-- FILLER_75_104 sky130_fd_sc_ls__decap_4 + PLACED ( 55680 263070 ) N ;
-- FILLER_75_108 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 57600 263070 ) N ;
+- FILLER_75_56 sky130_fd_sc_ls__decap_4 + PLACED ( 32640 263070 ) N ;
+- FILLER_75_60 sky130_fd_sc_ls__fill_1 + PLACED ( 34560 263070 ) N ;
+- FILLER_75_66 sky130_fd_sc_ls__decap_8 + PLACED ( 37440 263070 ) N ;
+- FILLER_75_74 sky130_fd_sc_ls__decap_8 + PLACED ( 41280 263070 ) N ;
+- FILLER_75_82 sky130_fd_sc_ls__decap_8 + PLACED ( 45120 263070 ) N ;
+- FILLER_75_90 sky130_fd_sc_ls__decap_8 + PLACED ( 48960 263070 ) N ;
+- FILLER_75_98 sky130_fd_sc_ls__decap_8 + PLACED ( 52800 263070 ) N ;
+- FILLER_75_106 sky130_fd_sc_ls__decap_4 + PLACED ( 56640 263070 ) N ;
 - FILLER_75_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 263070 ) N ;
 - FILLER_75_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 263070 ) N ;
 - FILLER_75_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 263070 ) N ;
@@ -8998,11 +8991,11 @@
 - FILLER_75_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 263070 ) N ;
 - FILLER_75_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 263070 ) N ;
 - FILLER_75_292 sky130_fd_sc_ls__decap_8 + PLACED ( 145920 263070 ) N ;
-- FILLER_75_303 sky130_fd_sc_ls__decap_8 + PLACED ( 151200 263070 ) N ;
-- FILLER_75_311 sky130_fd_sc_ls__decap_8 + PLACED ( 155040 263070 ) N ;
-- FILLER_75_319 sky130_fd_sc_ls__decap_8 + PLACED ( 158880 263070 ) N ;
-- FILLER_75_327 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 162720 263070 ) N ;
-- FILLER_75_329 sky130_fd_sc_ls__fill_1 + PLACED ( 163680 263070 ) N ;
+- FILLER_75_300 sky130_fd_sc_ls__decap_8 + PLACED ( 149760 263070 ) N ;
+- FILLER_75_308 sky130_fd_sc_ls__decap_8 + PLACED ( 153600 263070 ) N ;
+- FILLER_75_316 sky130_fd_sc_ls__decap_8 + PLACED ( 157440 263070 ) N ;
+- FILLER_75_324 sky130_fd_sc_ls__decap_4 + PLACED ( 161280 263070 ) N ;
+- FILLER_75_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 263070 ) N ;
 - FILLER_75_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 263070 ) N ;
 - FILLER_75_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 263070 ) N ;
 - FILLER_75_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 263070 ) N ;
@@ -9030,11 +9023,11 @@
 - FILLER_75_496 sky130_fd_sc_ls__decap_8 + PLACED ( 243840 263070 ) N ;
 - FILLER_75_504 sky130_fd_sc_ls__decap_8 + PLACED ( 247680 263070 ) N ;
 - FILLER_75_512 sky130_fd_sc_ls__decap_8 + PLACED ( 251520 263070 ) N ;
-- FILLER_75_520 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255360 263070 ) N ;
-- FILLER_75_525 sky130_fd_sc_ls__decap_8 + PLACED ( 257760 263070 ) N ;
-- FILLER_75_533 sky130_fd_sc_ls__decap_8 + PLACED ( 261600 263070 ) N ;
-- FILLER_75_541 sky130_fd_sc_ls__decap_8 + PLACED ( 265440 263070 ) N ;
-- FILLER_75_549 sky130_fd_sc_ls__fill_1 + PLACED ( 269280 263070 ) N ;
+- FILLER_75_520 sky130_fd_sc_ls__decap_8 + PLACED ( 255360 263070 ) N ;
+- FILLER_75_528 sky130_fd_sc_ls__decap_8 + PLACED ( 259200 263070 ) N ;
+- FILLER_75_536 sky130_fd_sc_ls__decap_8 + PLACED ( 263040 263070 ) N ;
+- FILLER_75_544 sky130_fd_sc_ls__decap_4 + PLACED ( 266880 263070 ) N ;
+- FILLER_75_548 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268800 263070 ) N ;
 - FILLER_75_551 sky130_fd_sc_ls__decap_8 + PLACED ( 270240 263070 ) N ;
 - FILLER_75_559 sky130_fd_sc_ls__decap_8 + PLACED ( 274080 263070 ) N ;
 - FILLER_75_567 sky130_fd_sc_ls__decap_8 + PLACED ( 277920 263070 ) N ;
@@ -9079,12 +9072,15 @@
 - FILLER_76_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 266400 ) FS ;
 - FILLER_76_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 266400 ) FS ;
 - FILLER_76_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 266400 ) FS ;
-- FILLER_76_265 sky130_fd_sc_ls__decap_8 + PLACED ( 132960 266400 ) FS ;
-- FILLER_76_273 sky130_fd_sc_ls__decap_8 + PLACED ( 136800 266400 ) FS ;
-- FILLER_76_281 sky130_fd_sc_ls__decap_8 + PLACED ( 140640 266400 ) FS ;
-- FILLER_76_289 sky130_fd_sc_ls__decap_8 + PLACED ( 144480 266400 ) FS ;
-- FILLER_76_297 sky130_fd_sc_ls__decap_4 + PLACED ( 148320 266400 ) FS ;
-- FILLER_76_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 266400 ) FS ;
+- FILLER_76_265 sky130_fd_sc_ls__decap_4 + PLACED ( 132960 266400 ) FS ;
+- FILLER_76_269 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 134880 266400 ) FS ;
+- FILLER_76_271 sky130_fd_sc_ls__fill_1 + PLACED ( 135840 266400 ) FS ;
+- FILLER_76_277 sky130_fd_sc_ls__decap_4 + PLACED ( 138720 266400 ) FS ;
+- FILLER_76_281 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 140640 266400 ) FS ;
+- FILLER_76_288 sky130_fd_sc_ls__decap_8 + PLACED ( 144000 266400 ) FS ;
+- FILLER_76_296 sky130_fd_sc_ls__decap_4 + PLACED ( 147840 266400 ) FS ;
+- FILLER_76_300 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 149760 266400 ) FS ;
+- FILLER_76_302 sky130_fd_sc_ls__fill_1 + PLACED ( 150720 266400 ) FS ;
 - FILLER_76_304 sky130_fd_sc_ls__decap_8 + PLACED ( 151680 266400 ) FS ;
 - FILLER_76_312 sky130_fd_sc_ls__decap_8 + PLACED ( 155520 266400 ) FS ;
 - FILLER_76_320 sky130_fd_sc_ls__decap_8 + PLACED ( 159360 266400 ) FS ;
@@ -9126,7 +9122,7 @@
 - FILLER_76_572 sky130_fd_sc_ls__decap_4 + PLACED ( 280320 266400 ) FS ;
 - FILLER_76_576 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 282240 266400 ) FS ;
 - FILLER_76_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 266400 ) FS ;
-- FILLER_76_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 266400 ) FS ;
+- FILLER_76_593 sky130_fd_sc_ls__decap_4 + PLACED ( 290400 266400 ) FS ;
 - FILLER_77_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 269730 ) N ;
 - FILLER_77_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 269730 ) N ;
 - FILLER_77_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 269730 ) N ;
@@ -9177,12 +9173,11 @@
 - FILLER_77_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 269730 ) N ;
 - FILLER_77_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 269730 ) N ;
 - FILLER_77_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 269730 ) N ;
-- FILLER_77_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 269730 ) N ;
-- FILLER_77_355 sky130_fd_sc_ls__decap_8 + PLACED ( 176160 269730 ) N ;
-- FILLER_77_363 sky130_fd_sc_ls__decap_8 + PLACED ( 180000 269730 ) N ;
-- FILLER_77_371 sky130_fd_sc_ls__decap_8 + PLACED ( 183840 269730 ) N ;
-- FILLER_77_379 sky130_fd_sc_ls__decap_4 + PLACED ( 187680 269730 ) N ;
-- FILLER_77_383 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189600 269730 ) N ;
+- FILLER_77_352 sky130_fd_sc_ls__decap_8 + PLACED ( 174720 269730 ) N ;
+- FILLER_77_360 sky130_fd_sc_ls__decap_8 + PLACED ( 178560 269730 ) N ;
+- FILLER_77_368 sky130_fd_sc_ls__decap_8 + PLACED ( 182400 269730 ) N ;
+- FILLER_77_376 sky130_fd_sc_ls__decap_8 + PLACED ( 186240 269730 ) N ;
+- FILLER_77_384 sky130_fd_sc_ls__fill_1 + PLACED ( 190080 269730 ) N ;
 - FILLER_77_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 269730 ) N ;
 - FILLER_77_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 269730 ) N ;
 - FILLER_77_402 sky130_fd_sc_ls__decap_8 + PLACED ( 198720 269730 ) N ;
@@ -9208,21 +9203,24 @@
 - FILLER_77_544 sky130_fd_sc_ls__decap_4 + PLACED ( 266880 269730 ) N ;
 - FILLER_77_548 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268800 269730 ) N ;
 - FILLER_77_551 sky130_fd_sc_ls__decap_8 + PLACED ( 270240 269730 ) N ;
-- FILLER_77_559 sky130_fd_sc_ls__decap_8 + PLACED ( 274080 269730 ) N ;
-- FILLER_77_567 sky130_fd_sc_ls__decap_8 + PLACED ( 277920 269730 ) N ;
-- FILLER_77_575 sky130_fd_sc_ls__decap_8 + PLACED ( 281760 269730 ) N ;
-- FILLER_77_583 sky130_fd_sc_ls__decap_4 + PLACED ( 285600 269730 ) N ;
-- FILLER_77_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 269730 ) N ;
+- FILLER_77_559 sky130_fd_sc_ls__decap_4 + PLACED ( 274080 269730 ) N ;
+- FILLER_77_563 sky130_fd_sc_ls__fill_1 + PLACED ( 276000 269730 ) N ;
+- FILLER_77_569 sky130_fd_sc_ls__decap_8 + PLACED ( 278880 269730 ) N ;
+- FILLER_77_577 sky130_fd_sc_ls__decap_8 + PLACED ( 282720 269730 ) N ;
+- FILLER_77_585 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 286560 269730 ) N ;
+- FILLER_77_593 sky130_fd_sc_ls__decap_4 + PLACED ( 290400 269730 ) N ;
 - FILLER_78_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 273060 ) FS ;
 - FILLER_78_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 273060 ) FS ;
 - FILLER_78_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 273060 ) FS ;
 - FILLER_78_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 273060 ) FS ;
 - FILLER_78_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 273060 ) FS ;
 - FILLER_78_45 sky130_fd_sc_ls__decap_8 + PLACED ( 27360 273060 ) FS ;
-- FILLER_78_53 sky130_fd_sc_ls__decap_8 + PLACED ( 31200 273060 ) FS ;
-- FILLER_78_61 sky130_fd_sc_ls__fill_1 + PLACED ( 35040 273060 ) FS ;
-- FILLER_78_67 sky130_fd_sc_ls__decap_8 + PLACED ( 37920 273060 ) FS ;
-- FILLER_78_75 sky130_fd_sc_ls__decap_8 + PLACED ( 41760 273060 ) FS ;
+- FILLER_78_53 sky130_fd_sc_ls__decap_4 + PLACED ( 31200 273060 ) FS ;
+- FILLER_78_57 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 33120 273060 ) FS ;
+- FILLER_78_62 sky130_fd_sc_ls__decap_8 + PLACED ( 35520 273060 ) FS ;
+- FILLER_78_70 sky130_fd_sc_ls__decap_8 + PLACED ( 39360 273060 ) FS ;
+- FILLER_78_78 sky130_fd_sc_ls__decap_4 + PLACED ( 43200 273060 ) FS ;
+- FILLER_78_82 sky130_fd_sc_ls__fill_1 + PLACED ( 45120 273060 ) FS ;
 - FILLER_78_84 sky130_fd_sc_ls__decap_8 + PLACED ( 46080 273060 ) FS ;
 - FILLER_78_92 sky130_fd_sc_ls__decap_8 + PLACED ( 49920 273060 ) FS ;
 - FILLER_78_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 273060 ) FS ;
@@ -9239,33 +9237,30 @@
 - FILLER_78_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 273060 ) FS ;
 - FILLER_78_187 sky130_fd_sc_ls__decap_4 + PLACED ( 95520 273060 ) FS ;
 - FILLER_78_191 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 97440 273060 ) FS ;
-- FILLER_78_194 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 98880 273060 ) FS ;
-- FILLER_78_196 sky130_fd_sc_ls__fill_1 + PLACED ( 99840 273060 ) FS ;
-- FILLER_78_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 273060 ) FS ;
-- FILLER_78_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 273060 ) FS ;
-- FILLER_78_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 273060 ) FS ;
-- FILLER_78_220 sky130_fd_sc_ls__fill_1 + PLACED ( 111360 273060 ) FS ;
-- FILLER_78_226 sky130_fd_sc_ls__decap_8 + PLACED ( 114240 273060 ) FS ;
-- FILLER_78_234 sky130_fd_sc_ls__decap_8 + PLACED ( 118080 273060 ) FS ;
-- FILLER_78_242 sky130_fd_sc_ls__decap_4 + PLACED ( 121920 273060 ) FS ;
-- FILLER_78_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 273060 ) FS ;
-- FILLER_78_249 sky130_fd_sc_ls__decap_4 + PLACED ( 125280 273060 ) FS ;
-- FILLER_78_256 sky130_fd_sc_ls__decap_8 + PLACED ( 128640 273060 ) FS ;
-- FILLER_78_264 sky130_fd_sc_ls__decap_8 + PLACED ( 132480 273060 ) FS ;
-- FILLER_78_272 sky130_fd_sc_ls__decap_8 + PLACED ( 136320 273060 ) FS ;
-- FILLER_78_280 sky130_fd_sc_ls__decap_8 + PLACED ( 140160 273060 ) FS ;
-- FILLER_78_288 sky130_fd_sc_ls__decap_8 + PLACED ( 144000 273060 ) FS ;
-- FILLER_78_296 sky130_fd_sc_ls__decap_4 + PLACED ( 147840 273060 ) FS ;
-- FILLER_78_300 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 149760 273060 ) FS ;
-- FILLER_78_302 sky130_fd_sc_ls__fill_1 + PLACED ( 150720 273060 ) FS ;
+- FILLER_78_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 273060 ) FS ;
+- FILLER_78_202 sky130_fd_sc_ls__decap_4 + PLACED ( 102720 273060 ) FS ;
+- FILLER_78_209 sky130_fd_sc_ls__decap_8 + PLACED ( 106080 273060 ) FS ;
+- FILLER_78_217 sky130_fd_sc_ls__decap_8 + PLACED ( 109920 273060 ) FS ;
+- FILLER_78_225 sky130_fd_sc_ls__decap_8 + PLACED ( 113760 273060 ) FS ;
+- FILLER_78_233 sky130_fd_sc_ls__decap_4 + PLACED ( 117600 273060 ) FS ;
+- FILLER_78_237 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 119520 273060 ) FS ;
+- FILLER_78_244 sky130_fd_sc_ls__decap_4 + PLACED ( 122880 273060 ) FS ;
+- FILLER_78_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 273060 ) FS ;
+- FILLER_78_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 273060 ) FS ;
+- FILLER_78_265 sky130_fd_sc_ls__decap_8 + PLACED ( 132960 273060 ) FS ;
+- FILLER_78_273 sky130_fd_sc_ls__decap_8 + PLACED ( 136800 273060 ) FS ;
+- FILLER_78_281 sky130_fd_sc_ls__decap_8 + PLACED ( 140640 273060 ) FS ;
+- FILLER_78_289 sky130_fd_sc_ls__decap_8 + PLACED ( 144480 273060 ) FS ;
+- FILLER_78_297 sky130_fd_sc_ls__decap_4 + PLACED ( 148320 273060 ) FS ;
+- FILLER_78_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 273060 ) FS ;
 - FILLER_78_304 sky130_fd_sc_ls__decap_8 + PLACED ( 151680 273060 ) FS ;
-- FILLER_78_312 sky130_fd_sc_ls__decap_8 + PLACED ( 155520 273060 ) FS ;
-- FILLER_78_320 sky130_fd_sc_ls__decap_8 + PLACED ( 159360 273060 ) FS ;
-- FILLER_78_328 sky130_fd_sc_ls__decap_8 + PLACED ( 163200 273060 ) FS ;
-- FILLER_78_336 sky130_fd_sc_ls__decap_8 + PLACED ( 167040 273060 ) FS ;
-- FILLER_78_344 sky130_fd_sc_ls__decap_8 + PLACED ( 170880 273060 ) FS ;
-- FILLER_78_352 sky130_fd_sc_ls__decap_4 + PLACED ( 174720 273060 ) FS ;
-- FILLER_78_356 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176640 273060 ) FS ;
+- FILLER_78_312 sky130_fd_sc_ls__decap_4 + PLACED ( 155520 273060 ) FS ;
+- FILLER_78_316 sky130_fd_sc_ls__fill_1 + PLACED ( 157440 273060 ) FS ;
+- FILLER_78_322 sky130_fd_sc_ls__decap_8 + PLACED ( 160320 273060 ) FS ;
+- FILLER_78_330 sky130_fd_sc_ls__decap_8 + PLACED ( 164160 273060 ) FS ;
+- FILLER_78_338 sky130_fd_sc_ls__decap_8 + PLACED ( 168000 273060 ) FS ;
+- FILLER_78_346 sky130_fd_sc_ls__decap_8 + PLACED ( 171840 273060 ) FS ;
+- FILLER_78_354 sky130_fd_sc_ls__decap_4 + PLACED ( 175680 273060 ) FS ;
 - FILLER_78_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 273060 ) FS ;
 - FILLER_78_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 273060 ) FS ;
 - FILLER_78_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 273060 ) FS ;
@@ -9275,8 +9270,7 @@
 - FILLER_78_407 sky130_fd_sc_ls__decap_4 + PLACED ( 201120 273060 ) FS ;
 - FILLER_78_411 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 203040 273060 ) FS ;
 - FILLER_78_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 273060 ) FS ;
-- FILLER_78_422 sky130_fd_sc_ls__decap_4 + PLACED ( 208320 273060 ) FS ;
-- FILLER_78_426 sky130_fd_sc_ls__fill_1 + PLACED ( 210240 273060 ) FS ;
+- FILLER_78_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 273060 ) FS ;
 - FILLER_78_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 273060 ) FS ;
 - FILLER_78_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 273060 ) FS ;
 - FILLER_78_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 273060 ) FS ;
@@ -9300,13 +9294,16 @@
 - FILLER_78_572 sky130_fd_sc_ls__decap_4 + PLACED ( 280320 273060 ) FS ;
 - FILLER_78_576 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 282240 273060 ) FS ;
 - FILLER_78_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 273060 ) FS ;
-- FILLER_78_593 sky130_fd_sc_ls__decap_4 + PLACED ( 290400 273060 ) FS ;
-- FILLER_79_10 sky130_fd_sc_ls__decap_8 + PLACED ( 10560 276390 ) N ;
-- FILLER_79_18 sky130_fd_sc_ls__decap_8 + PLACED ( 14400 276390 ) N ;
-- FILLER_79_26 sky130_fd_sc_ls__decap_4 + PLACED ( 18240 276390 ) N ;
+- FILLER_78_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 273060 ) FS ;
+- FILLER_79_8 sky130_fd_sc_ls__decap_8 + PLACED ( 9600 276390 ) N ;
+- FILLER_79_16 sky130_fd_sc_ls__decap_8 + PLACED ( 13440 276390 ) N ;
+- FILLER_79_24 sky130_fd_sc_ls__decap_4 + PLACED ( 17280 276390 ) N ;
+- FILLER_79_28 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 19200 276390 ) N ;
 - FILLER_79_30 sky130_fd_sc_ls__fill_1 + PLACED ( 20160 276390 ) N ;
-- FILLER_79_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 276390 ) N ;
-- FILLER_79_45 sky130_fd_sc_ls__decap_8 + PLACED ( 27360 276390 ) N ;
+- FILLER_79_37 sky130_fd_sc_ls__decap_4 + PLACED ( 23520 276390 ) N ;
+- FILLER_79_41 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 25440 276390 ) N ;
+- FILLER_79_43 sky130_fd_sc_ls__fill_1 + PLACED ( 26400 276390 ) N ;
+- FILLER_79_49 sky130_fd_sc_ls__decap_4 + PLACED ( 29280 276390 ) N ;
 - FILLER_79_53 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 31200 276390 ) N ;
 - FILLER_79_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 276390 ) N ;
 - FILLER_79_70 sky130_fd_sc_ls__decap_8 + PLACED ( 39360 276390 ) N ;
@@ -9318,24 +9315,22 @@
 - FILLER_79_107 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 57120 276390 ) N ;
 - FILLER_79_109 sky130_fd_sc_ls__fill_1 + PLACED ( 58080 276390 ) N ;
 - FILLER_79_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 276390 ) N ;
-- FILLER_79_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 276390 ) N ;
-- FILLER_79_127 sky130_fd_sc_ls__decap_4 + PLACED ( 66720 276390 ) N ;
-- FILLER_79_131 sky130_fd_sc_ls__fill_1 + PLACED ( 68640 276390 ) N ;
+- FILLER_79_119 sky130_fd_sc_ls__decap_4 + PLACED ( 62880 276390 ) N ;
+- FILLER_79_123 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 64800 276390 ) N ;
+- FILLER_79_128 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 67200 276390 ) N ;
 - FILLER_79_136 sky130_fd_sc_ls__decap_8 + PLACED ( 71040 276390 ) N ;
 - FILLER_79_144 sky130_fd_sc_ls__decap_8 + PLACED ( 74880 276390 ) N ;
 - FILLER_79_152 sky130_fd_sc_ls__decap_8 + PLACED ( 78720 276390 ) N ;
 - FILLER_79_160 sky130_fd_sc_ls__decap_4 + PLACED ( 82560 276390 ) N ;
 - FILLER_79_164 sky130_fd_sc_ls__fill_1 + PLACED ( 84480 276390 ) N ;
 - FILLER_79_166 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 85440 276390 ) N ;
-- FILLER_79_168 sky130_fd_sc_ls__fill_1 + PLACED ( 86400 276390 ) N ;
-- FILLER_79_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 276390 ) N ;
-- FILLER_79_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 276390 ) N ;
-- FILLER_79_190 sky130_fd_sc_ls__decap_4 + PLACED ( 96960 276390 ) N ;
-- FILLER_79_194 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 98880 276390 ) N ;
-- FILLER_79_202 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 102720 276390 ) N ;
-- FILLER_79_209 sky130_fd_sc_ls__decap_8 + PLACED ( 106080 276390 ) N ;
-- FILLER_79_217 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 109920 276390 ) N ;
-- FILLER_79_219 sky130_fd_sc_ls__fill_1 + PLACED ( 110880 276390 ) N ;
+- FILLER_79_173 sky130_fd_sc_ls__decap_8 + PLACED ( 88800 276390 ) N ;
+- FILLER_79_181 sky130_fd_sc_ls__decap_8 + PLACED ( 92640 276390 ) N ;
+- FILLER_79_189 sky130_fd_sc_ls__decap_8 + PLACED ( 96480 276390 ) N ;
+- FILLER_79_197 sky130_fd_sc_ls__fill_1 + PLACED ( 100320 276390 ) N ;
+- FILLER_79_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 276390 ) N ;
+- FILLER_79_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 276390 ) N ;
+- FILLER_79_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 276390 ) N ;
 - FILLER_79_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 276390 ) N ;
 - FILLER_79_235 sky130_fd_sc_ls__decap_8 + PLACED ( 118560 276390 ) N ;
 - FILLER_79_243 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 122400 276390 ) N ;
@@ -9353,25 +9348,26 @@
 - FILLER_79_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 276390 ) N ;
 - FILLER_79_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 276390 ) N ;
 - FILLER_79_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 276390 ) N ;
-- FILLER_79_355 sky130_fd_sc_ls__decap_8 + PLACED ( 176160 276390 ) N ;
-- FILLER_79_363 sky130_fd_sc_ls__decap_8 + PLACED ( 180000 276390 ) N ;
-- FILLER_79_371 sky130_fd_sc_ls__decap_8 + PLACED ( 183840 276390 ) N ;
-- FILLER_79_379 sky130_fd_sc_ls__decap_4 + PLACED ( 187680 276390 ) N ;
+- FILLER_79_355 sky130_fd_sc_ls__decap_4 + PLACED ( 176160 276390 ) N ;
+- FILLER_79_359 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 178080 276390 ) N ;
+- FILLER_79_361 sky130_fd_sc_ls__fill_1 + PLACED ( 179040 276390 ) N ;
+- FILLER_79_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 276390 ) N ;
+- FILLER_79_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 276390 ) N ;
 - FILLER_79_383 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189600 276390 ) N ;
 - FILLER_79_386 sky130_fd_sc_ls__decap_4 + PLACED ( 191040 276390 ) N ;
 - FILLER_79_390 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 192960 276390 ) N ;
 - FILLER_79_392 sky130_fd_sc_ls__fill_1 + PLACED ( 193920 276390 ) N ;
-- FILLER_79_399 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 197280 276390 ) N ;
-- FILLER_79_406 sky130_fd_sc_ls__decap_4 + PLACED ( 200640 276390 ) N ;
-- FILLER_79_410 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 202560 276390 ) N ;
-- FILLER_79_416 sky130_fd_sc_ls__decap_8 + PLACED ( 205440 276390 ) N ;
-- FILLER_79_424 sky130_fd_sc_ls__decap_8 + PLACED ( 209280 276390 ) N ;
-- FILLER_79_432 sky130_fd_sc_ls__decap_8 + PLACED ( 213120 276390 ) N ;
+- FILLER_79_399 sky130_fd_sc_ls__decap_8 + PLACED ( 197280 276390 ) N ;
+- FILLER_79_407 sky130_fd_sc_ls__decap_4 + PLACED ( 201120 276390 ) N ;
+- FILLER_79_411 sky130_fd_sc_ls__fill_1 + PLACED ( 203040 276390 ) N ;
+- FILLER_79_416 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 205440 276390 ) N ;
+- FILLER_79_423 sky130_fd_sc_ls__decap_8 + PLACED ( 208800 276390 ) N ;
+- FILLER_79_431 sky130_fd_sc_ls__decap_8 + PLACED ( 212640 276390 ) N ;
+- FILLER_79_439 sky130_fd_sc_ls__fill_1 + PLACED ( 216480 276390 ) N ;
 - FILLER_79_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 276390 ) N ;
 - FILLER_79_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 276390 ) N ;
 - FILLER_79_457 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 225120 276390 ) N ;
-- FILLER_79_467 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 229920 276390 ) N ;
-- FILLER_79_469 sky130_fd_sc_ls__fill_1 + PLACED ( 230880 276390 ) N ;
+- FILLER_79_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 276390 ) N ;
 - FILLER_79_473 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 232800 276390 ) N ;
 - FILLER_79_481 sky130_fd_sc_ls__decap_8 + PLACED ( 236640 276390 ) N ;
 - FILLER_79_489 sky130_fd_sc_ls__decap_4 + PLACED ( 240480 276390 ) N ;
@@ -9380,22 +9376,17 @@
 - FILLER_79_504 sky130_fd_sc_ls__decap_8 + PLACED ( 247680 276390 ) N ;
 - FILLER_79_512 sky130_fd_sc_ls__decap_8 + PLACED ( 251520 276390 ) N ;
 - FILLER_79_520 sky130_fd_sc_ls__decap_4 + PLACED ( 255360 276390 ) N ;
-- FILLER_79_524 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 257280 276390 ) N ;
-- FILLER_79_526 sky130_fd_sc_ls__fill_1 + PLACED ( 258240 276390 ) N ;
-- FILLER_79_531 sky130_fd_sc_ls__decap_8 + PLACED ( 260640 276390 ) N ;
-- FILLER_79_539 sky130_fd_sc_ls__decap_8 + PLACED ( 264480 276390 ) N ;
-- FILLER_79_547 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268320 276390 ) N ;
+- FILLER_79_524 sky130_fd_sc_ls__fill_1 + PLACED ( 257280 276390 ) N ;
+- FILLER_79_533 sky130_fd_sc_ls__decap_8 + PLACED ( 261600 276390 ) N ;
+- FILLER_79_541 sky130_fd_sc_ls__decap_8 + PLACED ( 265440 276390 ) N ;
 - FILLER_79_549 sky130_fd_sc_ls__fill_1 + PLACED ( 269280 276390 ) N ;
 - FILLER_79_551 sky130_fd_sc_ls__decap_8 + PLACED ( 270240 276390 ) N ;
 - FILLER_79_559 sky130_fd_sc_ls__decap_8 + PLACED ( 274080 276390 ) N ;
 - FILLER_79_572 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 280320 276390 ) N ;
-- FILLER_79_580 sky130_fd_sc_ls__decap_4 + PLACED ( 284160 276390 ) N ;
+- FILLER_79_582 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 285120 276390 ) N ;
 - FILLER_79_584 sky130_fd_sc_ls__fill_1 + PLACED ( 286080 276390 ) N ;
-- FILLER_79_591 sky130_fd_sc_ls__decap_4 + PLACED ( 289440 276390 ) N ;
-- FILLER_79_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 276390 ) N ;
-- FILLER_80_8 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 9600 279720 ) FS ;
-- FILLER_80_16 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 13440 279720 ) FS ;
-- FILLER_80_24 sky130_fd_sc_ls__decap_4 + PLACED ( 17280 279720 ) FS ;
+- FILLER_79_593 sky130_fd_sc_ls__decap_4 + PLACED ( 290400 276390 ) N ;
+- FILLER_80_26 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 18240 279720 ) FS ;
 - FILLER_80_29 sky130_fd_sc_ls__decap_4 + PLACED ( 19680 279720 ) FS ;
 - FILLER_80_37 sky130_fd_sc_ls__decap_4 + PLACED ( 23520 279720 ) FS ;
 - FILLER_80_41 sky130_fd_sc_ls__fill_1 + PLACED ( 25440 279720 ) FS ;
@@ -9403,12 +9394,12 @@
 - FILLER_80_56 sky130_fd_sc_ls__decap_4 + PLACED ( 32640 279720 ) FS ;
 - FILLER_80_60 sky130_fd_sc_ls__fill_1 + PLACED ( 34560 279720 ) FS ;
 - FILLER_80_65 sky130_fd_sc_ls__decap_8 + PLACED ( 36960 279720 ) FS ;
+- FILLER_80_73 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 40800 279720 ) FS ;
 - FILLER_80_79 sky130_fd_sc_ls__decap_4 + PLACED ( 43680 279720 ) FS ;
 - FILLER_80_84 sky130_fd_sc_ls__decap_8 + PLACED ( 46080 279720 ) FS ;
-- FILLER_80_92 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 49920 279720 ) FS ;
 - FILLER_80_98 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 52800 279720 ) FS ;
 - FILLER_80_106 sky130_fd_sc_ls__decap_4 + PLACED ( 56640 279720 ) FS ;
-- FILLER_80_114 sky130_fd_sc_ls__decap_4 + PLACED ( 60480 279720 ) FS ;
+- FILLER_80_114 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 60480 279720 ) FS ;
 - FILLER_80_122 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 64320 279720 ) FS ;
 - FILLER_80_124 sky130_fd_sc_ls__fill_1 + PLACED ( 65280 279720 ) FS ;
 - FILLER_80_131 sky130_fd_sc_ls__decap_4 + PLACED ( 68640 279720 ) FS ;
@@ -9417,39 +9408,42 @@
 - FILLER_80_139 sky130_fd_sc_ls__decap_4 + PLACED ( 72480 279720 ) FS ;
 - FILLER_80_147 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 76320 279720 ) FS ;
 - FILLER_80_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 279720 ) FS ;
-- FILLER_80_169 sky130_fd_sc_ls__decap_8 + PLACED ( 86880 279720 ) FS ;
-- FILLER_80_177 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 90720 279720 ) FS ;
-- FILLER_80_185 sky130_fd_sc_ls__decap_8 + PLACED ( 94560 279720 ) FS ;
+- FILLER_80_169 sky130_fd_sc_ls__decap_4 + PLACED ( 86880 279720 ) FS ;
+- FILLER_80_173 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 88800 279720 ) FS ;
+- FILLER_80_175 sky130_fd_sc_ls__fill_1 + PLACED ( 89760 279720 ) FS ;
+- FILLER_80_180 sky130_fd_sc_ls__decap_4 + PLACED ( 92160 279720 ) FS ;
+- FILLER_80_188 sky130_fd_sc_ls__decap_4 + PLACED ( 96000 279720 ) FS ;
+- FILLER_80_192 sky130_fd_sc_ls__fill_1 + PLACED ( 97920 279720 ) FS ;
 - FILLER_80_194 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 98880 279720 ) FS ;
 - FILLER_80_202 sky130_fd_sc_ls__decap_4 + PLACED ( 102720 279720 ) FS ;
-- FILLER_80_206 sky130_fd_sc_ls__fill_1 + PLACED ( 104640 279720 ) FS ;
+- FILLER_80_206 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 104640 279720 ) FS ;
+- FILLER_80_208 sky130_fd_sc_ls__fill_1 + PLACED ( 105600 279720 ) FS ;
 - FILLER_80_213 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 108000 279720 ) FS ;
-- FILLER_80_221 sky130_fd_sc_ls__decap_4 + PLACED ( 111840 279720 ) FS ;
-- FILLER_80_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 279720 ) FS ;
-- FILLER_80_237 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 119520 279720 ) FS ;
-- FILLER_80_239 sky130_fd_sc_ls__fill_1 + PLACED ( 120480 279720 ) FS ;
+- FILLER_80_221 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 111840 279720 ) FS ;
+- FILLER_80_229 sky130_fd_sc_ls__decap_4 + PLACED ( 115680 279720 ) FS ;
+- FILLER_80_236 sky130_fd_sc_ls__decap_4 + PLACED ( 119040 279720 ) FS ;
 - FILLER_80_244 sky130_fd_sc_ls__decap_4 + PLACED ( 122880 279720 ) FS ;
-- FILLER_80_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 279720 ) FS ;
-- FILLER_80_257 sky130_fd_sc_ls__fill_1 + PLACED ( 129120 279720 ) FS ;
-- FILLER_80_262 sky130_fd_sc_ls__decap_4 + PLACED ( 131520 279720 ) FS ;
+- FILLER_80_249 sky130_fd_sc_ls__decap_4 + PLACED ( 125280 279720 ) FS ;
+- FILLER_80_253 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 127200 279720 ) FS ;
+- FILLER_80_255 sky130_fd_sc_ls__fill_1 + PLACED ( 128160 279720 ) FS ;
+- FILLER_80_262 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 131520 279720 ) FS ;
 - FILLER_80_270 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 135360 279720 ) FS ;
 - FILLER_80_272 sky130_fd_sc_ls__fill_1 + PLACED ( 136320 279720 ) FS ;
 - FILLER_80_279 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 139680 279720 ) FS ;
 - FILLER_80_287 sky130_fd_sc_ls__decap_4 + PLACED ( 143520 279720 ) FS ;
 - FILLER_80_291 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 145440 279720 ) FS ;
 - FILLER_80_299 sky130_fd_sc_ls__decap_4 + PLACED ( 149280 279720 ) FS ;
-- FILLER_80_304 sky130_fd_sc_ls__decap_4 + PLACED ( 151680 279720 ) FS ;
-- FILLER_80_312 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 155520 279720 ) FS ;
+- FILLER_80_304 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 151680 279720 ) FS ;
 - FILLER_80_320 sky130_fd_sc_ls__decap_4 + PLACED ( 159360 279720 ) FS ;
-- FILLER_80_328 sky130_fd_sc_ls__decap_4 + PLACED ( 163200 279720 ) FS ;
-- FILLER_80_336 sky130_fd_sc_ls__decap_4 + PLACED ( 167040 279720 ) FS ;
-- FILLER_80_344 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 170880 279720 ) FS ;
+- FILLER_80_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 279720 ) FS ;
+- FILLER_80_336 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 167040 279720 ) FS ;
+- FILLER_80_344 sky130_fd_sc_ls__decap_4 + PLACED ( 170880 279720 ) FS ;
 - FILLER_80_352 sky130_fd_sc_ls__decap_4 + PLACED ( 174720 279720 ) FS ;
 - FILLER_80_356 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176640 279720 ) FS ;
-- FILLER_80_359 sky130_fd_sc_ls__decap_4 + PLACED ( 178080 279720 ) FS ;
+- FILLER_80_359 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 178080 279720 ) FS ;
 - FILLER_80_367 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 181920 279720 ) FS ;
 - FILLER_80_375 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 185760 279720 ) FS ;
-- FILLER_80_385 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 190560 279720 ) FS ;
+- FILLER_80_383 sky130_fd_sc_ls__decap_4 + PLACED ( 189600 279720 ) FS ;
 - FILLER_80_387 sky130_fd_sc_ls__fill_1 + PLACED ( 191520 279720 ) FS ;
 - FILLER_80_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 279720 ) FS ;
 - FILLER_80_402 sky130_fd_sc_ls__fill_1 + PLACED ( 198720 279720 ) FS ;
@@ -9458,10 +9452,10 @@
 - FILLER_80_418 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 206400 279720 ) FS ;
 - FILLER_80_420 sky130_fd_sc_ls__fill_1 + PLACED ( 207360 279720 ) FS ;
 - FILLER_80_427 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 210720 279720 ) FS ;
-- FILLER_80_435 sky130_fd_sc_ls__decap_4 + PLACED ( 214560 279720 ) FS ;
+- FILLER_80_435 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 214560 279720 ) FS ;
 - FILLER_80_443 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 218400 279720 ) FS ;
-- FILLER_80_453 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 223200 279720 ) FS ;
-- FILLER_80_455 sky130_fd_sc_ls__fill_1 + PLACED ( 224160 279720 ) FS ;
+- FILLER_80_451 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 222240 279720 ) FS ;
+- FILLER_80_453 sky130_fd_sc_ls__fill_1 + PLACED ( 223200 279720 ) FS ;
 - FILLER_80_460 sky130_fd_sc_ls__decap_8 + PLACED ( 226560 279720 ) FS ;
 - FILLER_80_469 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 230880 279720 ) FS ;
 - FILLER_80_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 279720 ) FS ;
@@ -9470,19 +9464,18 @@
 - FILLER_80_492 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 241920 279720 ) FS ;
 - FILLER_80_500 sky130_fd_sc_ls__decap_4 + PLACED ( 245760 279720 ) FS ;
 - FILLER_80_504 sky130_fd_sc_ls__fill_1 + PLACED ( 247680 279720 ) FS ;
-- FILLER_80_509 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 250080 279720 ) FS ;
+- FILLER_80_509 sky130_fd_sc_ls__decap_4 + PLACED ( 250080 279720 ) FS ;
 - FILLER_80_517 sky130_fd_sc_ls__decap_4 + PLACED ( 253920 279720 ) FS ;
 - FILLER_80_521 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255840 279720 ) FS ;
-- FILLER_80_524 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 257280 279720 ) FS ;
-- FILLER_80_534 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 262080 279720 ) FS ;
+- FILLER_80_524 sky130_fd_sc_ls__decap_4 + PLACED ( 257280 279720 ) FS ;
+- FILLER_80_532 sky130_fd_sc_ls__decap_4 + PLACED ( 261120 279720 ) FS ;
+- FILLER_80_536 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 263040 279720 ) FS ;
 - FILLER_80_542 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 265920 279720 ) FS ;
-- FILLER_80_552 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 270720 279720 ) FS ;
 - FILLER_80_558 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 273600 279720 ) FS ;
-- FILLER_80_566 sky130_fd_sc_ls__decap_4 + PLACED ( 277440 279720 ) FS ;
 - FILLER_80_574 sky130_fd_sc_ls__decap_4 + PLACED ( 281280 279720 ) FS ;
-- FILLER_80_579 sky130_fd_sc_ls__decap_4 + PLACED ( 283680 279720 ) FS ;
-- FILLER_80_587 sky130_fd_sc_ls__decap_8 + PLACED ( 287520 279720 ) FS ;
-- FILLER_80_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 279720 ) FS ;
+- FILLER_80_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 279720 ) FS ;
+- FILLER_80_587 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 287520 279720 ) FS ;
+- FILLER_80_593 sky130_fd_sc_ls__decap_4 + PLACED ( 290400 279720 ) FS ;
 - FILLER_81_9 sky130_fd_sc_ls__decap_4 + PLACED ( 10080 283050 ) N ;
 - FILLER_81_18 sky130_fd_sc_ls__decap_8 + PLACED ( 14400 283050 ) N ;
 - FILLER_81_26 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 18240 283050 ) N ;
@@ -9500,6 +9493,7 @@
 - FILLER_81_108 sky130_fd_sc_ls__decap_4 + PLACED ( 57600 283050 ) N ;
 - FILLER_81_113 sky130_fd_sc_ls__decap_8 + PLACED ( 60000 283050 ) N ;
 - FILLER_81_126 sky130_fd_sc_ls__decap_4 + PLACED ( 66240 283050 ) N ;
+- FILLER_81_130 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 68160 283050 ) N ;
 - FILLER_81_136 sky130_fd_sc_ls__decap_4 + PLACED ( 71040 283050 ) N ;
 - FILLER_81_141 sky130_fd_sc_ls__decap_4 + PLACED ( 73440 283050 ) N ;
 - FILLER_81_149 sky130_fd_sc_ls__decap_4 + PLACED ( 77280 283050 ) N ;
@@ -9507,54 +9501,52 @@
 - FILLER_81_159 sky130_fd_sc_ls__decap_8 + PLACED ( 82080 283050 ) N ;
 - FILLER_81_167 sky130_fd_sc_ls__fill_1 + PLACED ( 85920 283050 ) N ;
 - FILLER_81_169 sky130_fd_sc_ls__decap_4 + PLACED ( 86880 283050 ) N ;
-- FILLER_81_177 sky130_fd_sc_ls__decap_8 + PLACED ( 90720 283050 ) N ;
-- FILLER_81_185 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 94560 283050 ) N ;
+- FILLER_81_178 sky130_fd_sc_ls__decap_8 + PLACED ( 91200 283050 ) N ;
+- FILLER_81_186 sky130_fd_sc_ls__fill_1 + PLACED ( 95040 283050 ) N ;
 - FILLER_81_192 sky130_fd_sc_ls__decap_4 + PLACED ( 97920 283050 ) N ;
 - FILLER_81_197 sky130_fd_sc_ls__decap_4 + PLACED ( 100320 283050 ) N ;
 - FILLER_81_201 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 102240 283050 ) N ;
-- FILLER_81_207 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 105120 283050 ) N ;
-- FILLER_81_215 sky130_fd_sc_ls__decap_8 + PLACED ( 108960 283050 ) N ;
-- FILLER_81_223 sky130_fd_sc_ls__fill_1 + PLACED ( 112800 283050 ) N ;
-- FILLER_81_225 sky130_fd_sc_ls__decap_4 + PLACED ( 113760 283050 ) N ;
-- FILLER_81_234 sky130_fd_sc_ls__decap_4 + PLACED ( 118080 283050 ) N ;
-- FILLER_81_242 sky130_fd_sc_ls__decap_8 + PLACED ( 121920 283050 ) N ;
-- FILLER_81_250 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 125760 283050 ) N ;
+- FILLER_81_208 sky130_fd_sc_ls__decap_8 + PLACED ( 105600 283050 ) N ;
+- FILLER_81_220 sky130_fd_sc_ls__decap_4 + PLACED ( 111360 283050 ) N ;
+- FILLER_81_225 sky130_fd_sc_ls__decap_8 + PLACED ( 113760 283050 ) N ;
+- FILLER_81_233 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 117600 283050 ) N ;
+- FILLER_81_235 sky130_fd_sc_ls__fill_1 + PLACED ( 118560 283050 ) N ;
+- FILLER_81_241 sky130_fd_sc_ls__decap_8 + PLACED ( 121440 283050 ) N ;
+- FILLER_81_249 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 125280 283050 ) N ;
+- FILLER_81_251 sky130_fd_sc_ls__fill_1 + PLACED ( 126240 283050 ) N ;
 - FILLER_81_253 sky130_fd_sc_ls__decap_4 + PLACED ( 127200 283050 ) N ;
-- FILLER_81_262 sky130_fd_sc_ls__decap_4 + PLACED ( 131520 283050 ) N ;
-- FILLER_81_266 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 133440 283050 ) N ;
-- FILLER_81_268 sky130_fd_sc_ls__fill_1 + PLACED ( 134400 283050 ) N ;
-- FILLER_81_273 sky130_fd_sc_ls__decap_4 + PLACED ( 136800 283050 ) N ;
-- FILLER_81_277 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 138720 283050 ) N ;
-- FILLER_81_279 sky130_fd_sc_ls__fill_1 + PLACED ( 139680 283050 ) N ;
+- FILLER_81_261 sky130_fd_sc_ls__decap_8 + PLACED ( 131040 283050 ) N ;
+- FILLER_81_274 sky130_fd_sc_ls__decap_4 + PLACED ( 137280 283050 ) N ;
+- FILLER_81_278 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 139200 283050 ) N ;
 - FILLER_81_281 sky130_fd_sc_ls__decap_4 + PLACED ( 140640 283050 ) N ;
 - FILLER_81_285 sky130_fd_sc_ls__fill_1 + PLACED ( 142560 283050 ) N ;
-- FILLER_81_291 sky130_fd_sc_ls__decap_8 + PLACED ( 145440 283050 ) N ;
-- FILLER_81_299 sky130_fd_sc_ls__fill_1 + PLACED ( 149280 283050 ) N ;
+- FILLER_81_290 sky130_fd_sc_ls__decap_8 + PLACED ( 144960 283050 ) N ;
+- FILLER_81_298 sky130_fd_sc_ls__fill_1 + PLACED ( 148800 283050 ) N ;
 - FILLER_81_304 sky130_fd_sc_ls__decap_4 + PLACED ( 151680 283050 ) N ;
 - FILLER_81_309 sky130_fd_sc_ls__decap_8 + PLACED ( 154080 283050 ) N ;
 - FILLER_81_317 sky130_fd_sc_ls__fill_1 + PLACED ( 157920 283050 ) N ;
-- FILLER_81_323 sky130_fd_sc_ls__decap_4 + PLACED ( 160800 283050 ) N ;
-- FILLER_81_331 sky130_fd_sc_ls__decap_4 + PLACED ( 164640 283050 ) N ;
-- FILLER_81_335 sky130_fd_sc_ls__fill_1 + PLACED ( 166560 283050 ) N ;
+- FILLER_81_322 sky130_fd_sc_ls__decap_4 + PLACED ( 160320 283050 ) N ;
+- FILLER_81_330 sky130_fd_sc_ls__decap_4 + PLACED ( 164160 283050 ) N ;
+- FILLER_81_334 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 166080 283050 ) N ;
 - FILLER_81_337 sky130_fd_sc_ls__decap_4 + PLACED ( 167520 283050 ) N ;
 - FILLER_81_346 sky130_fd_sc_ls__decap_4 + PLACED ( 171840 283050 ) N ;
 - FILLER_81_350 sky130_fd_sc_ls__fill_1 + PLACED ( 173760 283050 ) N ;
-- FILLER_81_356 sky130_fd_sc_ls__decap_8 + PLACED ( 176640 283050 ) N ;
+- FILLER_81_355 sky130_fd_sc_ls__decap_8 + PLACED ( 176160 283050 ) N ;
+- FILLER_81_363 sky130_fd_sc_ls__fill_1 + PLACED ( 180000 283050 ) N ;
 - FILLER_81_365 sky130_fd_sc_ls__decap_4 + PLACED ( 180960 283050 ) N ;
-- FILLER_81_373 sky130_fd_sc_ls__decap_8 + PLACED ( 184800 283050 ) N ;
-- FILLER_81_381 sky130_fd_sc_ls__fill_1 + PLACED ( 188640 283050 ) N ;
+- FILLER_81_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 283050 ) N ;
+- FILLER_81_383 sky130_fd_sc_ls__fill_1 + PLACED ( 189600 283050 ) N ;
 - FILLER_81_388 sky130_fd_sc_ls__decap_4 + PLACED ( 192000 283050 ) N ;
 - FILLER_81_393 sky130_fd_sc_ls__decap_8 + PLACED ( 194400 283050 ) N ;
-- FILLER_81_407 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 201120 283050 ) N ;
-- FILLER_81_417 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 205920 283050 ) N ;
+- FILLER_81_407 sky130_fd_sc_ls__decap_4 + PLACED ( 201120 283050 ) N ;
+- FILLER_81_415 sky130_fd_sc_ls__decap_4 + PLACED ( 204960 283050 ) N ;
 - FILLER_81_419 sky130_fd_sc_ls__fill_1 + PLACED ( 206880 283050 ) N ;
 - FILLER_81_421 sky130_fd_sc_ls__decap_4 + PLACED ( 207840 283050 ) N ;
 - FILLER_81_429 sky130_fd_sc_ls__decap_4 + PLACED ( 211680 283050 ) N ;
 - FILLER_81_433 sky130_fd_sc_ls__fill_1 + PLACED ( 213600 283050 ) N ;
 - FILLER_81_440 sky130_fd_sc_ls__decap_8 + PLACED ( 216960 283050 ) N ;
 - FILLER_81_449 sky130_fd_sc_ls__decap_4 + PLACED ( 221280 283050 ) N ;
-- FILLER_81_459 sky130_fd_sc_ls__decap_4 + PLACED ( 226080 283050 ) N ;
-- FILLER_81_463 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 228000 283050 ) N ;
+- FILLER_81_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 283050 ) N ;
 - FILLER_81_465 sky130_fd_sc_ls__fill_1 + PLACED ( 228960 283050 ) N ;
 - FILLER_81_472 sky130_fd_sc_ls__decap_4 + PLACED ( 232320 283050 ) N ;
 - FILLER_81_477 sky130_fd_sc_ls__decap_4 + PLACED ( 234720 283050 ) N ;
@@ -9569,8 +9561,10 @@
 - FILLER_81_522 sky130_fd_sc_ls__decap_8 + PLACED ( 256320 283050 ) N ;
 - FILLER_81_530 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 260160 283050 ) N ;
 - FILLER_81_533 sky130_fd_sc_ls__decap_4 + PLACED ( 261600 283050 ) N ;
-- FILLER_81_541 sky130_fd_sc_ls__decap_8 + PLACED ( 265440 283050 ) N ;
-- FILLER_81_555 sky130_fd_sc_ls__decap_4 + PLACED ( 272160 283050 ) N ;
+- FILLER_81_543 sky130_fd_sc_ls__decap_4 + PLACED ( 266400 283050 ) N ;
+- FILLER_81_547 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268320 283050 ) N ;
+- FILLER_81_553 sky130_fd_sc_ls__decap_4 + PLACED ( 271200 283050 ) N ;
+- FILLER_81_557 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 273120 283050 ) N ;
 - FILLER_81_559 sky130_fd_sc_ls__fill_1 + PLACED ( 274080 283050 ) N ;
 - FILLER_81_561 sky130_fd_sc_ls__decap_4 + PLACED ( 275040 283050 ) N ;
 - FILLER_81_571 sky130_fd_sc_ls__decap_4 + PLACED ( 279840 283050 ) N ;
@@ -12073,10 +12067,10 @@
     NEW met1 ( 79920 284715 ) M1M2_PR
 + USE SIGNAL ;
 - io_in[11] ( PIN io_in[11] ) ( input3 A ) 
-  + ROUTED met1 ( 87600 284715 ) ( 89040 284715 )
+  + ROUTED met1 ( 87600 284715 ) ( 90960 284715 )
     NEW met2 ( 87600 284715 ) ( 87600 296370 0 )
+    NEW li1 ( 90960 284715 ) L1M1_PR_MR
     NEW met1 ( 87600 284715 ) M1M2_PR
-    NEW li1 ( 89040 284715 ) L1M1_PR_MR
 + USE SIGNAL ;
 - io_in[12] ( PIN io_in[12] ) ( input4 A ) 
   + ROUTED met1 ( 95760 284715 ) ( 97680 284715 )
@@ -12085,46 +12079,47 @@
     NEW met1 ( 95760 284715 ) M1M2_PR
 + USE SIGNAL ;
 - io_in[13] ( PIN io_in[13] ) ( input5 A ) 
-  + ROUTED met2 ( 103440 284715 ) ( 103440 296370 0 )
-    NEW li1 ( 103440 284715 ) L1M1_PR_MR
+  + ROUTED met1 ( 103440 284715 ) ( 105360 284715 )
+    NEW met2 ( 103440 284715 ) ( 103440 296370 0 )
+    NEW li1 ( 105360 284715 ) L1M1_PR_MR
     NEW met1 ( 103440 284715 ) M1M2_PR
-    NEW met1 ( 103440 284715 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - io_in[14] ( PIN io_in[14] ) ( input6 A ) 
-  + ROUTED met1 ( 111600 284715 ) ( 117840 284715 )
+  + ROUTED met1 ( 110160 284715 ) ( 111600 284715 )
     NEW met2 ( 111600 284715 ) ( 111600 296370 0 )
-    NEW li1 ( 117840 284715 ) L1M1_PR_MR
+    NEW li1 ( 110160 284715 ) L1M1_PR_MR
     NEW met1 ( 111600 284715 ) M1M2_PR
 + USE SIGNAL ;
 - io_in[15] ( PIN io_in[15] ) ( input7 A ) 
-  + ROUTED met1 ( 119280 284715 ) ( 120240 284715 )
+  + ROUTED met1 ( 119280 284715 ) ( 121200 284715 )
     NEW met2 ( 119280 284715 ) ( 119280 296370 0 )
+    NEW li1 ( 121200 284715 ) L1M1_PR_MR
     NEW met1 ( 119280 284715 ) M1M2_PR
-    NEW li1 ( 120240 284715 ) L1M1_PR_MR
 + USE SIGNAL ;
 - io_in[16] ( PIN io_in[16] ) ( input8 A ) 
-  + ROUTED met1 ( 127440 284715 ) ( 131280 284715 )
+  + ROUTED met1 ( 127440 284715 ) ( 129840 284715 )
     NEW met2 ( 127440 284715 ) ( 127440 296370 0 )
-    NEW li1 ( 131280 284715 ) L1M1_PR_MR
     NEW met1 ( 127440 284715 ) M1M2_PR
+    NEW li1 ( 129840 284715 ) L1M1_PR_MR
 + USE SIGNAL ;
 - io_in[17] ( PIN io_in[17] ) ( input9 A ) 
   + ROUTED met2 ( 135120 284715 ) ( 135120 296370 0 )
-    NEW li1 ( 135120 284715 ) L1M1_PR_MR
+    NEW met1 ( 135120 284715 ) ( 137040 284715 )
     NEW met1 ( 135120 284715 ) M1M2_PR
-    NEW met1 ( 135120 284715 ) RECT ( -355 -70 0 70 )
+    NEW li1 ( 137040 284715 ) L1M1_PR_MR
 + USE SIGNAL ;
 - io_in[18] ( PIN io_in[18] ) ( input10 A ) 
-  + ROUTED met1 ( 143280 284715 ) ( 145200 284715 )
-    NEW met2 ( 143280 284715 ) ( 143280 296370 0 )
-    NEW li1 ( 145200 284715 ) L1M1_PR_MR
+  + ROUTED met2 ( 143280 284715 ) ( 143280 296370 0 )
+    NEW li1 ( 143280 284715 ) L1M1_PR_MR
     NEW met1 ( 143280 284715 ) M1M2_PR
+    NEW met1 ( 143280 284715 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - io_in[19] ( PIN io_in[19] ) ( input11 A ) 
-  + ROUTED met1 ( 150480 284715 ) ( 150960 284715 )
+  + ROUTED met2 ( 150960 284715 ) ( 151440 284715 )
     NEW met2 ( 150960 284715 ) ( 150960 296370 0 )
-    NEW li1 ( 150480 284715 ) L1M1_PR_MR
-    NEW met1 ( 150960 284715 ) M1M2_PR
+    NEW li1 ( 151440 284715 ) L1M1_PR_MR
+    NEW met1 ( 151440 284715 ) M1M2_PR
+    NEW met1 ( 151440 284715 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - io_in[1] ( PIN io_in[1] ) ( input12 A ) 
   + ROUTED met1 ( 14160 284715 ) ( 14160 285085 )
@@ -12134,10 +12129,10 @@
     NEW met1 ( 8880 285085 ) M1M2_PR
 + USE SIGNAL ;
 - io_in[20] ( PIN io_in[20] ) ( input13 A ) 
-  + ROUTED met1 ( 158640 284715 ) ( 160560 284715 )
-    NEW met2 ( 158640 284715 ) ( 158640 296370 0 )
-    NEW li1 ( 160560 284715 ) L1M1_PR_MR
+  + ROUTED met2 ( 158640 284715 ) ( 158640 296370 0 )
+    NEW li1 ( 158640 284715 ) L1M1_PR_MR
     NEW met1 ( 158640 284715 ) M1M2_PR
+    NEW met1 ( 158640 284715 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - io_in[21] ( PIN io_in[21] ) ( input14 A ) 
   + ROUTED met1 ( 166800 284715 ) ( 171600 284715 )
@@ -12146,22 +12141,22 @@
     NEW met1 ( 166800 284715 ) M1M2_PR
 + USE SIGNAL ;
 - io_in[22] ( PIN io_in[22] ) ( input15 A ) 
-  + ROUTED met1 ( 174480 284715 ) ( 176400 284715 )
-    NEW met2 ( 174480 284715 ) ( 174480 296370 0 )
-    NEW li1 ( 176400 284715 ) L1M1_PR_MR
+  + ROUTED met2 ( 174480 284715 ) ( 174480 296370 0 )
+    NEW li1 ( 174480 284715 ) L1M1_PR_MR
     NEW met1 ( 174480 284715 ) M1M2_PR
+    NEW met1 ( 174480 284715 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - io_in[23] ( PIN io_in[23] ) ( input16 A ) 
-  + ROUTED met1 ( 182640 284715 ) ( 183120 284715 )
-    NEW met2 ( 182640 284715 ) ( 182640 296370 0 )
-    NEW met1 ( 182640 284715 ) M1M2_PR
-    NEW li1 ( 183120 284715 ) L1M1_PR_MR
+  + ROUTED met1 ( 182640 284345 ) ( 185040 284345 )
+    NEW met2 ( 182640 284345 ) ( 182640 296370 0 )
+    NEW met1 ( 182640 284345 ) M1M2_PR
+    NEW li1 ( 185040 284345 ) L1M1_PR_MR
 + USE SIGNAL ;
 - io_in[24] ( PIN io_in[24] ) ( input17 A ) 
-  + ROUTED met1 ( 190320 284345 ) ( 191280 284345 )
-    NEW met2 ( 190320 284345 ) ( 190320 296370 0 )
-    NEW met1 ( 190320 284345 ) M1M2_PR
-    NEW li1 ( 191280 284345 ) L1M1_PR_MR
+  + ROUTED met2 ( 190320 284715 ) ( 190320 296370 0 )
+    NEW li1 ( 190320 284715 ) L1M1_PR_MR
+    NEW met1 ( 190320 284715 ) M1M2_PR
+    NEW met1 ( 190320 284715 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - io_in[25] ( PIN io_in[25] ) ( input18 A ) 
   + ROUTED met1 ( 198480 284345 ) ( 200400 284345 )
@@ -12182,10 +12177,10 @@
     NEW li1 ( 216240 284345 ) L1M1_PR_MR
 + USE SIGNAL ;
 - io_in[28] ( PIN io_in[28] ) ( input21 A ) 
-  + ROUTED met1 ( 222000 284345 ) ( 225360 284345 )
-    NEW met2 ( 222000 284345 ) ( 222000 296370 0 )
-    NEW met1 ( 222000 284345 ) M1M2_PR
-    NEW li1 ( 225360 284345 ) L1M1_PR_MR
+  + ROUTED met1 ( 222000 284715 ) ( 223440 284715 )
+    NEW met2 ( 222000 284715 ) ( 222000 296370 0 )
+    NEW met1 ( 222000 284715 ) M1M2_PR
+    NEW li1 ( 223440 284715 ) L1M1_PR_MR
 + USE SIGNAL ;
 - io_in[29] ( PIN io_in[29] ) ( input22 A ) 
   + ROUTED met1 ( 229680 284345 ) ( 231600 284345 )
@@ -12219,16 +12214,16 @@
     NEW li1 ( 255600 284345 ) L1M1_PR_MR
 + USE SIGNAL ;
 - io_in[33] ( PIN io_in[33] ) ( input27 A ) 
-  + ROUTED met1 ( 261360 284715 ) ( 263760 284715 )
-    NEW met2 ( 261360 284715 ) ( 261360 296370 0 )
-    NEW met1 ( 261360 284715 ) M1M2_PR
-    NEW li1 ( 263760 284715 ) L1M1_PR_MR
+  + ROUTED met1 ( 261360 284345 ) ( 265680 284345 )
+    NEW met2 ( 261360 284345 ) ( 261360 296370 0 )
+    NEW met1 ( 261360 284345 ) M1M2_PR
+    NEW li1 ( 265680 284345 ) L1M1_PR_MR
 + USE SIGNAL ;
 - io_in[34] ( PIN io_in[34] ) ( input28 A ) 
-  + ROUTED met1 ( 269520 284345 ) ( 271440 284345 )
-    NEW met2 ( 269520 284345 ) ( 269520 296370 0 )
-    NEW met1 ( 269520 284345 ) M1M2_PR
-    NEW li1 ( 271440 284345 ) L1M1_PR_MR
+  + ROUTED met2 ( 269520 284715 ) ( 269520 296370 0 )
+    NEW li1 ( 269520 284715 ) L1M1_PR_MR
+    NEW met1 ( 269520 284715 ) M1M2_PR
+    NEW met1 ( 269520 284715 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - io_in[35] ( PIN io_in[35] ) ( input29 A ) 
   + ROUTED met1 ( 277200 284345 ) ( 279120 284345 )
@@ -12237,16 +12232,16 @@
     NEW li1 ( 279120 284345 ) L1M1_PR_MR
 + USE SIGNAL ;
 - io_in[36] ( PIN io_in[36] ) ( input30 A ) 
-  + ROUTED met1 ( 285360 281385 ) ( 285840 281385 )
-    NEW met2 ( 285360 281385 ) ( 285360 296370 0 )
-    NEW met1 ( 285360 281385 ) M1M2_PR
-    NEW li1 ( 285840 281385 ) L1M1_PR_MR
+  + ROUTED met2 ( 285360 284345 ) ( 285360 296370 0 )
+    NEW li1 ( 285360 284345 ) L1M1_PR_MR
+    NEW met1 ( 285360 284345 ) M1M2_PR
+    NEW met1 ( 285360 284345 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - io_in[37] ( PIN io_in[37] ) ( input31 A ) 
-  + ROUTED met2 ( 293040 284345 ) ( 293040 296370 0 )
-    NEW met1 ( 285360 284345 ) ( 293040 284345 )
-    NEW met1 ( 293040 284345 ) M1M2_PR
-    NEW li1 ( 285360 284345 ) L1M1_PR_MR
+  + ROUTED met1 ( 289200 281385 ) ( 293040 281385 )
+    NEW met2 ( 293040 281385 ) ( 293040 296370 0 )
+    NEW li1 ( 289200 281385 ) L1M1_PR_MR
+    NEW met1 ( 293040 281385 ) M1M2_PR
 + USE SIGNAL ;
 - io_in[3] ( PIN io_in[3] ) ( input32 A ) 
   + ROUTED met1 ( 24720 284715 ) ( 26640 284715 )
@@ -12304,10 +12299,10 @@
     NEW li1 ( 69840 285085 ) L1M1_PR_MR
 + USE SIGNAL ;
 - io_oeb[11] ( PIN io_oeb[11] ) ( output370 X ) 
-  + ROUTED met1 ( 90480 285085 ) ( 107760 285085 )
-    NEW met2 ( 90480 285085 ) ( 90480 296370 0 )
-    NEW met1 ( 90480 285085 ) M1M2_PR
-    NEW li1 ( 107760 285085 ) L1M1_PR_MR
+  + ROUTED met1 ( 90480 282495 ) ( 90960 282495 )
+    NEW met2 ( 90480 282495 ) ( 90480 296370 0 )
+    NEW met1 ( 90480 282495 ) M1M2_PR
+    NEW li1 ( 90960 282495 ) L1M1_PR_MR
 + USE SIGNAL ;
 - io_oeb[12] ( PIN io_oeb[12] ) ( output371 X ) 
   + ROUTED met2 ( 98160 288000 ) ( 98160 296370 0 )
@@ -12342,22 +12337,22 @@
     NEW li1 ( 130320 282495 ) L1M1_PR_MR
 + USE SIGNAL ;
 - io_oeb[17] ( PIN io_oeb[17] ) ( output376 X ) 
-  + ROUTED met1 ( 138000 282495 ) ( 138480 282495 )
+  + ROUTED met1 ( 138000 282495 ) ( 138960 282495 )
     NEW met2 ( 138000 282495 ) ( 138000 296370 0 )
+    NEW li1 ( 138960 282495 ) L1M1_PR_MR
     NEW met1 ( 138000 282495 ) M1M2_PR
-    NEW li1 ( 138480 282495 ) L1M1_PR_MR
 + USE SIGNAL ;
 - io_oeb[18] ( PIN io_oeb[18] ) ( output377 X ) 
-  + ROUTED met1 ( 145680 285085 ) ( 163440 285085 )
-    NEW met2 ( 145680 285085 ) ( 145680 296370 0 )
-    NEW met1 ( 145680 285085 ) M1M2_PR
-    NEW li1 ( 163440 285085 ) L1M1_PR_MR
+  + ROUTED met1 ( 145680 283605 ) ( 162960 283605 )
+    NEW met2 ( 145680 283605 ) ( 145680 296370 0 )
+    NEW met1 ( 145680 283605 ) M1M2_PR
+    NEW li1 ( 162960 283605 ) L1M1_PR_MR
 + USE SIGNAL ;
 - io_oeb[19] ( PIN io_oeb[19] ) ( output378 X ) 
-  + ROUTED met1 ( 153360 282495 ) ( 154320 282495 )
+  + ROUTED met1 ( 153360 282495 ) ( 154800 282495 )
     NEW met2 ( 153360 282495 ) ( 153360 296370 0 )
+    NEW li1 ( 154800 282495 ) L1M1_PR_MR
     NEW met1 ( 153360 282495 ) M1M2_PR
-    NEW li1 ( 154320 282495 ) L1M1_PR_MR
 + USE SIGNAL ;
 - io_oeb[1] ( PIN io_oeb[1] ) ( output379 X ) 
   + ROUTED met1 ( 11280 282495 ) ( 12240 282495 )
@@ -12372,32 +12367,35 @@
     NEW li1 ( 162000 282495 ) L1M1_PR_MR
 + USE SIGNAL ;
 - io_oeb[21] ( PIN io_oeb[21] ) ( output381 X ) 
-  + ROUTED met1 ( 169200 282495 ) ( 169680 282495 )
+  + ROUTED met1 ( 169200 282495 ) ( 170160 282495 )
     NEW met2 ( 169200 282495 ) ( 169200 296370 0 )
+    NEW li1 ( 170160 282495 ) L1M1_PR_MR
     NEW met1 ( 169200 282495 ) M1M2_PR
-    NEW li1 ( 169680 282495 ) L1M1_PR_MR
 + USE SIGNAL ;
 - io_oeb[22] ( PIN io_oeb[22] ) ( output382 X ) 
-  + ROUTED met1 ( 177360 282495 ) ( 180720 282495 )
-    NEW met2 ( 177360 282495 ) ( 177360 296370 0 )
-    NEW met1 ( 177360 282495 ) M1M2_PR
-    NEW li1 ( 180720 282495 ) L1M1_PR_MR
+  + ROUTED met1 ( 181200 280645 ) ( 181200 281015 )
+    NEW met1 ( 177360 280645 ) ( 181200 280645 )
+    NEW met2 ( 177360 280645 ) ( 177360 296370 0 )
+    NEW li1 ( 181200 281015 ) L1M1_PR_MR
+    NEW met1 ( 177360 280645 ) M1M2_PR
 + USE SIGNAL ;
 - io_oeb[23] ( PIN io_oeb[23] ) ( output383 X ) 
-  + ROUTED met2 ( 204240 280275 ) ( 204240 283605 )
-    NEW met2 ( 185040 280275 ) ( 185040 296370 0 )
-    NEW met1 ( 185040 280275 ) ( 204240 280275 )
-    NEW met1 ( 204240 280275 ) M1M2_PR
-    NEW li1 ( 204240 283605 ) L1M1_PR_MR
-    NEW met1 ( 204240 283605 ) M1M2_PR
-    NEW met1 ( 185040 280275 ) M1M2_PR
-    NEW met1 ( 204240 283605 ) RECT ( -355 -70 0 70 )
+  + ROUTED met2 ( 201840 280645 ) ( 201840 283605 )
+    NEW met1 ( 201840 283605 ) ( 203760 283605 )
+    NEW met2 ( 185040 288000 ) ( 185040 296370 0 )
+    NEW met2 ( 185520 280645 ) ( 185520 288000 )
+    NEW met2 ( 185040 288000 ) ( 185520 288000 )
+    NEW met1 ( 185520 280645 ) ( 201840 280645 )
+    NEW met1 ( 201840 280645 ) M1M2_PR
+    NEW met1 ( 201840 283605 ) M1M2_PR
+    NEW li1 ( 203760 283605 ) L1M1_PR_MR
+    NEW met1 ( 185520 280645 ) M1M2_PR
 + USE SIGNAL ;
 - io_oeb[24] ( PIN io_oeb[24] ) ( output384 X ) 
-  + ROUTED met1 ( 193200 282495 ) ( 194160 282495 )
+  + ROUTED met1 ( 193200 282495 ) ( 193680 282495 )
     NEW met2 ( 193200 282495 ) ( 193200 296370 0 )
-    NEW li1 ( 194160 282495 ) L1M1_PR_MR
     NEW met1 ( 193200 282495 ) M1M2_PR
+    NEW li1 ( 193680 282495 ) L1M1_PR_MR
 + USE SIGNAL ;
 - io_oeb[25] ( PIN io_oeb[25] ) ( output385 X ) 
   + ROUTED met1 ( 200880 282495 ) ( 201360 282495 )
@@ -12406,22 +12404,22 @@
     NEW met1 ( 200880 282495 ) M1M2_PR
 + USE SIGNAL ;
 - io_oeb[26] ( PIN io_oeb[26] ) ( output386 X ) 
-  + ROUTED met1 ( 209040 282495 ) ( 209520 282495 )
+  + ROUTED met1 ( 209040 282495 ) ( 210000 282495 )
     NEW met2 ( 209040 282495 ) ( 209040 296370 0 )
+    NEW li1 ( 210000 282495 ) L1M1_PR_MR
     NEW met1 ( 209040 282495 ) M1M2_PR
-    NEW li1 ( 209520 282495 ) L1M1_PR_MR
 + USE SIGNAL ;
 - io_oeb[27] ( PIN io_oeb[27] ) ( output387 X ) 
-  + ROUTED met1 ( 216720 282495 ) ( 217200 282495 )
+  + ROUTED met1 ( 216720 282495 ) ( 217680 282495 )
     NEW met2 ( 216720 282495 ) ( 216720 296370 0 )
+    NEW li1 ( 217680 282495 ) L1M1_PR_MR
     NEW met1 ( 216720 282495 ) M1M2_PR
-    NEW li1 ( 217200 282495 ) L1M1_PR_MR
 + USE SIGNAL ;
 - io_oeb[28] ( PIN io_oeb[28] ) ( output388 X ) 
-  + ROUTED met1 ( 224880 282495 ) ( 225360 282495 )
+  + ROUTED met1 ( 224880 282495 ) ( 225840 282495 )
     NEW met2 ( 224880 282495 ) ( 224880 296370 0 )
+    NEW li1 ( 225840 282495 ) L1M1_PR_MR
     NEW met1 ( 224880 282495 ) M1M2_PR
-    NEW li1 ( 225360 282495 ) L1M1_PR_MR
 + USE SIGNAL ;
 - io_oeb[29] ( PIN io_oeb[29] ) ( output389 X ) 
   + ROUTED met1 ( 232560 282495 ) ( 234000 282495 )
@@ -12448,29 +12446,30 @@
     NEW li1 ( 248880 282495 ) L1M1_PR_MR
 + USE SIGNAL ;
 - io_oeb[32] ( PIN io_oeb[32] ) ( output393 X ) 
-  + ROUTED met1 ( 260400 280645 ) ( 260400 281015 )
-    NEW met1 ( 256080 280645 ) ( 260400 280645 )
-    NEW met2 ( 256080 280645 ) ( 256080 296370 0 )
-    NEW li1 ( 260400 281015 ) L1M1_PR_MR
-    NEW met1 ( 256080 280645 ) M1M2_PR
+  + ROUTED met1 ( 256080 282495 ) ( 259920 282495 )
+    NEW met2 ( 256080 282495 ) ( 256080 296370 0 )
+    NEW met1 ( 256080 282495 ) M1M2_PR
+    NEW li1 ( 259920 282495 ) L1M1_PR_MR
 + USE SIGNAL ;
 - io_oeb[33] ( PIN io_oeb[33] ) ( output394 X ) 
-  + ROUTED met1 ( 264240 282495 ) ( 265200 282495 )
-    NEW met2 ( 264240 282495 ) ( 264240 296370 0 )
-    NEW li1 ( 265200 282495 ) L1M1_PR_MR
-    NEW met1 ( 264240 282495 ) M1M2_PR
+  + ROUTED met2 ( 264240 288000 ) ( 264240 296370 0 )
+    NEW met2 ( 264240 288000 ) ( 264720 288000 )
+    NEW met2 ( 264720 282495 ) ( 264720 288000 )
+    NEW li1 ( 264720 282495 ) L1M1_PR_MR
+    NEW met1 ( 264720 282495 ) M1M2_PR
+    NEW met1 ( 264720 282495 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - io_oeb[34] ( PIN io_oeb[34] ) ( output395 X ) 
-  + ROUTED met1 ( 271920 282495 ) ( 272400 282495 )
+  + ROUTED met1 ( 271920 282495 ) ( 272880 282495 )
     NEW met2 ( 271920 282495 ) ( 271920 296370 0 )
+    NEW li1 ( 272880 282495 ) L1M1_PR_MR
     NEW met1 ( 271920 282495 ) M1M2_PR
-    NEW li1 ( 272400 282495 ) L1M1_PR_MR
 + USE SIGNAL ;
 - io_oeb[35] ( PIN io_oeb[35] ) ( output396 X ) 
-  + ROUTED met2 ( 280080 282495 ) ( 280080 296370 0 )
-    NEW li1 ( 280080 282495 ) L1M1_PR_MR
+  + ROUTED met1 ( 280080 282495 ) ( 280560 282495 )
+    NEW met2 ( 280080 282495 ) ( 280080 296370 0 )
+    NEW li1 ( 280560 282495 ) L1M1_PR_MR
     NEW met1 ( 280080 282495 ) M1M2_PR
-    NEW met1 ( 280080 282495 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - io_oeb[36] ( PIN io_oeb[36] ) ( output397 X ) 
   + ROUTED met2 ( 287760 278425 ) ( 287760 296370 0 )
@@ -12515,10 +12514,10 @@
     NEW li1 ( 59280 282495 ) L1M1_PR_MR
 + USE SIGNAL ;
 - io_oeb[8] ( PIN io_oeb[8] ) ( output404 X ) 
-  + ROUTED met1 ( 66960 282495 ) ( 67440 282495 )
+  + ROUTED met1 ( 66960 282495 ) ( 67920 282495 )
     NEW met2 ( 66960 282495 ) ( 66960 296370 0 )
+    NEW li1 ( 67920 282495 ) L1M1_PR_MR
     NEW met1 ( 66960 282495 ) M1M2_PR
-    NEW li1 ( 67440 282495 ) L1M1_PR_MR
 + USE SIGNAL ;
 - io_oeb[9] ( PIN io_oeb[9] ) ( output405 X ) 
   + ROUTED met1 ( 74640 282495 ) ( 75120 282495 )
@@ -12539,50 +12538,46 @@
     NEW li1 ( 85680 282495 ) L1M1_PR_MR
 + USE SIGNAL ;
 - io_out[11] ( PIN io_out[11] ) ( output408 X ) 
-  + ROUTED met2 ( 92880 288000 ) ( 92880 296370 0 )
-    NEW met2 ( 93840 282495 ) ( 93840 288000 )
-    NEW met2 ( 92880 288000 ) ( 93840 288000 )
-    NEW li1 ( 93840 282495 ) L1M1_PR_MR
-    NEW met1 ( 93840 282495 ) M1M2_PR
-    NEW met1 ( 93840 282495 ) RECT ( -355 -70 0 70 )
+  + ROUTED met1 ( 92880 282495 ) ( 94800 282495 )
+    NEW met2 ( 92880 282495 ) ( 92880 296370 0 )
+    NEW met1 ( 92880 282495 ) M1M2_PR
+    NEW li1 ( 94800 282495 ) L1M1_PR_MR
 + USE SIGNAL ;
 - io_out[12] ( PIN io_out[12] ) ( output409 X ) 
-  + ROUTED met1 ( 101040 278425 ) ( 102000 278425 )
+  + ROUTED met1 ( 101040 278425 ) ( 101520 278425 )
     NEW met2 ( 101040 278425 ) ( 101040 296370 0 )
     NEW met1 ( 101040 278425 ) M1M2_PR
-    NEW li1 ( 102000 278425 ) L1M1_PR_MR
+    NEW li1 ( 101520 278425 ) L1M1_PR_MR
 + USE SIGNAL ;
 - io_out[13] ( PIN io_out[13] ) ( output410 X ) 
-  + ROUTED met1 ( 108720 282495 ) ( 111120 282495 )
+  + ROUTED met1 ( 108720 282495 ) ( 110640 282495 )
     NEW met2 ( 108720 282495 ) ( 108720 296370 0 )
-    NEW li1 ( 111120 282495 ) L1M1_PR_MR
     NEW met1 ( 108720 282495 ) M1M2_PR
+    NEW li1 ( 110640 282495 ) L1M1_PR_MR
 + USE SIGNAL ;
 - io_out[14] ( PIN io_out[14] ) ( output411 X ) 
-  + ROUTED met2 ( 116880 288000 ) ( 116880 296370 0 )
-    NEW met2 ( 116880 288000 ) ( 117360 288000 )
-    NEW met2 ( 117360 278425 ) ( 117360 288000 )
-    NEW li1 ( 117360 278425 ) L1M1_PR_MR
-    NEW met1 ( 117360 278425 ) M1M2_PR
-    NEW met1 ( 117360 278425 ) RECT ( -355 -70 0 70 )
+  + ROUTED met1 ( 116880 278425 ) ( 117840 278425 )
+    NEW met2 ( 116880 278425 ) ( 116880 296370 0 )
+    NEW met1 ( 116880 278425 ) M1M2_PR
+    NEW li1 ( 117840 278425 ) L1M1_PR_MR
 + USE SIGNAL ;
 - io_out[15] ( PIN io_out[15] ) ( output412 X ) 
-  + ROUTED met1 ( 124560 278425 ) ( 125520 278425 )
+  + ROUTED met1 ( 124560 278425 ) ( 125040 278425 )
     NEW met2 ( 124560 278425 ) ( 124560 296370 0 )
     NEW met1 ( 124560 278425 ) M1M2_PR
-    NEW li1 ( 125520 278425 ) L1M1_PR_MR
+    NEW li1 ( 125040 278425 ) L1M1_PR_MR
 + USE SIGNAL ;
 - io_out[16] ( PIN io_out[16] ) ( output413 X ) 
-  + ROUTED met1 ( 132720 282495 ) ( 134160 282495 )
+  + ROUTED met1 ( 132720 282495 ) ( 134640 282495 )
     NEW met2 ( 132720 282495 ) ( 132720 296370 0 )
+    NEW li1 ( 134640 282495 ) L1M1_PR_MR
     NEW met1 ( 132720 282495 ) M1M2_PR
-    NEW li1 ( 134160 282495 ) L1M1_PR_MR
 + USE SIGNAL ;
 - io_out[17] ( PIN io_out[17] ) ( output414 X ) 
-  + ROUTED met1 ( 140400 282495 ) ( 142800 282495 )
+  + ROUTED met1 ( 140400 282495 ) ( 142320 282495 )
     NEW met2 ( 140400 282495 ) ( 140400 296370 0 )
-    NEW li1 ( 142800 282495 ) L1M1_PR_MR
     NEW met1 ( 140400 282495 ) M1M2_PR
+    NEW li1 ( 142320 282495 ) L1M1_PR_MR
 + USE SIGNAL ;
 - io_out[18] ( PIN io_out[18] ) ( output415 X ) 
   + ROUTED met2 ( 148560 282495 ) ( 148560 296370 0 )
@@ -12591,11 +12586,10 @@
     NEW met1 ( 148560 282495 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - io_out[19] ( PIN io_out[19] ) ( output416 X ) 
-  + ROUTED met1 ( 158640 280645 ) ( 158640 281015 )
-    NEW met1 ( 156240 280645 ) ( 158640 280645 )
-    NEW met2 ( 156240 280645 ) ( 156240 296370 0 )
-    NEW li1 ( 158640 281015 ) L1M1_PR_MR
-    NEW met1 ( 156240 280645 ) M1M2_PR
+  + ROUTED met1 ( 156240 282495 ) ( 158160 282495 )
+    NEW met2 ( 156240 282495 ) ( 156240 296370 0 )
+    NEW met1 ( 156240 282495 ) M1M2_PR
+    NEW li1 ( 158160 282495 ) L1M1_PR_MR
 + USE SIGNAL ;
 - io_out[1] ( PIN io_out[1] ) ( output417 X ) 
   + ROUTED met1 ( 14160 282495 ) ( 16080 282495 )
@@ -12604,12 +12598,11 @@
     NEW li1 ( 16080 282495 ) L1M1_PR_MR
 + USE SIGNAL ;
 - io_out[20] ( PIN io_out[20] ) ( output418 X ) 
-  + ROUTED met2 ( 163920 288000 ) ( 163920 296370 0 )
-    NEW met2 ( 163920 288000 ) ( 164400 288000 )
-    NEW met2 ( 164400 282495 ) ( 164400 288000 )
-    NEW met1 ( 164400 282495 ) ( 165840 282495 )
-    NEW met1 ( 164400 282495 ) M1M2_PR
-    NEW li1 ( 165840 282495 ) L1M1_PR_MR
+  + ROUTED met1 ( 166320 280645 ) ( 166320 281015 )
+    NEW met1 ( 163920 280645 ) ( 166320 280645 )
+    NEW met2 ( 163920 280645 ) ( 163920 296370 0 )
+    NEW li1 ( 166320 281015 ) L1M1_PR_MR
+    NEW met1 ( 163920 280645 ) M1M2_PR
 + USE SIGNAL ;
 - io_out[21] ( PIN io_out[21] ) ( output419 X ) 
   + ROUTED met1 ( 172080 282495 ) ( 173520 282495 )
@@ -12618,13 +12611,11 @@
     NEW li1 ( 173520 282495 ) L1M1_PR_MR
 + USE SIGNAL ;
 - io_out[22] ( PIN io_out[22] ) ( output420 X ) 
-  + ROUTED met2 ( 179760 288000 ) ( 179760 296370 0 )
-    NEW met1 ( 185040 282125 ) ( 185040 282495 )
-    NEW met1 ( 180240 282125 ) ( 185040 282125 )
-    NEW met2 ( 180240 282125 ) ( 180240 288000 )
-    NEW met2 ( 179760 288000 ) ( 180240 288000 )
+  + ROUTED met1 ( 180240 282495 ) ( 185040 282495 )
+    NEW met2 ( 179760 282495 ) ( 180240 282495 )
+    NEW met2 ( 179760 282495 ) ( 179760 296370 0 )
     NEW li1 ( 185040 282495 ) L1M1_PR_MR
-    NEW met1 ( 180240 282125 ) M1M2_PR
+    NEW met1 ( 180240 282495 ) M1M2_PR
 + USE SIGNAL ;
 - io_out[23] ( PIN io_out[23] ) ( output421 X ) 
   + ROUTED met1 ( 187920 282495 ) ( 188880 282495 )
@@ -12683,16 +12674,16 @@
     NEW met1 ( 243120 282495 ) M1M2_PR
 + USE SIGNAL ;
 - io_out[31] ( PIN io_out[31] ) ( output430 X ) 
-  + ROUTED met1 ( 250800 282495 ) ( 253200 282495 )
+  + ROUTED met1 ( 250800 282495 ) ( 252720 282495 )
     NEW met2 ( 250800 282495 ) ( 250800 296370 0 )
-    NEW li1 ( 253200 282495 ) L1M1_PR_MR
     NEW met1 ( 250800 282495 ) M1M2_PR
+    NEW li1 ( 252720 282495 ) L1M1_PR_MR
 + USE SIGNAL ;
 - io_out[32] ( PIN io_out[32] ) ( output431 X ) 
-  + ROUTED met1 ( 258960 278425 ) ( 259440 278425 )
+  + ROUTED met1 ( 258960 278425 ) ( 259920 278425 )
     NEW met2 ( 258960 278425 ) ( 258960 296370 0 )
     NEW met1 ( 258960 278425 ) M1M2_PR
-    NEW li1 ( 259440 278425 ) L1M1_PR_MR
+    NEW li1 ( 259920 278425 ) L1M1_PR_MR
 + USE SIGNAL ;
 - io_out[33] ( PIN io_out[33] ) ( output432 X ) 
   + ROUTED met1 ( 266640 282495 ) ( 269040 282495 )
@@ -12771,7 +12762,7 @@
   + ROUTED met2 ( 65040 3330 0 ) ( 65040 14985 )
     NEW li1 ( 65040 14985 ) L1M1_PR_MR
     NEW met1 ( 65040 14985 ) M1M2_PR
-    NEW met1 ( 65040 14985 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 65040 14985 ) RECT ( 0 -70 355 70 )
 + USE SIGNAL ;
 - la_data_in[100] ( PIN la_data_in[100] ) ( input40 A ) 
   + ROUTED met2 ( 248400 3330 0 ) ( 248400 14985 )
@@ -12905,10 +12896,10 @@
     NEW li1 ( 283920 18315 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_in[118] ( PIN la_data_in[118] ) ( input59 A ) 
-  + ROUTED met2 ( 281520 3330 0 ) ( 281520 17945 )
-    NEW met1 ( 281520 17945 ) ( 287760 17945 )
-    NEW met1 ( 287760 17945 ) ( 287760 18315 )
-    NEW met1 ( 281520 17945 ) M1M2_PR
+  + ROUTED met2 ( 281520 3330 0 ) ( 281520 17575 )
+    NEW met1 ( 281520 17575 ) ( 287760 17575 )
+    NEW met1 ( 287760 17575 ) ( 287760 18315 )
+    NEW met1 ( 281520 17575 ) M1M2_PR
     NEW li1 ( 287760 18315 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_in[119] ( PIN la_data_in[119] ) ( input60 A ) 
@@ -12918,10 +12909,10 @@
     NEW li1 ( 285840 21645 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_in[11] ( PIN la_data_in[11] ) ( input61 A ) 
-  + ROUTED met2 ( 85200 3330 0 ) ( 85200 14985 )
-    NEW met1 ( 85200 14985 ) ( 89040 14985 )
-    NEW li1 ( 89040 14985 ) L1M1_PR_MR
+  + ROUTED met1 ( 85200 14985 ) ( 89040 14985 )
+    NEW met2 ( 85200 3330 0 ) ( 85200 14985 )
     NEW met1 ( 85200 14985 ) M1M2_PR
+    NEW li1 ( 89040 14985 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_in[120] ( PIN la_data_in[120] ) ( input62 A ) 
   + ROUTED met2 ( 285360 3330 0 ) ( 285360 24975 )
@@ -12976,61 +12967,63 @@
     NEW li1 ( 280080 28305 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_in[12] ( PIN la_data_in[12] ) ( input70 A ) 
-  + ROUTED met2 ( 87120 3330 0 ) ( 87120 18315 )
-    NEW met1 ( 87120 18315 ) ( 87600 18315 )
+  + ROUTED met1 ( 87120 18315 ) ( 87600 18315 )
+    NEW met2 ( 87120 3330 0 ) ( 87120 18315 )
     NEW met1 ( 87120 18315 ) M1M2_PR
     NEW li1 ( 87600 18315 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_in[13] ( PIN la_data_in[13] ) ( input71 A ) 
-  + ROUTED met2 ( 88560 3330 0 ) ( 88560 14615 )
-    NEW met1 ( 88560 14615 ) ( 92880 14615 )
-    NEW met1 ( 92880 14615 ) ( 92880 14985 )
+  + ROUTED met1 ( 88560 14615 ) ( 90000 14615 )
+    NEW met1 ( 90000 14615 ) ( 90000 14985 )
+    NEW met1 ( 90000 14985 ) ( 92880 14985 )
+    NEW met2 ( 88560 3330 0 ) ( 88560 14615 )
     NEW met1 ( 88560 14615 ) M1M2_PR
     NEW li1 ( 92880 14985 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_in[14] ( PIN la_data_in[14] ) ( input72 A ) 
-  + ROUTED met2 ( 90480 3330 0 ) ( 90480 18315 )
-    NEW met1 ( 90480 18315 ) ( 91440 18315 )
+  + ROUTED met1 ( 90480 18315 ) ( 91440 18315 )
+    NEW met2 ( 90480 3330 0 ) ( 90480 18315 )
     NEW met1 ( 90480 18315 ) M1M2_PR
     NEW li1 ( 91440 18315 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_in[15] ( PIN la_data_in[15] ) ( input73 A ) 
-  + ROUTED met2 ( 92400 3330 0 ) ( 92400 18315 )
-    NEW met1 ( 92400 18315 ) ( 95280 18315 )
+  + ROUTED met1 ( 92400 18315 ) ( 95280 18315 )
+    NEW met2 ( 92400 3330 0 ) ( 92400 18315 )
     NEW met1 ( 92400 18315 ) M1M2_PR
     NEW li1 ( 95280 18315 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_in[16] ( PIN la_data_in[16] ) ( input74 A ) 
-  + ROUTED met2 ( 94320 3330 0 ) ( 94320 14985 )
-    NEW met1 ( 94320 14985 ) ( 102480 14985 )
-    NEW met1 ( 94320 14985 ) M1M2_PR
+  + ROUTED met2 ( 94320 15170 ) ( 94800 15170 )
+    NEW met2 ( 94800 14985 ) ( 94800 15170 )
+    NEW met1 ( 94800 14985 ) ( 102480 14985 )
+    NEW met2 ( 94320 3330 0 ) ( 94320 15170 )
+    NEW met1 ( 94800 14985 ) M1M2_PR
     NEW li1 ( 102480 14985 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_in[17] ( PIN la_data_in[17] ) ( input75 A ) 
-  + ROUTED met2 ( 96240 3330 0 ) ( 96240 18315 )
-    NEW met1 ( 96240 18315 ) ( 99120 18315 )
+  + ROUTED met1 ( 96240 18315 ) ( 99120 18315 )
+    NEW met2 ( 96240 3330 0 ) ( 96240 18315 )
     NEW met1 ( 96240 18315 ) M1M2_PR
     NEW li1 ( 99120 18315 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_in[18] ( PIN la_data_in[18] ) ( input76 A ) 
-  + ROUTED met2 ( 98160 3330 0 ) ( 98160 14615 )
-    NEW met1 ( 98160 14615 ) ( 102960 14615 )
-    NEW met1 ( 102960 14615 ) ( 102960 14985 )
-    NEW met1 ( 102960 14985 ) ( 106320 14985 )
+  + ROUTED met1 ( 98160 14615 ) ( 106320 14615 )
+    NEW met1 ( 106320 14615 ) ( 106320 14985 )
+    NEW met2 ( 98160 3330 0 ) ( 98160 14615 )
     NEW met1 ( 98160 14615 ) M1M2_PR
     NEW li1 ( 106320 14985 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_in[19] ( PIN la_data_in[19] ) ( input77 A ) 
   + ROUTED met2 ( 99600 3330 0 ) ( 99600 9250 )
     NEW met2 ( 99600 9250 ) ( 100080 9250 )
-    NEW met2 ( 100080 9250 ) ( 100080 18315 )
     NEW met1 ( 100080 18315 ) ( 102960 18315 )
+    NEW met2 ( 100080 9250 ) ( 100080 18315 )
     NEW met1 ( 100080 18315 ) M1M2_PR
     NEW li1 ( 102960 18315 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_in[1] ( PIN la_data_in[1] ) ( input78 A ) 
-  + ROUTED met2 ( 66960 3330 0 ) ( 66960 14985 )
-    NEW met1 ( 66960 14985 ) ( 68880 14985 )
+  + ROUTED met1 ( 66960 14985 ) ( 68880 14985 )
+    NEW met2 ( 66960 3330 0 ) ( 66960 14985 )
     NEW met1 ( 66960 14985 ) M1M2_PR
     NEW li1 ( 68880 14985 ) L1M1_PR_MR
 + USE SIGNAL ;
@@ -13041,8 +13034,8 @@
     NEW met1 ( 101520 21645 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_data_in[21] ( PIN la_data_in[21] ) ( input80 A ) 
-  + ROUTED met2 ( 103440 3330 0 ) ( 103440 18315 )
-    NEW met1 ( 103440 18315 ) ( 106800 18315 )
+  + ROUTED met1 ( 103440 18315 ) ( 106800 18315 )
+    NEW met2 ( 103440 3330 0 ) ( 103440 18315 )
     NEW met1 ( 103440 18315 ) M1M2_PR
     NEW li1 ( 106800 18315 ) L1M1_PR_MR
 + USE SIGNAL ;
@@ -13055,8 +13048,8 @@
 - la_data_in[23] ( PIN la_data_in[23] ) ( input82 A ) 
   + ROUTED met2 ( 107280 3330 0 ) ( 107280 14985 )
     NEW met1 ( 107280 14985 ) ( 115920 14985 )
-    NEW met1 ( 107280 14985 ) M1M2_PR
     NEW li1 ( 115920 14985 ) L1M1_PR_MR
+    NEW met1 ( 107280 14985 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[24] ( PIN la_data_in[24] ) ( input83 A ) 
   + ROUTED met2 ( 109200 3330 0 ) ( 109200 21645 )
@@ -13065,23 +13058,23 @@
     NEW met1 ( 109200 21645 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_data_in[25] ( PIN la_data_in[25] ) ( input84 A ) 
-  + ROUTED met2 ( 110640 3330 0 ) ( 110640 18315 )
-    NEW met1 ( 110640 18315 ) ( 114000 18315 )
+  + ROUTED met1 ( 110640 18315 ) ( 114000 18315 )
+    NEW met2 ( 110640 3330 0 ) ( 110640 18315 )
     NEW met1 ( 110640 18315 ) M1M2_PR
     NEW li1 ( 114000 18315 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_in[26] ( PIN la_data_in[26] ) ( input85 A ) 
-  + ROUTED met2 ( 112560 3330 0 ) ( 112560 14615 )
+  + ROUTED met1 ( 119760 14615 ) ( 119760 14985 )
+    NEW met2 ( 112560 3330 0 ) ( 112560 14615 )
     NEW met1 ( 112560 14615 ) ( 119760 14615 )
-    NEW met1 ( 119760 14615 ) ( 119760 14985 )
-    NEW met1 ( 112560 14615 ) M1M2_PR
     NEW li1 ( 119760 14985 ) L1M1_PR_MR
+    NEW met1 ( 112560 14615 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[27] ( PIN la_data_in[27] ) ( input86 A ) 
   + ROUTED met2 ( 114480 3330 0 ) ( 114480 18315 )
     NEW met1 ( 114480 18315 ) ( 117840 18315 )
-    NEW met1 ( 114480 18315 ) M1M2_PR
     NEW li1 ( 117840 18315 ) L1M1_PR_MR
+    NEW met1 ( 114480 18315 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[28] ( PIN la_data_in[28] ) ( input87 A ) 
   + ROUTED met2 ( 116400 3330 0 ) ( 116400 21645 )
@@ -13117,9 +13110,8 @@
 + USE SIGNAL ;
 - la_data_in[32] ( PIN la_data_in[32] ) ( input92 A ) 
   + ROUTED met2 ( 123600 3330 0 ) ( 123600 17945 )
-    NEW met1 ( 123600 17945 ) ( 126000 17945 )
-    NEW met1 ( 126000 17945 ) ( 126000 18315 )
-    NEW met1 ( 126000 18315 ) ( 129360 18315 )
+    NEW met1 ( 123600 17945 ) ( 129360 17945 )
+    NEW met1 ( 129360 17945 ) ( 129360 18315 )
     NEW met1 ( 123600 17945 ) M1M2_PR
     NEW li1 ( 129360 18315 ) L1M1_PR_MR
 + USE SIGNAL ;
@@ -13168,9 +13160,11 @@
     NEW li1 ( 140400 18315 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_in[3] ( PIN la_data_in[3] ) ( input100 A ) 
-  + ROUTED met2 ( 70320 3330 0 ) ( 70320 18315 )
-    NEW met1 ( 70320 18315 ) ( 72240 18315 )
-    NEW met1 ( 70320 18315 ) M1M2_PR
+  + ROUTED met2 ( 70320 3330 0 ) ( 70320 5550 )
+    NEW met2 ( 70320 5550 ) ( 70800 5550 )
+    NEW met1 ( 70800 18315 ) ( 72240 18315 )
+    NEW met2 ( 70800 5550 ) ( 70800 18315 )
+    NEW met1 ( 70800 18315 ) M1M2_PR
     NEW li1 ( 72240 18315 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_in[40] ( PIN la_data_in[40] ) ( input101 A ) 
@@ -13244,8 +13238,8 @@
 - la_data_in[4] ( PIN la_data_in[4] ) ( input111 A ) 
   + ROUTED met2 ( 72240 3330 0 ) ( 72240 7770 )
     NEW met2 ( 72240 7770 ) ( 72720 7770 )
-    NEW met2 ( 72720 7770 ) ( 72720 14985 )
     NEW met1 ( 72720 14985 ) ( 75600 14985 )
+    NEW met2 ( 72720 7770 ) ( 72720 14985 )
     NEW met1 ( 72720 14985 ) M1M2_PR
     NEW li1 ( 75600 14985 ) L1M1_PR_MR
 + USE SIGNAL ;
@@ -13280,17 +13274,17 @@
     NEW met1 ( 163920 21645 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_data_in[55] ( PIN la_data_in[55] ) ( input117 A ) 
-  + ROUTED met1 ( 166800 14615 ) ( 173520 14615 )
+  + ROUTED met2 ( 165840 3330 0 ) ( 165840 7770 )
+    NEW met2 ( 165840 7770 ) ( 166800 7770 )
+    NEW met2 ( 166800 7770 ) ( 166800 14615 )
+    NEW met1 ( 166800 14615 ) ( 173520 14615 )
     NEW met1 ( 173520 14615 ) ( 173520 14985 )
-    NEW met2 ( 165840 3330 0 ) ( 165840 7030 )
-    NEW met2 ( 165840 7030 ) ( 166800 7030 )
-    NEW met2 ( 166800 7030 ) ( 166800 14615 )
     NEW met1 ( 166800 14615 ) M1M2_PR
     NEW li1 ( 173520 14985 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_in[56] ( PIN la_data_in[56] ) ( input118 A ) 
-  + ROUTED met1 ( 167760 18315 ) ( 170640 18315 )
-    NEW met2 ( 167760 3330 0 ) ( 167760 18315 )
+  + ROUTED met2 ( 167760 3330 0 ) ( 167760 18315 )
+    NEW met1 ( 167760 18315 ) ( 170640 18315 )
     NEW met1 ( 167760 18315 ) M1M2_PR
     NEW li1 ( 170640 18315 ) L1M1_PR_MR
 + USE SIGNAL ;
@@ -13301,20 +13295,20 @@
     NEW met1 ( 169680 21645 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_data_in[58] ( PIN la_data_in[58] ) ( input120 A ) 
-  + ROUTED met1 ( 171600 18315 ) ( 174480 18315 )
-    NEW met2 ( 171600 3330 0 ) ( 171600 18315 )
+  + ROUTED met2 ( 171600 3330 0 ) ( 171600 18315 )
+    NEW met1 ( 171600 18315 ) ( 174480 18315 )
     NEW met1 ( 171600 18315 ) M1M2_PR
     NEW li1 ( 174480 18315 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_in[59] ( PIN la_data_in[59] ) ( input121 A ) 
-  + ROUTED met1 ( 173040 21645 ) ( 173520 21645 )
-    NEW met2 ( 173040 3330 0 ) ( 173040 21645 )
+  + ROUTED met2 ( 173040 3330 0 ) ( 173040 21645 )
+    NEW met1 ( 173040 21645 ) ( 173520 21645 )
     NEW met1 ( 173040 21645 ) M1M2_PR
     NEW li1 ( 173520 21645 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_in[5] ( PIN la_data_in[5] ) ( input122 A ) 
-  + ROUTED met2 ( 74160 3330 0 ) ( 74160 18315 )
-    NEW met1 ( 74160 18315 ) ( 76080 18315 )
+  + ROUTED met1 ( 74160 18315 ) ( 76080 18315 )
+    NEW met2 ( 74160 3330 0 ) ( 74160 18315 )
     NEW met1 ( 74160 18315 ) M1M2_PR
     NEW li1 ( 76080 18315 ) L1M1_PR_MR
 + USE SIGNAL ;
@@ -13328,34 +13322,31 @@
     NEW li1 ( 178320 18315 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_in[61] ( PIN la_data_in[61] ) ( input124 A ) 
-  + ROUTED met1 ( 176880 14985 ) ( 183120 14985 )
-    NEW met2 ( 176880 3330 0 ) ( 176880 6845 )
-    NEW met1 ( 176880 6845 ) ( 176880 8325 )
-    NEW met2 ( 176880 8325 ) ( 176880 14985 )
-    NEW met1 ( 176880 14985 ) M1M2_PR
+  + ROUTED met2 ( 176880 3330 0 ) ( 176880 10915 )
+    NEW met1 ( 176880 10915 ) ( 182160 10915 )
+    NEW met2 ( 182160 10915 ) ( 182160 14985 )
+    NEW met1 ( 182160 14985 ) ( 183120 14985 )
+    NEW met1 ( 176880 10915 ) M1M2_PR
+    NEW met1 ( 182160 10915 ) M1M2_PR
+    NEW met1 ( 182160 14985 ) M1M2_PR
     NEW li1 ( 183120 14985 ) L1M1_PR_MR
-    NEW met1 ( 176880 6845 ) M1M2_PR
-    NEW met1 ( 176880 8325 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[62] ( PIN la_data_in[62] ) ( input125 A ) 
-  + ROUTED met1 ( 178800 18315 ) ( 182160 18315 )
-    NEW met2 ( 178800 3330 0 ) ( 178800 18315 )
+  + ROUTED met2 ( 178800 3330 0 ) ( 178800 18315 )
+    NEW met1 ( 178800 18315 ) ( 182160 18315 )
     NEW met1 ( 178800 18315 ) M1M2_PR
     NEW li1 ( 182160 18315 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_in[63] ( PIN la_data_in[63] ) ( input126 A ) 
-  + ROUTED met1 ( 186480 14985 ) ( 186960 14985 )
-    NEW met2 ( 180720 3330 0 ) ( 180720 6475 )
-    NEW met1 ( 180720 6475 ) ( 186480 6475 )
-    NEW met2 ( 186480 6475 ) ( 186480 14985 )
-    NEW met1 ( 186480 14985 ) M1M2_PR
+  + ROUTED met2 ( 180720 3330 0 ) ( 180720 14615 )
+    NEW met1 ( 180720 14615 ) ( 186960 14615 )
+    NEW met1 ( 186960 14615 ) ( 186960 14985 )
+    NEW met1 ( 180720 14615 ) M1M2_PR
     NEW li1 ( 186960 14985 ) L1M1_PR_MR
-    NEW met1 ( 180720 6475 ) M1M2_PR
-    NEW met1 ( 186480 6475 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[64] ( PIN la_data_in[64] ) ( input127 A ) 
-  + ROUTED met1 ( 182640 18315 ) ( 186000 18315 )
-    NEW met2 ( 182640 3330 0 ) ( 182640 18315 )
+  + ROUTED met2 ( 182640 3330 0 ) ( 182640 18315 )
+    NEW met1 ( 182640 18315 ) ( 186000 18315 )
     NEW met1 ( 182640 18315 ) M1M2_PR
     NEW li1 ( 186000 18315 ) L1M1_PR_MR
 + USE SIGNAL ;
@@ -13367,42 +13358,47 @@
 + USE SIGNAL ;
 - la_data_in[66] ( PIN la_data_in[66] ) ( input129 A ) 
   + ROUTED met1 ( 187200 21645 ) ( 187920 21645 )
+    NEW met2 ( 186000 3330 0 ) ( 186000 21275 )
     NEW met1 ( 186000 21275 ) ( 187200 21275 )
     NEW met1 ( 187200 21275 ) ( 187200 21645 )
-    NEW met2 ( 186000 3330 0 ) ( 186000 21275 )
     NEW li1 ( 187920 21645 ) L1M1_PR_MR
     NEW met1 ( 186000 21275 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_in[67] ( PIN la_data_in[67] ) ( input130 A ) 
-  + ROUTED met1 ( 187920 14615 ) ( 187920 14985 )
+  + ROUTED met2 ( 187920 3330 0 ) ( 187920 14615 )
+    NEW met1 ( 187920 14615 ) ( 187920 14985 )
     NEW met1 ( 187920 14985 ) ( 196560 14985 )
-    NEW met2 ( 187920 3330 0 ) ( 187920 14615 )
     NEW met1 ( 187920 14615 ) M1M2_PR
     NEW li1 ( 196560 14985 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_in[68] ( PIN la_data_in[68] ) ( input131 A ) 
-  + ROUTED met1 ( 189840 18315 ) ( 193200 18315 )
-    NEW met2 ( 189840 3330 0 ) ( 189840 18315 )
+  + ROUTED met2 ( 189840 3330 0 ) ( 189840 18315 )
+    NEW met1 ( 189840 18315 ) ( 193200 18315 )
     NEW met1 ( 189840 18315 ) M1M2_PR
     NEW li1 ( 193200 18315 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_in[69] ( PIN la_data_in[69] ) ( input132 A ) 
-  + ROUTED met1 ( 191760 15355 ) ( 200400 15355 )
-    NEW met1 ( 200400 14985 ) ( 200400 15355 )
-    NEW met2 ( 191760 3330 0 ) ( 191760 15355 )
-    NEW met1 ( 191760 15355 ) M1M2_PR
+  + ROUTED met2 ( 191760 3330 0 ) ( 191760 7770 )
+    NEW met2 ( 191760 7770 ) ( 192240 7770 )
+    NEW met2 ( 192240 7770 ) ( 192240 15355 )
+    NEW met1 ( 192240 15355 ) ( 193680 15355 )
+    NEW met1 ( 193680 15355 ) ( 193680 15725 )
+    NEW met1 ( 193680 15725 ) ( 200400 15725 )
+    NEW met1 ( 200400 14985 ) ( 200400 15725 )
+    NEW met1 ( 192240 15355 ) M1M2_PR
     NEW li1 ( 200400 14985 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_in[6] ( PIN la_data_in[6] ) ( input133 A ) 
-  + ROUTED met2 ( 76080 3330 0 ) ( 76080 18315 )
-    NEW met2 ( 76080 18315 ) ( 76560 18315 )
+  + ROUTED met2 ( 76080 16650 ) ( 76560 16650 )
+    NEW met2 ( 76560 16650 ) ( 76560 18315 )
     NEW met1 ( 76560 18315 ) ( 79920 18315 )
+    NEW met2 ( 76080 3330 0 ) ( 76080 16650 )
     NEW met1 ( 76560 18315 ) M1M2_PR
     NEW li1 ( 79920 18315 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_in[70] ( PIN la_data_in[70] ) ( input134 A ) 
-  + ROUTED met1 ( 193680 18315 ) ( 197040 18315 )
-    NEW met2 ( 193680 3330 0 ) ( 193680 18315 )
+  + ROUTED met2 ( 193680 3330 0 ) ( 193680 18315 )
+    NEW met1 ( 193680 18315 ) ( 197040 18315 )
     NEW met1 ( 193680 18315 ) M1M2_PR
     NEW li1 ( 197040 18315 ) L1M1_PR_MR
 + USE SIGNAL ;
@@ -13413,11 +13409,11 @@
     NEW met1 ( 195120 21645 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_data_in[72] ( PIN la_data_in[72] ) ( input136 A ) 
-  + ROUTED met1 ( 197040 17575 ) ( 197040 17945 )
-    NEW met1 ( 197040 17945 ) ( 200880 17945 )
-    NEW met1 ( 200880 17945 ) ( 200880 18315 )
-    NEW met2 ( 197040 3330 0 ) ( 197040 17575 )
-    NEW met1 ( 197040 17575 ) M1M2_PR
+  + ROUTED met2 ( 197040 3330 0 ) ( 197040 9250 )
+    NEW met2 ( 197040 9250 ) ( 197520 9250 )
+    NEW met2 ( 197520 9250 ) ( 197520 18315 )
+    NEW met1 ( 197520 18315 ) ( 200880 18315 )
+    NEW met1 ( 197520 18315 ) M1M2_PR
     NEW li1 ( 200880 18315 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_in[73] ( PIN la_data_in[73] ) ( input137 A ) 
@@ -13427,37 +13423,37 @@
     NEW met1 ( 198960 21645 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_data_in[74] ( PIN la_data_in[74] ) ( input138 A ) 
-  + ROUTED met1 ( 200880 17575 ) ( 204720 17575 )
+  + ROUTED met2 ( 200880 3330 0 ) ( 200880 17575 )
+    NEW met1 ( 200880 17575 ) ( 204720 17575 )
     NEW met1 ( 204720 17575 ) ( 204720 18315 )
-    NEW met2 ( 200880 3330 0 ) ( 200880 17575 )
     NEW met1 ( 200880 17575 ) M1M2_PR
     NEW li1 ( 204720 18315 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_in[75] ( PIN la_data_in[75] ) ( input139 A ) 
-  + ROUTED met1 ( 202800 14985 ) ( 210000 14985 )
-    NEW met2 ( 202800 3330 0 ) ( 202800 14985 )
+  + ROUTED met2 ( 202800 3330 0 ) ( 202800 14985 )
+    NEW met1 ( 202800 14985 ) ( 210000 14985 )
     NEW met1 ( 202800 14985 ) M1M2_PR
     NEW li1 ( 210000 14985 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_in[76] ( PIN la_data_in[76] ) ( input140 A ) 
-  + ROUTED met1 ( 205200 18315 ) ( 208560 18315 )
-    NEW met2 ( 205200 14400 ) ( 205200 18315 )
-    NEW met2 ( 204720 3330 0 ) ( 204720 14400 )
-    NEW met2 ( 204720 14400 ) ( 205200 14400 )
+  + ROUTED met2 ( 204720 3330 0 ) ( 204720 9250 )
+    NEW met2 ( 204720 9250 ) ( 205200 9250 )
+    NEW met2 ( 205200 9250 ) ( 205200 18315 )
+    NEW met1 ( 205200 18315 ) ( 208560 18315 )
     NEW met1 ( 205200 18315 ) M1M2_PR
     NEW li1 ( 208560 18315 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_in[77] ( PIN la_data_in[77] ) ( input141 A ) 
-  + ROUTED met1 ( 206160 15355 ) ( 213840 15355 )
-    NEW met1 ( 213840 14985 ) ( 213840 15355 )
-    NEW met2 ( 206160 3330 0 ) ( 206160 15355 )
-    NEW met1 ( 206160 15355 ) M1M2_PR
+  + ROUTED met2 ( 206160 3330 0 ) ( 206160 14615 )
+    NEW met1 ( 206160 14615 ) ( 213840 14615 )
+    NEW met1 ( 213840 14615 ) ( 213840 14985 )
+    NEW met1 ( 206160 14615 ) M1M2_PR
     NEW li1 ( 213840 14985 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_in[78] ( PIN la_data_in[78] ) ( input142 A ) 
-  + ROUTED met1 ( 208080 17575 ) ( 212400 17575 )
+  + ROUTED met2 ( 208080 3330 0 ) ( 208080 17575 )
+    NEW met1 ( 208080 17575 ) ( 212400 17575 )
     NEW met1 ( 212400 17575 ) ( 212400 18315 )
-    NEW met2 ( 208080 3330 0 ) ( 208080 17575 )
     NEW met1 ( 208080 17575 ) M1M2_PR
     NEW li1 ( 212400 18315 ) L1M1_PR_MR
 + USE SIGNAL ;
@@ -13474,29 +13470,25 @@
     NEW met1 ( 77520 21645 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_data_in[80] ( PIN la_data_in[80] ) ( input145 A ) 
-  + ROUTED met1 ( 211920 21645 ) ( 213840 21645 )
-    NEW met2 ( 211920 3330 0 ) ( 211920 21645 )
+  + ROUTED met2 ( 211920 3330 0 ) ( 211920 21645 )
+    NEW met1 ( 211920 21645 ) ( 213840 21645 )
     NEW met1 ( 211920 21645 ) M1M2_PR
     NEW li1 ( 213840 21645 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_in[81] ( PIN la_data_in[81] ) ( input146 A ) 
-  + ROUTED met1 ( 213840 18315 ) ( 219600 18315 )
-    NEW met2 ( 213840 3330 0 ) ( 213840 18315 )
+  + ROUTED met2 ( 213840 3330 0 ) ( 213840 18315 )
+    NEW met1 ( 213840 18315 ) ( 219600 18315 )
     NEW met1 ( 213840 18315 ) M1M2_PR
     NEW li1 ( 219600 18315 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_in[82] ( PIN la_data_in[82] ) ( input147 A ) 
-  + ROUTED met1 ( 215280 14985 ) ( 223440 14985 )
-    NEW met2 ( 215280 3330 0 ) ( 215280 14985 )
+  + ROUTED met2 ( 215280 3330 0 ) ( 215280 14985 )
+    NEW met1 ( 215280 14985 ) ( 223440 14985 )
     NEW met1 ( 215280 14985 ) M1M2_PR
     NEW li1 ( 223440 14985 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_in[83] ( PIN la_data_in[83] ) ( input148 A ) 
-  + ROUTED met2 ( 217200 3330 0 ) ( 217200 10730 )
-    NEW met2 ( 217200 10730 ) ( 217680 10730 )
-    NEW met2 ( 217680 10730 ) ( 217680 13690 )
-    NEW met2 ( 217200 13690 ) ( 217680 13690 )
-    NEW met2 ( 217200 13690 ) ( 217200 21645 )
+  + ROUTED met2 ( 217200 3330 0 ) ( 217200 21645 )
     NEW met1 ( 217200 21645 ) ( 217680 21645 )
     NEW met1 ( 217200 21645 ) M1M2_PR
     NEW li1 ( 217680 21645 ) L1M1_PR_MR
@@ -13509,17 +13501,17 @@
     NEW li1 ( 223440 18315 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_in[85] ( PIN la_data_in[85] ) ( input150 A ) 
-  + ROUTED met2 ( 221040 3330 0 ) ( 221040 14615 )
-    NEW met1 ( 221040 14615 ) ( 227280 14615 )
-    NEW met1 ( 227280 14615 ) ( 227280 14985 )
-    NEW met1 ( 221040 14615 ) M1M2_PR
+  + ROUTED met2 ( 221040 3330 0 ) ( 221040 15355 )
+    NEW met1 ( 221040 15355 ) ( 227280 15355 )
+    NEW met1 ( 227280 14985 ) ( 227280 15355 )
+    NEW met1 ( 221040 15355 ) M1M2_PR
     NEW li1 ( 227280 14985 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_in[86] ( PIN la_data_in[86] ) ( input151 A ) 
-  + ROUTED met2 ( 222960 3330 0 ) ( 222960 18685 )
-    NEW met1 ( 222960 18685 ) ( 227280 18685 )
-    NEW met1 ( 227280 18315 ) ( 227280 18685 )
-    NEW met1 ( 222960 18685 ) M1M2_PR
+  + ROUTED met2 ( 222960 3330 0 ) ( 222960 17575 )
+    NEW met1 ( 222960 17575 ) ( 227280 17575 )
+    NEW met1 ( 227280 17575 ) ( 227280 18315 )
+    NEW met1 ( 222960 17575 ) M1M2_PR
     NEW li1 ( 227280 18315 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_in[87] ( PIN la_data_in[87] ) ( input152 A ) 
@@ -13529,10 +13521,10 @@
     NEW met1 ( 224880 21645 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_data_in[88] ( PIN la_data_in[88] ) ( input153 A ) 
-  + ROUTED met2 ( 226320 3330 0 ) ( 226320 17945 )
-    NEW met1 ( 226320 17945 ) ( 231120 17945 )
-    NEW met1 ( 231120 17945 ) ( 231120 18315 )
-    NEW met1 ( 226320 17945 ) M1M2_PR
+  + ROUTED met2 ( 226320 3330 0 ) ( 226320 18685 )
+    NEW met1 ( 226320 18685 ) ( 231120 18685 )
+    NEW met1 ( 231120 18315 ) ( 231120 18685 )
+    NEW met1 ( 226320 18685 ) M1M2_PR
     NEW li1 ( 231120 18315 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_in[89] ( PIN la_data_in[89] ) ( input154 A ) 
@@ -13544,16 +13536,16 @@
 - la_data_in[8] ( PIN la_data_in[8] ) ( input155 A ) 
   + ROUTED met2 ( 79440 3330 0 ) ( 79440 10730 )
     NEW met2 ( 79440 10730 ) ( 79920 10730 )
-    NEW met2 ( 79920 10730 ) ( 79920 21645 )
     NEW met1 ( 79920 21645 ) ( 81360 21645 )
+    NEW met2 ( 79920 10730 ) ( 79920 21645 )
     NEW met1 ( 79920 21645 ) M1M2_PR
     NEW li1 ( 81360 21645 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_in[90] ( PIN la_data_in[90] ) ( input156 A ) 
-  + ROUTED met2 ( 230160 3330 0 ) ( 230160 17575 )
-    NEW met1 ( 230160 17575 ) ( 234960 17575 )
-    NEW met1 ( 234960 17575 ) ( 234960 18315 )
-    NEW met1 ( 230160 17575 ) M1M2_PR
+  + ROUTED met2 ( 230160 3330 0 ) ( 230160 17945 )
+    NEW met1 ( 230160 17945 ) ( 234960 17945 )
+    NEW met1 ( 234960 17945 ) ( 234960 18315 )
+    NEW met1 ( 230160 17945 ) M1M2_PR
     NEW li1 ( 234960 18315 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_in[91] ( PIN la_data_in[91] ) ( input157 A ) 
@@ -13603,14 +13595,11 @@
     NEW li1 ( 245520 21645 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_in[98] ( PIN la_data_in[98] ) ( input164 A ) 
-  + ROUTED met2 ( 245040 3330 0 ) ( 245040 14615 )
-    NEW met1 ( 245040 14615 ) ( 249360 14615 )
-    NEW met2 ( 249360 14615 ) ( 249360 21645 )
-    NEW met1 ( 245040 14615 ) M1M2_PR
-    NEW met1 ( 249360 14615 ) M1M2_PR
+  + ROUTED met2 ( 245040 3330 0 ) ( 245040 20905 )
+    NEW met1 ( 245040 20905 ) ( 249360 20905 )
+    NEW met1 ( 249360 20905 ) ( 249360 21645 )
+    NEW met1 ( 245040 20905 ) M1M2_PR
     NEW li1 ( 249360 21645 ) L1M1_PR_MR
-    NEW met1 ( 249360 21645 ) M1M2_PR
-    NEW met1 ( 249360 21645 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_data_in[99] ( PIN la_data_in[99] ) ( input165 A ) 
   + ROUTED met2 ( 246960 3330 0 ) ( 246960 24975 )
@@ -13619,77 +13608,76 @@
     NEW met1 ( 246960 24975 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_data_in[9] ( PIN la_data_in[9] ) ( input166 A ) 
-  + ROUTED met2 ( 81360 3330 0 ) ( 81360 10915 )
-    NEW met1 ( 81360 10915 ) ( 82320 10915 )
-    NEW met2 ( 82320 10915 ) ( 82320 21645 )
-    NEW met1 ( 82320 21645 ) ( 85200 21645 )
-    NEW met1 ( 81360 10915 ) M1M2_PR
-    NEW met1 ( 82320 10915 ) M1M2_PR
-    NEW met1 ( 82320 21645 ) M1M2_PR
+  + ROUTED met1 ( 81360 20905 ) ( 85200 20905 )
+    NEW met1 ( 85200 20905 ) ( 85200 21645 )
+    NEW met2 ( 81360 3330 0 ) ( 81360 20905 )
+    NEW met1 ( 81360 20905 ) M1M2_PR
     NEW li1 ( 85200 21645 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[0] ( PIN la_data_out[0] ) ( output444 X ) 
-  + ROUTED met2 ( 65520 3330 0 ) ( 65520 30525 )
-    NEW met1 ( 65520 30525 ) ( 69360 30525 )
-    NEW met1 ( 65520 30525 ) M1M2_PR
+  + ROUTED met1 ( 65520 14615 ) ( 69360 14615 )
+    NEW met2 ( 69360 14615 ) ( 69360 30525 )
+    NEW met2 ( 65520 3330 0 ) ( 65520 14615 )
+    NEW met1 ( 65520 14615 ) M1M2_PR
+    NEW met1 ( 69360 14615 ) M1M2_PR
     NEW li1 ( 69360 30525 ) L1M1_PR_MR
+    NEW met1 ( 69360 30525 ) M1M2_PR
+    NEW met1 ( 69360 30525 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_data_out[100] ( PIN la_data_out[100] ) ( output445 X ) 
-  + ROUTED met2 ( 249360 3330 0 ) ( 249360 13690 )
-    NEW met2 ( 248880 13690 ) ( 249360 13690 )
-    NEW met2 ( 248880 13690 ) ( 248880 30525 )
-    NEW met1 ( 248880 30525 ) ( 254640 30525 )
-    NEW met1 ( 248880 30525 ) M1M2_PR
+  + ROUTED met2 ( 249360 3330 0 ) ( 249360 30525 )
+    NEW met1 ( 249360 30525 ) ( 254640 30525 )
+    NEW met1 ( 249360 30525 ) M1M2_PR
     NEW li1 ( 254640 30525 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[101] ( PIN la_data_out[101] ) ( output446 X ) 
   + ROUTED met2 ( 250800 3330 0 ) ( 250800 34595 )
-    NEW met1 ( 250800 34595 ) ( 251280 34595 )
+    NEW met1 ( 250800 34595 ) ( 251760 34595 )
     NEW met1 ( 250800 34595 ) M1M2_PR
-    NEW li1 ( 251280 34595 ) L1M1_PR_MR
+    NEW li1 ( 251760 34595 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[102] ( PIN la_data_out[102] ) ( output447 X ) 
   + ROUTED met2 ( 252720 3330 0 ) ( 252720 8325 )
     NEW met1 ( 252720 8325 ) ( 255600 8325 )
     NEW met2 ( 255600 8325 ) ( 255600 30525 )
-    NEW met1 ( 255600 30525 ) ( 258480 30525 )
+    NEW met1 ( 255600 30525 ) ( 258000 30525 )
     NEW met1 ( 252720 8325 ) M1M2_PR
     NEW met1 ( 255600 8325 ) M1M2_PR
     NEW met1 ( 255600 30525 ) M1M2_PR
-    NEW li1 ( 258480 30525 ) L1M1_PR_MR
+    NEW li1 ( 258000 30525 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[103] ( PIN la_data_out[103] ) ( output448 X ) 
   + ROUTED met2 ( 254640 3330 0 ) ( 254640 7955 )
     NEW met1 ( 254640 7955 ) ( 258000 7955 )
-    NEW met2 ( 258000 7955 ) ( 258000 30895 )
-    NEW met1 ( 258000 30895 ) ( 261840 30895 )
-    NEW met1 ( 261840 30525 ) ( 261840 30895 )
+    NEW met2 ( 258000 7955 ) ( 258000 31265 )
+    NEW met1 ( 258000 31265 ) ( 261840 31265 )
+    NEW met1 ( 261840 30525 ) ( 261840 31265 )
     NEW met1 ( 254640 7955 ) M1M2_PR
     NEW met1 ( 258000 7955 ) M1M2_PR
-    NEW met1 ( 258000 30895 ) M1M2_PR
+    NEW met1 ( 258000 31265 ) M1M2_PR
     NEW li1 ( 261840 30525 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[104] ( PIN la_data_out[104] ) ( output449 X ) 
   + ROUTED met2 ( 256560 3330 0 ) ( 256560 17390 )
     NEW met2 ( 256560 17390 ) ( 257040 17390 )
     NEW met2 ( 257040 17390 ) ( 257040 34595 )
-    NEW met1 ( 257040 34595 ) ( 259920 34595 )
+    NEW met1 ( 257040 34595 ) ( 260400 34595 )
     NEW met1 ( 257040 34595 ) M1M2_PR
-    NEW li1 ( 259920 34595 ) L1M1_PR_MR
+    NEW li1 ( 260400 34595 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[105] ( PIN la_data_out[105] ) ( output450 X ) 
-  + ROUTED met1 ( 258480 37185 ) ( 259440 37185 )
+  + ROUTED met1 ( 258480 37185 ) ( 258960 37185 )
     NEW met2 ( 258480 3330 0 ) ( 258480 37185 )
     NEW met1 ( 258480 37185 ) M1M2_PR
-    NEW li1 ( 259440 37185 ) L1M1_PR_MR
+    NEW li1 ( 258960 37185 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[106] ( PIN la_data_out[106] ) ( output451 X ) 
-  + ROUTED met2 ( 260400 3330 0 ) ( 260400 16095 )
-    NEW met1 ( 260400 16095 ) ( 262320 16095 )
-    NEW met2 ( 262320 16095 ) ( 262320 34595 )
+  + ROUTED met2 ( 260400 3330 0 ) ( 260400 17575 )
+    NEW met1 ( 260400 17575 ) ( 262320 17575 )
+    NEW met2 ( 262320 17575 ) ( 262320 34595 )
     NEW met1 ( 262320 34595 ) ( 263760 34595 )
-    NEW met1 ( 260400 16095 ) M1M2_PR
-    NEW met1 ( 262320 16095 ) M1M2_PR
+    NEW met1 ( 260400 17575 ) M1M2_PR
+    NEW met1 ( 262320 17575 ) M1M2_PR
     NEW met1 ( 262320 34595 ) M1M2_PR
     NEW li1 ( 263760 34595 ) L1M1_PR_MR
 + USE SIGNAL ;
@@ -13706,19 +13694,17 @@
     NEW li1 ( 266640 37185 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[109] ( PIN la_data_out[109] ) ( output454 X ) 
-  + ROUTED met1 ( 265680 41255 ) ( 266160 41255 )
-    NEW met2 ( 265680 3330 0 ) ( 265680 41255 )
-    NEW met1 ( 265680 41255 ) M1M2_PR
-    NEW li1 ( 266160 41255 ) L1M1_PR_MR
+  + ROUTED met1 ( 265680 40885 ) ( 266640 40885 )
+    NEW met1 ( 266640 40885 ) ( 266640 41255 )
+    NEW met2 ( 265680 3330 0 ) ( 265680 40885 )
+    NEW met1 ( 265680 40885 ) M1M2_PR
+    NEW li1 ( 266640 41255 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[10] ( PIN la_data_out[10] ) ( output455 X ) 
-  + ROUTED met1 ( 88080 30525 ) ( 88080 30895 )
-    NEW met2 ( 83760 3330 0 ) ( 83760 9250 )
-    NEW met2 ( 83760 9250 ) ( 84240 9250 )
-    NEW met2 ( 84240 9250 ) ( 84240 30895 )
-    NEW met1 ( 84240 30895 ) ( 88080 30895 )
+  + ROUTED met1 ( 83760 30525 ) ( 88080 30525 )
+    NEW met2 ( 83760 3330 0 ) ( 83760 30525 )
+    NEW met1 ( 83760 30525 ) M1M2_PR
     NEW li1 ( 88080 30525 ) L1M1_PR_MR
-    NEW met1 ( 84240 30895 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[110] ( PIN la_data_out[110] ) ( output456 X ) 
   + ROUTED met1 ( 267600 41255 ) ( 270000 41255 )
@@ -13727,43 +13713,44 @@
     NEW li1 ( 270000 41255 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[111] ( PIN la_data_out[111] ) ( output457 X ) 
-  + ROUTED met1 ( 269520 43845 ) ( 272880 43845 )
-    NEW met2 ( 269520 3330 0 ) ( 269520 43845 )
-    NEW met1 ( 269520 43845 ) M1M2_PR
-    NEW li1 ( 272880 43845 ) L1M1_PR_MR
+  + ROUTED met1 ( 269520 45325 ) ( 273360 45325 )
+    NEW met2 ( 269520 3330 0 ) ( 269520 45325 )
+    NEW met1 ( 269520 45325 ) M1M2_PR
+    NEW li1 ( 273360 45325 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[112] ( PIN la_data_out[112] ) ( output458 X ) 
-  + ROUTED met1 ( 271440 47915 ) ( 271920 47915 )
-    NEW met2 ( 271440 3330 0 ) ( 271440 47915 )
-    NEW met1 ( 271440 47915 ) M1M2_PR
-    NEW li1 ( 271920 47915 ) L1M1_PR_MR
+  + ROUTED met1 ( 271440 47545 ) ( 272400 47545 )
+    NEW met1 ( 272400 47545 ) ( 272400 47915 )
+    NEW met2 ( 271440 3330 0 ) ( 271440 47545 )
+    NEW met1 ( 271440 47545 ) M1M2_PR
+    NEW li1 ( 272400 47915 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[113] ( PIN la_data_out[113] ) ( output459 X ) 
-  + ROUTED met2 ( 272880 3330 0 ) ( 272880 36000 )
-    NEW met2 ( 272880 36000 ) ( 273360 36000 )
-    NEW met2 ( 273360 36000 ) ( 273360 43845 )
-    NEW met1 ( 273360 43845 ) ( 276720 43845 )
-    NEW met1 ( 273360 43845 ) M1M2_PR
-    NEW li1 ( 276720 43845 ) L1M1_PR_MR
+  + ROUTED met1 ( 272880 43845 ) ( 272880 44215 )
+    NEW met1 ( 272880 44215 ) ( 277200 44215 )
+    NEW met1 ( 277200 43845 ) ( 277200 44215 )
+    NEW met2 ( 272880 3330 0 ) ( 272880 43845 )
+    NEW met1 ( 272880 43845 ) M1M2_PR
+    NEW li1 ( 277200 43845 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[114] ( PIN la_data_out[114] ) ( output460 X ) 
-  + ROUTED met1 ( 274800 47545 ) ( 276240 47545 )
-    NEW met1 ( 276240 47545 ) ( 276240 47915 )
-    NEW met2 ( 274800 3330 0 ) ( 274800 47545 )
-    NEW met1 ( 274800 47545 ) M1M2_PR
-    NEW li1 ( 276240 47915 ) L1M1_PR_MR
+  + ROUTED met1 ( 274800 47915 ) ( 275760 47915 )
+    NEW met2 ( 274800 3330 0 ) ( 274800 47915 )
+    NEW met1 ( 274800 47915 ) M1M2_PR
+    NEW li1 ( 275760 47915 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[115] ( PIN la_data_out[115] ) ( output461 X ) 
-  + ROUTED met1 ( 276720 47915 ) ( 279600 47915 )
-    NEW met2 ( 276720 3330 0 ) ( 276720 47915 )
-    NEW met1 ( 276720 47915 ) M1M2_PR
-    NEW li1 ( 279600 47915 ) L1M1_PR_MR
+  + ROUTED met1 ( 276720 47545 ) ( 280080 47545 )
+    NEW met1 ( 280080 47545 ) ( 280080 47915 )
+    NEW met2 ( 276720 3330 0 ) ( 276720 47545 )
+    NEW met1 ( 276720 47545 ) M1M2_PR
+    NEW li1 ( 280080 47915 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[116] ( PIN la_data_out[116] ) ( output462 X ) 
-  + ROUTED met1 ( 278640 50505 ) ( 279600 50505 )
+  + ROUTED met1 ( 278640 50505 ) ( 279120 50505 )
     NEW met2 ( 278640 3330 0 ) ( 278640 50505 )
     NEW met1 ( 278640 50505 ) M1M2_PR
-    NEW li1 ( 279600 50505 ) L1M1_PR_MR
+    NEW li1 ( 279120 50505 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[117] ( PIN la_data_out[117] ) ( output463 X ) 
   + ROUTED met1 ( 280560 50505 ) ( 282960 50505 )
@@ -13772,71 +13759,67 @@
     NEW li1 ( 282960 50505 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[118] ( PIN la_data_out[118] ) ( output464 X ) 
-  + ROUTED met1 ( 282480 50875 ) ( 287280 50875 )
-    NEW met1 ( 287280 50505 ) ( 287280 50875 )
-    NEW met2 ( 282480 3330 0 ) ( 282480 50875 )
-    NEW met1 ( 282480 50875 ) M1M2_PR
-    NEW li1 ( 287280 50505 ) L1M1_PR_MR
+  + ROUTED met2 ( 282480 3330 0 ) ( 282480 18130 )
+    NEW met2 ( 281520 18130 ) ( 282480 18130 )
+    NEW met1 ( 281520 52355 ) ( 286800 52355 )
+    NEW met1 ( 286800 51985 ) ( 286800 52355 )
+    NEW met2 ( 281520 18130 ) ( 281520 52355 )
+    NEW met1 ( 281520 52355 ) M1M2_PR
+    NEW li1 ( 286800 51985 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[119] ( PIN la_data_out[119] ) ( output465 X ) 
-  + ROUTED met1 ( 283920 54575 ) ( 286320 54575 )
-    NEW met2 ( 283920 3330 0 ) ( 283920 54575 )
-    NEW met1 ( 283920 54575 ) M1M2_PR
+  + ROUTED met2 ( 283920 3330 0 ) ( 283920 22570 )
+    NEW met2 ( 282960 22570 ) ( 283920 22570 )
+    NEW met1 ( 282960 54575 ) ( 286320 54575 )
+    NEW met2 ( 282960 22570 ) ( 282960 54575 )
+    NEW met1 ( 282960 54575 ) M1M2_PR
     NEW li1 ( 286320 54575 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[11] ( PIN la_data_out[11] ) ( output466 X ) 
-  + ROUTED met2 ( 85680 3330 0 ) ( 85680 34595 )
-    NEW met1 ( 85680 34595 ) ( 86160 34595 )
+  + ROUTED met1 ( 85680 34595 ) ( 86160 34595 )
+    NEW met2 ( 85680 3330 0 ) ( 85680 34595 )
     NEW met1 ( 85680 34595 ) M1M2_PR
     NEW li1 ( 86160 34595 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[120] ( PIN la_data_out[120] ) ( output467 X ) 
-  + ROUTED met2 ( 285840 3330 0 ) ( 285840 17575 )
-    NEW met1 ( 282000 17575 ) ( 285840 17575 )
-    NEW met2 ( 282000 17575 ) ( 282000 18315 )
-    NEW met2 ( 281520 18315 ) ( 282000 18315 )
-    NEW met1 ( 281520 57165 ) ( 286800 57165 )
-    NEW met2 ( 281520 18315 ) ( 281520 57165 )
-    NEW met1 ( 285840 17575 ) M1M2_PR
-    NEW met1 ( 282000 17575 ) M1M2_PR
-    NEW met1 ( 281520 57165 ) M1M2_PR
+  + ROUTED met1 ( 285840 57165 ) ( 286800 57165 )
+    NEW met2 ( 285840 3330 0 ) ( 285840 57165 )
+    NEW met1 ( 285840 57165 ) M1M2_PR
     NEW li1 ( 286800 57165 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[121] ( PIN la_data_out[121] ) ( output468 X ) 
-  + ROUTED met1 ( 288720 60865 ) ( 288720 61235 )
-    NEW met2 ( 287760 3330 0 ) ( 287760 60865 )
-    NEW met1 ( 287760 60865 ) ( 288720 60865 )
-    NEW li1 ( 288720 61235 ) L1M1_PR_MR
-    NEW met1 ( 287760 60865 ) M1M2_PR
+  + ROUTED met2 ( 287760 3330 0 ) ( 287760 61235 )
+    NEW met1 ( 287760 61235 ) ( 288240 61235 )
+    NEW li1 ( 288240 61235 ) L1M1_PR_MR
+    NEW met1 ( 287760 61235 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[122] ( PIN la_data_out[122] ) ( output469 X ) 
-  + ROUTED met2 ( 289680 3330 0 ) ( 289680 20535 )
-    NEW met1 ( 279600 20535 ) ( 289680 20535 )
-    NEW met1 ( 266720 44955 ) ( 279600 44955 )
-    NEW met2 ( 279600 20535 ) ( 279600 44955 )
-    NEW met1 ( 289680 20535 ) M1M2_PR
-    NEW met1 ( 279600 20535 ) M1M2_PR
-    NEW met1 ( 279600 44955 ) M1M2_PR
-    NEW li1 ( 266720 44955 ) L1M1_PR_MR
+  + ROUTED met2 ( 289680 3330 0 ) ( 289680 20905 )
+    NEW met1 ( 280080 20905 ) ( 289680 20905 )
+    NEW met1 ( 270960 45695 ) ( 280080 45695 )
+    NEW li1 ( 270960 44215 ) ( 270960 45695 )
+    NEW met1 ( 267120 44215 ) ( 270960 44215 )
+    NEW met1 ( 267120 43845 ) ( 267120 44215 )
+    NEW met2 ( 280080 20905 ) ( 280080 45695 )
+    NEW met1 ( 289680 20905 ) M1M2_PR
+    NEW met1 ( 280080 20905 ) M1M2_PR
+    NEW met1 ( 280080 45695 ) M1M2_PR
+    NEW li1 ( 270960 45695 ) L1M1_PR_MR
+    NEW li1 ( 270960 44215 ) L1M1_PR_MR
+    NEW li1 ( 267120 43845 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[123] ( PIN la_data_out[123] ) ( output470 X ) 
-  + ROUTED met2 ( 291600 3330 0 ) ( 291600 16095 )
-    NEW met1 ( 284400 16095 ) ( 291600 16095 )
-    NEW met1 ( 280080 54205 ) ( 284400 54205 )
-    NEW met1 ( 280080 54205 ) ( 280080 54575 )
-    NEW met2 ( 284400 16095 ) ( 284400 54205 )
-    NEW met1 ( 291600 16095 ) M1M2_PR
-    NEW met1 ( 284400 16095 ) M1M2_PR
-    NEW met1 ( 284400 54205 ) M1M2_PR
-    NEW li1 ( 280080 54575 ) L1M1_PR_MR
+  + ROUTED met2 ( 291600 3330 0 ) ( 291600 56055 )
+    NEW met1 ( 280080 56055 ) ( 291600 56055 )
+    NEW met1 ( 291600 56055 ) M1M2_PR
+    NEW li1 ( 280080 56055 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[124] ( PIN la_data_out[124] ) ( output471 X ) 
-  + ROUTED met2 ( 293040 3330 0 ) ( 293040 52725 )
-    NEW met1 ( 288000 52725 ) ( 293040 52725 )
-    NEW met1 ( 288000 51985 ) ( 288000 52725 )
-    NEW met1 ( 275760 51985 ) ( 288000 51985 )
-    NEW met1 ( 293040 52725 ) M1M2_PR
-    NEW li1 ( 275760 51985 ) L1M1_PR_MR
+  + ROUTED met2 ( 293040 3330 0 ) ( 293040 50875 )
+    NEW met1 ( 275760 50505 ) ( 275760 50875 )
+    NEW met1 ( 275760 50875 ) ( 293040 50875 )
+    NEW met1 ( 293040 50875 ) M1M2_PR
+    NEW li1 ( 275760 50505 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[125] ( PIN la_data_out[125] ) ( output472 X ) 
   + ROUTED met2 ( 294960 3330 0 ) ( 294960 42735 )
@@ -13846,96 +13829,92 @@
 + USE SIGNAL ;
 - la_data_out[126] ( PIN la_data_out[126] ) ( output473 X ) 
   + ROUTED met2 ( 296880 3330 0 ) ( 296880 37555 )
-    NEW met1 ( 255120 37185 ) ( 255120 37555 )
-    NEW met1 ( 255120 37555 ) ( 296880 37555 )
+    NEW met1 ( 255600 37185 ) ( 255600 37555 )
+    NEW met1 ( 255600 37555 ) ( 296880 37555 )
     NEW met1 ( 296880 37555 ) M1M2_PR
-    NEW li1 ( 255120 37185 ) L1M1_PR_MR
+    NEW li1 ( 255600 37185 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[127] ( PIN la_data_out[127] ) ( output474 X ) 
-  + ROUTED met2 ( 298800 3330 0 ) ( 298800 57905 )
-    NEW met1 ( 288000 57905 ) ( 298800 57905 )
+  + ROUTED met2 ( 298800 3330 0 ) ( 298800 32375 )
+    NEW met1 ( 290640 32375 ) ( 298800 32375 )
+    NEW met2 ( 290640 32375 ) ( 290640 57905 )
+    NEW met1 ( 288000 57905 ) ( 290640 57905 )
     NEW met1 ( 288000 57905 ) ( 288000 58645 )
     NEW met1 ( 282960 58645 ) ( 288000 58645 )
-    NEW met1 ( 298800 57905 ) M1M2_PR
+    NEW met1 ( 298800 32375 ) M1M2_PR
+    NEW met1 ( 290640 32375 ) M1M2_PR
+    NEW met1 ( 290640 57905 ) M1M2_PR
     NEW li1 ( 282960 58645 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[12] ( PIN la_data_out[12] ) ( output475 X ) 
-  + ROUTED met2 ( 87600 3330 0 ) ( 87600 16095 )
-    NEW met1 ( 87600 16095 ) ( 91920 16095 )
-    NEW met2 ( 91920 16095 ) ( 91920 30525 )
-    NEW met1 ( 87600 16095 ) M1M2_PR
-    NEW met1 ( 91920 16095 ) M1M2_PR
+  + ROUTED met1 ( 87600 30895 ) ( 91920 30895 )
+    NEW met1 ( 91920 30525 ) ( 91920 30895 )
+    NEW met2 ( 87600 3330 0 ) ( 87600 30895 )
+    NEW met1 ( 87600 30895 ) M1M2_PR
     NEW li1 ( 91920 30525 ) L1M1_PR_MR
-    NEW met1 ( 91920 30525 ) M1M2_PR
-    NEW met1 ( 91920 30525 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_data_out[13] ( PIN la_data_out[13] ) ( output476 X ) 
-  + ROUTED met2 ( 89520 3330 0 ) ( 89520 34595 )
-    NEW met1 ( 89520 34595 ) ( 90000 34595 )
+  + ROUTED met1 ( 89520 34595 ) ( 90000 34595 )
+    NEW met2 ( 89520 3330 0 ) ( 89520 34595 )
     NEW met1 ( 89520 34595 ) M1M2_PR
     NEW li1 ( 90000 34595 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[14] ( PIN la_data_out[14] ) ( output477 X ) 
-  + ROUTED met2 ( 91440 3330 0 ) ( 91440 30895 )
-    NEW met1 ( 91440 30895 ) ( 92400 30895 )
-    NEW met1 ( 92400 30525 ) ( 92400 30895 )
+  + ROUTED met2 ( 91440 22570 ) ( 92400 22570 )
+    NEW met2 ( 92400 22570 ) ( 92400 30525 )
     NEW met1 ( 92400 30525 ) ( 95760 30525 )
-    NEW met1 ( 91440 30895 ) M1M2_PR
+    NEW met2 ( 91440 3330 0 ) ( 91440 22570 )
+    NEW met1 ( 92400 30525 ) M1M2_PR
     NEW li1 ( 95760 30525 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[15] ( PIN la_data_out[15] ) ( output478 X ) 
-  + ROUTED met2 ( 92880 3330 0 ) ( 92880 34595 )
-    NEW met1 ( 92880 34595 ) ( 93840 34595 )
+  + ROUTED met1 ( 92880 34595 ) ( 93840 34595 )
+    NEW met2 ( 92880 3330 0 ) ( 92880 34595 )
     NEW met1 ( 92880 34595 ) M1M2_PR
     NEW li1 ( 93840 34595 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[16] ( PIN la_data_out[16] ) ( output479 X ) 
-  + ROUTED met2 ( 94800 3330 0 ) ( 94800 30895 )
+  + ROUTED met2 ( 94800 3330 0 ) ( 94800 14400 )
+    NEW met2 ( 94800 14400 ) ( 95280 14400 )
+    NEW met2 ( 95280 14400 ) ( 95280 15725 )
+    NEW met2 ( 94800 15725 ) ( 95280 15725 )
+    NEW met2 ( 94800 15725 ) ( 94800 30895 )
     NEW met1 ( 94800 30895 ) ( 99600 30895 )
     NEW met1 ( 99600 30525 ) ( 99600 30895 )
     NEW met1 ( 94800 30895 ) M1M2_PR
     NEW li1 ( 99600 30525 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[17] ( PIN la_data_out[17] ) ( output480 X ) 
-  + ROUTED met2 ( 96720 3330 0 ) ( 96720 31635 )
-    NEW met1 ( 96720 31635 ) ( 103440 31635 )
+  + ROUTED met1 ( 96720 31635 ) ( 103440 31635 )
     NEW met1 ( 103440 31635 ) ( 103440 32005 )
+    NEW met2 ( 96720 3330 0 ) ( 96720 31635 )
     NEW met1 ( 96720 31635 ) M1M2_PR
     NEW li1 ( 103440 32005 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[18] ( PIN la_data_out[18] ) ( output481 X ) 
-  + ROUTED met2 ( 98640 3330 0 ) ( 98640 16095 )
-    NEW met1 ( 98640 16095 ) ( 102000 16095 )
-    NEW met2 ( 102000 16095 ) ( 102000 34595 )
-    NEW met1 ( 98640 16095 ) M1M2_PR
-    NEW met1 ( 102000 16095 ) M1M2_PR
-    NEW li1 ( 102000 34595 ) L1M1_PR_MR
-    NEW met1 ( 102000 34595 ) M1M2_PR
-    NEW met1 ( 102000 34595 ) RECT ( -355 -70 0 70 )
+  + ROUTED met2 ( 97680 15910 ) ( 98640 15910 )
+    NEW met2 ( 97680 15910 ) ( 97680 34595 )
+    NEW met1 ( 97680 34595 ) ( 101520 34595 )
+    NEW met2 ( 98640 3330 0 ) ( 98640 15910 )
+    NEW met1 ( 97680 34595 ) M1M2_PR
+    NEW li1 ( 101520 34595 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[19] ( PIN la_data_out[19] ) ( output482 X ) 
-  + ROUTED met2 ( 100560 3330 0 ) ( 100560 15725 )
-    NEW met1 ( 100560 15725 ) ( 107280 15725 )
-    NEW met2 ( 107280 15725 ) ( 107280 30525 )
-    NEW met1 ( 100560 15725 ) M1M2_PR
-    NEW met1 ( 107280 15725 ) M1M2_PR
+  + ROUTED met1 ( 100560 30525 ) ( 107280 30525 )
+    NEW met2 ( 100560 3330 0 ) ( 100560 30525 )
+    NEW met1 ( 100560 30525 ) M1M2_PR
     NEW li1 ( 107280 30525 ) L1M1_PR_MR
-    NEW met1 ( 107280 30525 ) M1M2_PR
-    NEW met1 ( 107280 30525 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_data_out[1] ( PIN la_data_out[1] ) ( output483 X ) 
-  + ROUTED met2 ( 67440 3330 0 ) ( 67440 34595 )
-    NEW met1 ( 67440 34595 ) ( 67920 34595 )
+  + ROUTED met1 ( 67440 34595 ) ( 67920 34595 )
+    NEW met2 ( 67440 3330 0 ) ( 67440 34595 )
     NEW met1 ( 67440 34595 ) M1M2_PR
     NEW li1 ( 67920 34595 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[20] ( PIN la_data_out[20] ) ( output484 X ) 
-  + ROUTED met2 ( 102480 3330 0 ) ( 102480 29230 )
-    NEW met2 ( 102480 29230 ) ( 103440 29230 )
-    NEW met2 ( 103440 29230 ) ( 103440 34225 )
-    NEW met1 ( 103440 34225 ) ( 105360 34225 )
-    NEW met1 ( 105360 34225 ) ( 105360 34595 )
-    NEW met1 ( 103440 34225 ) M1M2_PR
+  + ROUTED met1 ( 102480 34595 ) ( 105360 34595 )
+    NEW met2 ( 102480 3330 0 ) ( 102480 34595 )
+    NEW met1 ( 102480 34595 ) M1M2_PR
     NEW li1 ( 105360 34595 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[21] ( PIN la_data_out[21] ) ( output485 X ) 
@@ -13945,86 +13924,79 @@
     NEW li1 ( 104400 37185 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[22] ( PIN la_data_out[22] ) ( output486 X ) 
-  + ROUTED met2 ( 105840 3330 0 ) ( 105840 34595 )
-    NEW met1 ( 105840 34595 ) ( 109200 34595 )
-    NEW met1 ( 105840 34595 ) M1M2_PR
+  + ROUTED met2 ( 105840 34595 ) ( 106320 34595 )
+    NEW met1 ( 106320 34595 ) ( 109200 34595 )
+    NEW met2 ( 105840 3330 0 ) ( 105840 34595 )
+    NEW met1 ( 106320 34595 ) M1M2_PR
     NEW li1 ( 109200 34595 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[23] ( PIN la_data_out[23] ) ( output487 X ) 
-  + ROUTED met2 ( 107760 3330 0 ) ( 107760 30525 )
-    NEW met1 ( 107760 30525 ) ( 114480 30525 )
+  + ROUTED met1 ( 107760 30525 ) ( 114480 30525 )
+    NEW met2 ( 107760 3330 0 ) ( 107760 30525 )
     NEW met1 ( 107760 30525 ) M1M2_PR
     NEW li1 ( 114480 30525 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[24] ( PIN la_data_out[24] ) ( output488 X ) 
-  + ROUTED met2 ( 109680 3330 0 ) ( 109680 34595 )
-    NEW met1 ( 109680 34595 ) ( 113040 34595 )
-    NEW met1 ( 109680 34595 ) M1M2_PR
+  + ROUTED met2 ( 109680 34595 ) ( 110160 34595 )
+    NEW met1 ( 110160 34595 ) ( 113040 34595 )
+    NEW met2 ( 109680 3330 0 ) ( 109680 34595 )
+    NEW met1 ( 110160 34595 ) M1M2_PR
     NEW li1 ( 113040 34595 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[25] ( PIN la_data_out[25] ) ( output489 X ) 
-  + ROUTED met2 ( 111600 3330 0 ) ( 111600 19055 )
-    NEW met1 ( 111600 19055 ) ( 118320 19055 )
-    NEW met2 ( 118320 19055 ) ( 118320 30525 )
-    NEW met1 ( 118320 30525 ) ( 118800 30525 )
-    NEW met1 ( 111600 19055 ) M1M2_PR
-    NEW met1 ( 118320 19055 ) M1M2_PR
-    NEW met1 ( 118320 30525 ) M1M2_PR
-    NEW li1 ( 118800 30525 ) L1M1_PR_MR
+  + ROUTED met1 ( 118320 30525 ) ( 118320 30895 )
+    NEW met2 ( 111600 3330 0 ) ( 111600 30895 )
+    NEW met1 ( 111600 30895 ) ( 118320 30895 )
+    NEW li1 ( 118320 30525 ) L1M1_PR_MR
+    NEW met1 ( 111600 30895 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[26] ( PIN la_data_out[26] ) ( output490 X ) 
-  + ROUTED met2 ( 113040 3330 0 ) ( 113040 17575 )
-    NEW met1 ( 113040 17575 ) ( 116880 17575 )
-    NEW met2 ( 116880 17575 ) ( 116880 34595 )
-    NEW met1 ( 116880 34595 ) ( 117360 34595 )
-    NEW met1 ( 113040 17575 ) M1M2_PR
-    NEW met1 ( 116880 17575 ) M1M2_PR
-    NEW met1 ( 116880 34595 ) M1M2_PR
-    NEW li1 ( 117360 34595 ) L1M1_PR_MR
+  + ROUTED met2 ( 113040 3330 0 ) ( 113040 14400 )
+    NEW met2 ( 113040 14400 ) ( 113520 14400 )
+    NEW met2 ( 113520 14400 ) ( 113520 34595 )
+    NEW met1 ( 113520 34595 ) ( 116880 34595 )
+    NEW li1 ( 116880 34595 ) L1M1_PR_MR
+    NEW met1 ( 113520 34595 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[27] ( PIN la_data_out[27] ) ( output491 X ) 
-  + ROUTED met2 ( 114960 3330 0 ) ( 114960 15725 )
-    NEW met1 ( 114960 15725 ) ( 119760 15725 )
-    NEW met2 ( 119760 15725 ) ( 119760 30525 )
-    NEW met1 ( 119760 30525 ) ( 122640 30525 )
-    NEW met1 ( 114960 15725 ) M1M2_PR
-    NEW met1 ( 119760 15725 ) M1M2_PR
-    NEW met1 ( 119760 30525 ) M1M2_PR
-    NEW li1 ( 122640 30525 ) L1M1_PR_MR
+  + ROUTED met1 ( 122160 30525 ) ( 122160 31265 )
+    NEW met2 ( 114960 3330 0 ) ( 114960 31265 )
+    NEW met1 ( 114960 31265 ) ( 122160 31265 )
+    NEW li1 ( 122160 30525 ) L1M1_PR_MR
+    NEW met1 ( 114960 31265 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[28] ( PIN la_data_out[28] ) ( output492 X ) 
-  + ROUTED met2 ( 116880 3330 0 ) ( 116880 16095 )
-    NEW met1 ( 116880 16095 ) ( 121200 16095 )
-    NEW met2 ( 121200 16095 ) ( 121200 34595 )
-    NEW met1 ( 116880 16095 ) M1M2_PR
-    NEW met1 ( 121200 16095 ) M1M2_PR
+  + ROUTED met2 ( 116880 3330 0 ) ( 116880 34595 )
+    NEW met2 ( 116880 34595 ) ( 117360 34595 )
+    NEW met1 ( 117360 34595 ) ( 121200 34595 )
+    NEW met1 ( 117360 34595 ) M1M2_PR
     NEW li1 ( 121200 34595 ) L1M1_PR_MR
-    NEW met1 ( 121200 34595 ) M1M2_PR
-    NEW met1 ( 121200 34595 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_data_out[29] ( PIN la_data_out[29] ) ( output493 X ) 
-  + ROUTED met1 ( 118800 37185 ) ( 119280 37185 )
-    NEW met2 ( 118800 3330 0 ) ( 118800 37185 )
-    NEW met1 ( 118800 37185 ) M1M2_PR
+  + ROUTED met2 ( 118800 3330 0 ) ( 118800 18130 )
+    NEW met2 ( 118800 18130 ) ( 119280 18130 )
+    NEW met2 ( 119280 18130 ) ( 119280 37185 )
     NEW li1 ( 119280 37185 ) L1M1_PR_MR
+    NEW met1 ( 119280 37185 ) M1M2_PR
+    NEW met1 ( 119280 37185 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_data_out[2] ( PIN la_data_out[2] ) ( output494 X ) 
-  + ROUTED met2 ( 69360 3330 0 ) ( 69360 25530 )
-    NEW met2 ( 69360 25530 ) ( 69840 25530 )
-    NEW met2 ( 69840 25530 ) ( 69840 30525 )
-    NEW met1 ( 69840 30525 ) ( 73200 30525 )
-    NEW met1 ( 69840 30525 ) M1M2_PR
+  + ROUTED met2 ( 69360 3330 0 ) ( 69360 13690 )
+    NEW met2 ( 68880 13690 ) ( 69360 13690 )
+    NEW met1 ( 68880 21275 ) ( 72720 21275 )
+    NEW met2 ( 72720 21275 ) ( 72720 30525 )
+    NEW met1 ( 72720 30525 ) ( 73200 30525 )
+    NEW met2 ( 68880 13690 ) ( 68880 21275 )
+    NEW met1 ( 68880 21275 ) M1M2_PR
+    NEW met1 ( 72720 21275 ) M1M2_PR
+    NEW met1 ( 72720 30525 ) M1M2_PR
     NEW li1 ( 73200 30525 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[30] ( PIN la_data_out[30] ) ( output495 X ) 
-  + ROUTED met2 ( 120720 3330 0 ) ( 120720 18685 )
-    NEW met1 ( 120720 18685 ) ( 123600 18685 )
-    NEW met2 ( 123600 18685 ) ( 123600 37185 )
-    NEW met1 ( 120720 18685 ) M1M2_PR
-    NEW met1 ( 123600 18685 ) M1M2_PR
-    NEW li1 ( 123600 37185 ) L1M1_PR_MR
-    NEW met1 ( 123600 37185 ) M1M2_PR
-    NEW met1 ( 123600 37185 ) RECT ( -355 -70 0 70 )
+  + ROUTED met1 ( 120720 37185 ) ( 123120 37185 )
+    NEW met2 ( 120720 3330 0 ) ( 120720 37185 )
+    NEW met1 ( 120720 37185 ) M1M2_PR
+    NEW li1 ( 123120 37185 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[31] ( PIN la_data_out[31] ) ( output496 X ) 
   + ROUTED met2 ( 122640 3330 0 ) ( 122640 34595 )
@@ -14033,10 +14005,10 @@
     NEW li1 ( 127920 34595 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[32] ( PIN la_data_out[32] ) ( output497 X ) 
-  + ROUTED met1 ( 124080 38665 ) ( 126960 38665 )
-    NEW met2 ( 124080 3330 0 ) ( 124080 38665 )
-    NEW met1 ( 124080 38665 ) M1M2_PR
-    NEW li1 ( 126960 38665 ) L1M1_PR_MR
+  + ROUTED met1 ( 124080 37185 ) ( 126960 37185 )
+    NEW met2 ( 124080 3330 0 ) ( 124080 37185 )
+    NEW met1 ( 124080 37185 ) M1M2_PR
+    NEW li1 ( 126960 37185 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[33] ( PIN la_data_out[33] ) ( output498 X ) 
   + ROUTED met2 ( 126000 3330 0 ) ( 126000 34225 )
@@ -14046,39 +14018,34 @@
     NEW li1 ( 131760 34595 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[34] ( PIN la_data_out[34] ) ( output499 X ) 
-  + ROUTED met2 ( 127920 3330 0 ) ( 127920 17945 )
-    NEW met1 ( 127920 17945 ) ( 129840 17945 )
-    NEW met1 ( 129840 37185 ) ( 131280 37185 )
-    NEW met2 ( 129840 17945 ) ( 129840 37185 )
-    NEW met1 ( 127920 17945 ) M1M2_PR
-    NEW met1 ( 129840 17945 ) M1M2_PR
-    NEW met1 ( 129840 37185 ) M1M2_PR
-    NEW li1 ( 131280 37185 ) L1M1_PR_MR
+  + ROUTED met1 ( 127920 38665 ) ( 130800 38665 )
+    NEW met2 ( 127920 3330 0 ) ( 127920 38665 )
+    NEW met1 ( 127920 38665 ) M1M2_PR
+    NEW li1 ( 130800 38665 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[35] ( PIN la_data_out[35] ) ( output500 X ) 
-  + ROUTED met2 ( 129840 3330 0 ) ( 129840 16095 )
-    NEW met1 ( 129840 16095 ) ( 134640 16095 )
-    NEW met2 ( 134640 16095 ) ( 134640 34595 )
-    NEW met1 ( 134640 34595 ) ( 136080 34595 )
-    NEW met1 ( 129840 16095 ) M1M2_PR
-    NEW met1 ( 134640 16095 ) M1M2_PR
-    NEW met1 ( 134640 34595 ) M1M2_PR
-    NEW li1 ( 136080 34595 ) L1M1_PR_MR
+  + ROUTED met2 ( 129840 3330 0 ) ( 129840 33855 )
+    NEW met1 ( 129840 33855 ) ( 132240 33855 )
+    NEW met1 ( 132240 33855 ) ( 132240 34595 )
+    NEW met1 ( 132240 34595 ) ( 135600 34595 )
+    NEW met1 ( 129840 33855 ) M1M2_PR
+    NEW li1 ( 135600 34595 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[36] ( PIN la_data_out[36] ) ( output501 X ) 
-  + ROUTED met2 ( 131760 3330 0 ) ( 131760 30525 )
-    NEW met1 ( 131760 30525 ) ( 136800 30525 )
-    NEW met1 ( 136800 30525 ) ( 136800 30895 )
-    NEW met1 ( 136800 30895 ) ( 141360 30895 )
-    NEW met1 ( 141360 30525 ) ( 141360 30895 )
-    NEW met1 ( 131760 30525 ) M1M2_PR
-    NEW li1 ( 141360 30525 ) L1M1_PR_MR
+  + ROUTED met2 ( 131760 3330 0 ) ( 131760 16095 )
+    NEW met2 ( 138960 16095 ) ( 138960 30525 )
+    NEW met1 ( 138960 30525 ) ( 140880 30525 )
+    NEW met1 ( 131760 16095 ) ( 138960 16095 )
+    NEW met1 ( 131760 16095 ) M1M2_PR
+    NEW met1 ( 138960 16095 ) M1M2_PR
+    NEW met1 ( 138960 30525 ) M1M2_PR
+    NEW li1 ( 140880 30525 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[37] ( PIN la_data_out[37] ) ( output502 X ) 
-  + ROUTED met1 ( 133680 37185 ) ( 134640 37185 )
+  + ROUTED met1 ( 133680 37185 ) ( 135120 37185 )
     NEW met2 ( 133680 3330 0 ) ( 133680 37185 )
     NEW met1 ( 133680 37185 ) M1M2_PR
-    NEW li1 ( 134640 37185 ) L1M1_PR_MR
+    NEW li1 ( 135120 37185 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[38] ( PIN la_data_out[38] ) ( output503 X ) 
   + ROUTED met2 ( 135120 3330 0 ) ( 135120 34225 )
@@ -14089,27 +14056,30 @@
     NEW li1 ( 139440 34595 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[39] ( PIN la_data_out[39] ) ( output504 X ) 
-  + ROUTED met2 ( 137040 3330 0 ) ( 137040 16095 )
-    NEW met1 ( 137040 16095 ) ( 142320 16095 )
-    NEW met2 ( 142320 16095 ) ( 142320 30525 )
-    NEW met1 ( 142320 30525 ) ( 144720 30525 )
-    NEW met1 ( 137040 16095 ) M1M2_PR
-    NEW met1 ( 142320 16095 ) M1M2_PR
-    NEW met1 ( 142320 30525 ) M1M2_PR
+  + ROUTED met2 ( 137040 3330 0 ) ( 137040 15910 )
+    NEW met2 ( 137040 15910 ) ( 137520 15910 )
+    NEW met2 ( 137520 15910 ) ( 137520 30895 )
+    NEW met1 ( 137520 30895 ) ( 144720 30895 )
+    NEW met1 ( 144720 30525 ) ( 144720 30895 )
+    NEW met1 ( 137520 30895 ) M1M2_PR
     NEW li1 ( 144720 30525 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[3] ( PIN la_data_out[3] ) ( output505 X ) 
-  + ROUTED met2 ( 70800 3330 0 ) ( 70800 30895 )
-    NEW met1 ( 70800 30895 ) ( 77040 30895 )
+  + ROUTED met2 ( 70800 3330 0 ) ( 70800 4810 )
+    NEW met2 ( 70800 4810 ) ( 71280 4810 )
+    NEW met1 ( 71280 30895 ) ( 77040 30895 )
     NEW met1 ( 77040 30525 ) ( 77040 30895 )
-    NEW met1 ( 70800 30895 ) M1M2_PR
+    NEW met2 ( 71280 4810 ) ( 71280 30895 )
+    NEW met1 ( 71280 30895 ) M1M2_PR
     NEW li1 ( 77040 30525 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[40] ( PIN la_data_out[40] ) ( output506 X ) 
-  + ROUTED met2 ( 138960 3330 0 ) ( 138960 34225 )
-    NEW met1 ( 138960 34225 ) ( 143280 34225 )
+  + ROUTED met2 ( 138960 3330 0 ) ( 138960 15355 )
+    NEW met2 ( 138480 15355 ) ( 138960 15355 )
+    NEW met2 ( 138480 15355 ) ( 138480 34225 )
+    NEW met1 ( 138480 34225 ) ( 143280 34225 )
     NEW met1 ( 143280 34225 ) ( 143280 34595 )
-    NEW met1 ( 138960 34225 ) M1M2_PR
+    NEW met1 ( 138480 34225 ) M1M2_PR
     NEW li1 ( 143280 34595 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[41] ( PIN la_data_out[41] ) ( output507 X ) 
@@ -14119,39 +14089,35 @@
     NEW li1 ( 141360 37185 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[42] ( PIN la_data_out[42] ) ( output508 X ) 
-  + ROUTED met2 ( 142800 3330 0 ) ( 142800 16095 )
-    NEW met1 ( 142800 16095 ) ( 147120 16095 )
-    NEW met2 ( 147120 16095 ) ( 147120 34595 )
-    NEW met1 ( 142800 16095 ) M1M2_PR
-    NEW met1 ( 147120 16095 ) M1M2_PR
+  + ROUTED met2 ( 142800 3330 0 ) ( 142800 33855 )
+    NEW met1 ( 142800 33855 ) ( 147120 33855 )
+    NEW met1 ( 147120 33855 ) ( 147120 34595 )
+    NEW met1 ( 142800 33855 ) M1M2_PR
     NEW li1 ( 147120 34595 ) L1M1_PR_MR
-    NEW met1 ( 147120 34595 ) M1M2_PR
-    NEW met1 ( 147120 34595 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_data_out[43] ( PIN la_data_out[43] ) ( output509 X ) 
   + ROUTED met2 ( 144720 3330 0 ) ( 144720 14615 )
     NEW met2 ( 144240 14615 ) ( 144720 14615 )
-    NEW met2 ( 144240 14615 ) ( 144240 19055 )
-    NEW met1 ( 144240 19055 ) ( 144240 19425 )
-    NEW met1 ( 144240 19425 ) ( 149040 19425 )
-    NEW met2 ( 149040 19425 ) ( 149040 30525 )
+    NEW met2 ( 144240 14615 ) ( 144240 16095 )
+    NEW met1 ( 144240 16095 ) ( 149040 16095 )
+    NEW met2 ( 149040 16095 ) ( 149040 30525 )
     NEW met1 ( 149040 30525 ) ( 152880 30525 )
-    NEW met1 ( 144240 19055 ) M1M2_PR
-    NEW met1 ( 149040 19425 ) M1M2_PR
+    NEW met1 ( 144240 16095 ) M1M2_PR
+    NEW met1 ( 149040 16095 ) M1M2_PR
     NEW met1 ( 149040 30525 ) M1M2_PR
     NEW li1 ( 152880 30525 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[44] ( PIN la_data_out[44] ) ( output510 X ) 
-  + ROUTED met1 ( 146160 37185 ) ( 147120 37185 )
+  + ROUTED met1 ( 146160 37185 ) ( 146640 37185 )
     NEW met2 ( 146160 3330 0 ) ( 146160 37185 )
     NEW met1 ( 146160 37185 ) M1M2_PR
-    NEW li1 ( 147120 37185 ) L1M1_PR_MR
+    NEW li1 ( 146640 37185 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[45] ( PIN la_data_out[45] ) ( output511 X ) 
-  + ROUTED met1 ( 148080 37185 ) ( 150480 37185 )
-    NEW met2 ( 148080 3330 0 ) ( 148080 37185 )
-    NEW met1 ( 148080 37185 ) M1M2_PR
-    NEW li1 ( 150480 37185 ) L1M1_PR_MR
+  + ROUTED met1 ( 148080 38665 ) ( 150960 38665 )
+    NEW met2 ( 148080 3330 0 ) ( 148080 38665 )
+    NEW met1 ( 148080 38665 ) M1M2_PR
+    NEW li1 ( 150960 38665 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[46] ( PIN la_data_out[46] ) ( output512 X ) 
   + ROUTED met2 ( 150000 3330 0 ) ( 150000 34595 )
@@ -14162,24 +14128,22 @@
 - la_data_out[47] ( PIN la_data_out[47] ) ( output513 X ) 
   + ROUTED met2 ( 151920 3330 0 ) ( 151920 8695 )
     NEW met1 ( 151920 8695 ) ( 153360 8695 )
-    NEW met2 ( 153360 8695 ) ( 153360 18315 )
-    NEW met2 ( 153360 18315 ) ( 153840 18315 )
-    NEW met2 ( 153840 18315 ) ( 153840 30525 )
-    NEW met1 ( 153840 30525 ) ( 160560 30525 )
+    NEW met2 ( 153360 8695 ) ( 153360 30525 )
+    NEW met1 ( 153360 30525 ) ( 160560 30525 )
     NEW met1 ( 151920 8695 ) M1M2_PR
     NEW met1 ( 153360 8695 ) M1M2_PR
-    NEW met1 ( 153840 30525 ) M1M2_PR
+    NEW met1 ( 153360 30525 ) M1M2_PR
     NEW li1 ( 160560 30525 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[48] ( PIN la_data_out[48] ) ( output514 X ) 
-  + ROUTED met2 ( 153840 3330 0 ) ( 153840 17945 )
-    NEW met1 ( 153840 17945 ) ( 159120 17945 )
-    NEW met2 ( 159120 17945 ) ( 159120 34595 )
-    NEW met1 ( 158640 34595 ) ( 159120 34595 )
-    NEW met1 ( 153840 17945 ) M1M2_PR
-    NEW met1 ( 159120 17945 ) M1M2_PR
-    NEW met1 ( 159120 34595 ) M1M2_PR
-    NEW li1 ( 158640 34595 ) L1M1_PR_MR
+  + ROUTED met2 ( 153840 3330 0 ) ( 153840 29045 )
+    NEW met1 ( 153840 29045 ) ( 158160 29045 )
+    NEW met2 ( 158160 29045 ) ( 158160 34595 )
+    NEW met1 ( 153840 29045 ) M1M2_PR
+    NEW met1 ( 158160 29045 ) M1M2_PR
+    NEW li1 ( 158160 34595 ) L1M1_PR_MR
+    NEW met1 ( 158160 34595 ) M1M2_PR
+    NEW met1 ( 158160 34595 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_data_out[49] ( PIN la_data_out[49] ) ( output515 X ) 
   + ROUTED met1 ( 155280 37185 ) ( 155760 37185 )
@@ -14190,132 +14154,126 @@
 - la_data_out[4] ( PIN la_data_out[4] ) ( output516 X ) 
   + ROUTED met2 ( 72720 3330 0 ) ( 72720 7030 )
     NEW met2 ( 72720 7030 ) ( 73200 7030 )
+    NEW met1 ( 73200 34595 ) ( 75120 34595 )
     NEW met2 ( 73200 7030 ) ( 73200 34595 )
-    NEW met1 ( 73200 34595 ) ( 75600 34595 )
     NEW met1 ( 73200 34595 ) M1M2_PR
-    NEW li1 ( 75600 34595 ) L1M1_PR_MR
+    NEW li1 ( 75120 34595 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[50] ( PIN la_data_out[50] ) ( output517 X ) 
-  + ROUTED met2 ( 157200 3330 0 ) ( 157200 17575 )
-    NEW met1 ( 157200 17575 ) ( 162000 17575 )
-    NEW met2 ( 162000 17575 ) ( 162000 34595 )
-    NEW met1 ( 157200 17575 ) M1M2_PR
-    NEW met1 ( 162000 17575 ) M1M2_PR
-    NEW li1 ( 162000 34595 ) L1M1_PR_MR
-    NEW met1 ( 162000 34595 ) M1M2_PR
-    NEW met1 ( 162000 34595 ) RECT ( -355 -70 0 70 )
+  + ROUTED met2 ( 157200 3330 0 ) ( 157200 33855 )
+    NEW met1 ( 157200 33855 ) ( 159600 33855 )
+    NEW met1 ( 159600 33855 ) ( 159600 34225 )
+    NEW li1 ( 159600 34225 ) ( 159600 35335 )
+    NEW met1 ( 159600 35335 ) ( 162080 35335 )
+    NEW met1 ( 157200 33855 ) M1M2_PR
+    NEW li1 ( 159600 34225 ) L1M1_PR_MR
+    NEW li1 ( 159600 35335 ) L1M1_PR_MR
+    NEW li1 ( 162080 35335 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[51] ( PIN la_data_out[51] ) ( output518 X ) 
-  + ROUTED met2 ( 159120 3330 0 ) ( 159120 15725 )
-    NEW met1 ( 159120 15725 ) ( 160080 15725 )
-    NEW met2 ( 160080 15725 ) ( 160080 19425 )
-    NEW met1 ( 160080 19425 ) ( 167760 19425 )
-    NEW met2 ( 167760 19425 ) ( 167760 30525 )
-    NEW met1 ( 159120 15725 ) M1M2_PR
-    NEW met1 ( 160080 15725 ) M1M2_PR
-    NEW met1 ( 160080 19425 ) M1M2_PR
-    NEW met1 ( 167760 19425 ) M1M2_PR
-    NEW li1 ( 167760 30525 ) L1M1_PR_MR
-    NEW met1 ( 167760 30525 ) M1M2_PR
-    NEW met1 ( 167760 30525 ) RECT ( -355 -70 0 70 )
+  + ROUTED met2 ( 159120 3330 0 ) ( 159120 32005 )
+    NEW met1 ( 159120 32005 ) ( 167280 32005 )
+    NEW met1 ( 159120 32005 ) M1M2_PR
+    NEW li1 ( 167280 32005 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[52] ( PIN la_data_out[52] ) ( output519 X ) 
-  + ROUTED met2 ( 161040 3330 0 ) ( 161040 34225 )
-    NEW met1 ( 161040 34225 ) ( 165840 34225 )
-    NEW met1 ( 165840 34225 ) ( 165840 34595 )
-    NEW met1 ( 161040 34225 ) M1M2_PR
+  + ROUTED met2 ( 161040 3330 0 ) ( 161040 33855 )
+    NEW met1 ( 161040 33855 ) ( 165840 33855 )
+    NEW met1 ( 165840 33855 ) ( 165840 34595 )
+    NEW met1 ( 161040 33855 ) M1M2_PR
     NEW li1 ( 165840 34595 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[53] ( PIN la_data_out[53] ) ( output520 X ) 
-  + ROUTED met2 ( 162960 3330 0 ) ( 162960 15725 )
-    NEW met1 ( 162960 15725 ) ( 168720 15725 )
-    NEW met2 ( 168720 15725 ) ( 168720 30525 )
+  + ROUTED met2 ( 162960 3330 0 ) ( 162960 16095 )
+    NEW met1 ( 162960 16095 ) ( 168720 16095 )
+    NEW met2 ( 168720 16095 ) ( 168720 30525 )
     NEW met1 ( 168720 30525 ) ( 171120 30525 )
-    NEW met1 ( 162960 15725 ) M1M2_PR
-    NEW met1 ( 168720 15725 ) M1M2_PR
+    NEW met1 ( 162960 16095 ) M1M2_PR
+    NEW met1 ( 168720 16095 ) M1M2_PR
     NEW met1 ( 168720 30525 ) M1M2_PR
     NEW li1 ( 171120 30525 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[54] ( PIN la_data_out[54] ) ( output521 X ) 
-  + ROUTED met2 ( 164880 3330 0 ) ( 164880 33855 )
-    NEW met1 ( 164880 33855 ) ( 169680 33855 )
-    NEW met1 ( 169680 33855 ) ( 169680 34595 )
-    NEW met1 ( 164880 33855 ) M1M2_PR
+  + ROUTED met2 ( 164880 3330 0 ) ( 164880 11470 )
+    NEW met2 ( 164400 11470 ) ( 164880 11470 )
+    NEW met2 ( 164400 11470 ) ( 164400 17575 )
+    NEW met1 ( 164400 17575 ) ( 167280 17575 )
+    NEW met2 ( 167280 17575 ) ( 167280 34595 )
+    NEW met1 ( 167280 34595 ) ( 169680 34595 )
+    NEW met1 ( 164400 17575 ) M1M2_PR
+    NEW met1 ( 167280 17575 ) M1M2_PR
+    NEW met1 ( 167280 34595 ) M1M2_PR
     NEW li1 ( 169680 34595 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[55] ( PIN la_data_out[55] ) ( output522 X ) 
-  + ROUTED met2 ( 168720 15355 ) ( 169200 15355 )
-    NEW met2 ( 169200 15355 ) ( 169200 31635 )
-    NEW met1 ( 169200 31635 ) ( 175440 31635 )
-    NEW met1 ( 175440 31635 ) ( 175440 32005 )
-    NEW met2 ( 166320 3330 0 ) ( 166320 6475 )
-    NEW met1 ( 166320 6475 ) ( 168720 6475 )
-    NEW met2 ( 168720 6475 ) ( 168720 15355 )
-    NEW met1 ( 169200 31635 ) M1M2_PR
-    NEW li1 ( 175440 32005 ) L1M1_PR_MR
-    NEW met1 ( 166320 6475 ) M1M2_PR
-    NEW met1 ( 168720 6475 ) M1M2_PR
+  + ROUTED met2 ( 166320 3330 0 ) ( 166320 7215 )
+    NEW met1 ( 165840 7215 ) ( 166320 7215 )
+    NEW met1 ( 165840 7215 ) ( 165840 8325 )
+    NEW met2 ( 165840 8325 ) ( 165840 17575 )
+    NEW met2 ( 165360 17575 ) ( 165840 17575 )
+    NEW met2 ( 165360 17575 ) ( 165360 19055 )
+    NEW met1 ( 165360 19055 ) ( 171600 19055 )
+    NEW met2 ( 171600 19055 ) ( 171600 30525 )
+    NEW met1 ( 171600 30525 ) ( 175440 30525 )
+    NEW met1 ( 166320 7215 ) M1M2_PR
+    NEW met1 ( 165840 8325 ) M1M2_PR
+    NEW met1 ( 165360 19055 ) M1M2_PR
+    NEW met1 ( 171600 19055 ) M1M2_PR
+    NEW met1 ( 171600 30525 ) M1M2_PR
+    NEW li1 ( 175440 30525 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[56] ( PIN la_data_out[56] ) ( output523 X ) 
-  + ROUTED met1 ( 168240 37185 ) ( 168720 37185 )
+  + ROUTED met1 ( 168240 37185 ) ( 169200 37185 )
     NEW met2 ( 168240 3330 0 ) ( 168240 37185 )
     NEW met1 ( 168240 37185 ) M1M2_PR
-    NEW li1 ( 168720 37185 ) L1M1_PR_MR
+    NEW li1 ( 169200 37185 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[57] ( PIN la_data_out[57] ) ( output524 X ) 
-  + ROUTED met1 ( 170160 15355 ) ( 172560 15355 )
-    NEW met2 ( 172560 15355 ) ( 172560 22570 )
-    NEW met2 ( 172560 22570 ) ( 173040 22570 )
-    NEW met2 ( 173040 22570 ) ( 173040 34595 )
-    NEW met1 ( 173040 34595 ) ( 173520 34595 )
-    NEW met2 ( 170160 3330 0 ) ( 170160 15355 )
-    NEW met1 ( 170160 15355 ) M1M2_PR
-    NEW met1 ( 172560 15355 ) M1M2_PR
-    NEW met1 ( 173040 34595 ) M1M2_PR
+  + ROUTED met2 ( 170160 3330 0 ) ( 170160 34595 )
+    NEW met1 ( 170160 34595 ) ( 173520 34595 )
+    NEW met1 ( 170160 34595 ) M1M2_PR
     NEW li1 ( 173520 34595 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[58] ( PIN la_data_out[58] ) ( output525 X ) 
-  + ROUTED met1 ( 172080 37185 ) ( 172560 37185 )
+  + ROUTED met1 ( 172080 37185 ) ( 173040 37185 )
     NEW met2 ( 172080 3330 0 ) ( 172080 37185 )
     NEW met1 ( 172080 37185 ) M1M2_PR
-    NEW li1 ( 172560 37185 ) L1M1_PR_MR
+    NEW li1 ( 173040 37185 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[59] ( PIN la_data_out[59] ) ( output526 X ) 
-  + ROUTED met1 ( 174000 37185 ) ( 176400 37185 )
-    NEW met2 ( 174000 3330 0 ) ( 174000 37185 )
-    NEW met1 ( 174000 37185 ) M1M2_PR
+  + ROUTED met2 ( 174000 3330 0 ) ( 174000 18130 )
+    NEW met2 ( 173520 18130 ) ( 174000 18130 )
+    NEW met1 ( 173520 37185 ) ( 176400 37185 )
+    NEW met2 ( 173520 18130 ) ( 173520 37185 )
+    NEW met1 ( 173520 37185 ) M1M2_PR
     NEW li1 ( 176400 37185 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[5] ( PIN la_data_out[5] ) ( output527 X ) 
-  + ROUTED met2 ( 74640 3330 0 ) ( 74640 31635 )
-    NEW met1 ( 74640 31635 ) ( 81360 31635 )
-    NEW met1 ( 81360 31635 ) ( 81360 32005 )
-    NEW met1 ( 74640 31635 ) M1M2_PR
-    NEW li1 ( 81360 32005 ) L1M1_PR_MR
+  + ROUTED met1 ( 74640 31265 ) ( 80880 31265 )
+    NEW met1 ( 80880 30525 ) ( 80880 31265 )
+    NEW met2 ( 74640 3330 0 ) ( 74640 31265 )
+    NEW met1 ( 74640 31265 ) M1M2_PR
+    NEW li1 ( 80880 30525 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[60] ( PIN la_data_out[60] ) ( output528 X ) 
-  + ROUTED met2 ( 175920 3330 0 ) ( 175920 12210 )
-    NEW met3 ( 175920 12210 ) ( 177120 12210 )
-    NEW met3 ( 177120 12210 ) ( 177120 15910 )
-    NEW met3 ( 177120 15910 ) ( 179760 15910 )
-    NEW met2 ( 179760 15910 ) ( 179760 34595 )
-    NEW met1 ( 179760 34595 ) ( 180720 34595 )
-    NEW met2 ( 175920 12210 ) via2_FR
-    NEW met2 ( 179760 15910 ) via2_FR
-    NEW met1 ( 179760 34595 ) M1M2_PR
+  + ROUTED met2 ( 175920 3330 0 ) ( 175920 12025 )
+    NEW met1 ( 175920 12025 ) ( 177840 12025 )
+    NEW met2 ( 177840 12025 ) ( 177840 34595 )
+    NEW met1 ( 177840 34595 ) ( 180720 34595 )
+    NEW met1 ( 175920 12025 ) M1M2_PR
+    NEW met1 ( 177840 12025 ) M1M2_PR
+    NEW met1 ( 177840 34595 ) M1M2_PR
     NEW li1 ( 180720 34595 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[61] ( PIN la_data_out[61] ) ( output529 X ) 
-  + ROUTED met2 ( 177360 15170 ) ( 178320 15170 )
-    NEW met2 ( 177360 15170 ) ( 177360 32005 )
-    NEW met1 ( 177360 32005 ) ( 186480 32005 )
-    NEW met2 ( 178320 14400 ) ( 178320 15170 )
-    NEW met2 ( 177360 3330 0 ) ( 177360 4070 )
-    NEW met2 ( 177360 4070 ) ( 177840 4070 )
-    NEW met2 ( 177840 4070 ) ( 177840 14400 )
-    NEW met2 ( 177840 14400 ) ( 178320 14400 )
-    NEW met1 ( 177360 32005 ) M1M2_PR
-    NEW li1 ( 186480 32005 ) L1M1_PR_MR
+  + ROUTED met2 ( 177360 3330 0 ) ( 177360 10545 )
+    NEW met1 ( 177360 10545 ) ( 179760 10545 )
+    NEW met2 ( 179760 10545 ) ( 179760 30525 )
+    NEW met1 ( 179760 30525 ) ( 186000 30525 )
+    NEW met1 ( 177360 10545 ) M1M2_PR
+    NEW met1 ( 179760 10545 ) M1M2_PR
+    NEW met1 ( 179760 30525 ) M1M2_PR
+    NEW li1 ( 186000 30525 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[62] ( PIN la_data_out[62] ) ( output530 X ) 
   + ROUTED met1 ( 179280 37185 ) ( 180240 37185 )
@@ -14324,11 +14282,9 @@
     NEW li1 ( 180240 37185 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[63] ( PIN la_data_out[63] ) ( output531 X ) 
-  + ROUTED met1 ( 182160 34595 ) ( 184560 34595 )
-    NEW met2 ( 181200 3330 0 ) ( 181200 7770 )
-    NEW met2 ( 181200 7770 ) ( 182160 7770 )
-    NEW met2 ( 182160 7770 ) ( 182160 34595 )
-    NEW met1 ( 182160 34595 ) M1M2_PR
+  + ROUTED met2 ( 181200 3330 0 ) ( 181200 34595 )
+    NEW met1 ( 181200 34595 ) ( 184560 34595 )
+    NEW met1 ( 181200 34595 ) M1M2_PR
     NEW li1 ( 184560 34595 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[64] ( PIN la_data_out[64] ) ( output532 X ) 
@@ -14338,27 +14294,30 @@
     NEW li1 ( 184560 37185 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[65] ( PIN la_data_out[65] ) ( output533 X ) 
-  + ROUTED met1 ( 185040 34595 ) ( 188400 34595 )
+  + ROUTED met1 ( 187920 34225 ) ( 187920 34595 )
+    NEW met1 ( 187920 34225 ) ( 188880 34225 )
+    NEW met1 ( 188880 34225 ) ( 188880 34595 )
     NEW met2 ( 185040 3330 0 ) ( 185040 34595 )
-    NEW li1 ( 188400 34595 ) L1M1_PR_MR
+    NEW met1 ( 185040 34595 ) ( 187920 34595 )
+    NEW li1 ( 188880 34595 ) L1M1_PR_MR
     NEW met1 ( 185040 34595 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[66] ( PIN la_data_out[66] ) ( output534 X ) 
-  + ROUTED met2 ( 192720 17575 ) ( 192720 34595 )
-    NEW met1 ( 186960 17575 ) ( 192720 17575 )
-    NEW met2 ( 186960 3330 0 ) ( 186960 17575 )
-    NEW met1 ( 192720 17575 ) M1M2_PR
-    NEW li1 ( 192720 34595 ) L1M1_PR_MR
-    NEW met1 ( 192720 34595 ) M1M2_PR
-    NEW met1 ( 186960 17575 ) M1M2_PR
-    NEW met1 ( 192720 34595 ) RECT ( -355 -70 0 70 )
+  + ROUTED met1 ( 192240 33855 ) ( 192240 34595 )
+    NEW met2 ( 186960 3330 0 ) ( 186960 33855 )
+    NEW met1 ( 186960 33855 ) ( 192240 33855 )
+    NEW li1 ( 192240 34595 ) L1M1_PR_MR
+    NEW met1 ( 186960 33855 ) M1M2_PR
 + USE SIGNAL ;
 - la_data_out[67] ( PIN la_data_out[67] ) ( output535 X ) 
-  + ROUTED met1 ( 188400 33855 ) ( 196080 33855 )
-    NEW met1 ( 196080 33855 ) ( 196080 34595 )
-    NEW met2 ( 188400 3330 0 ) ( 188400 33855 )
-    NEW met1 ( 188400 33855 ) M1M2_PR
-    NEW li1 ( 196080 34595 ) L1M1_PR_MR
+  + ROUTED met2 ( 188400 3330 0 ) ( 188400 17575 )
+    NEW met1 ( 188400 17575 ) ( 194160 17575 )
+    NEW met2 ( 194160 17575 ) ( 194160 34595 )
+    NEW met1 ( 194160 34595 ) ( 196560 34595 )
+    NEW met1 ( 188400 17575 ) M1M2_PR
+    NEW met1 ( 194160 17575 ) M1M2_PR
+    NEW met1 ( 194160 34595 ) M1M2_PR
+    NEW li1 ( 196560 34595 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[68] ( PIN la_data_out[68] ) ( output536 X ) 
   + ROUTED met1 ( 190320 37185 ) ( 193680 37185 )
@@ -14367,115 +14326,122 @@
     NEW li1 ( 193680 37185 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[69] ( PIN la_data_out[69] ) ( output537 X ) 
-  + ROUTED met2 ( 192240 35150 ) ( 192720 35150 )
-    NEW met2 ( 192720 35150 ) ( 192720 35705 )
-    NEW met1 ( 192720 35705 ) ( 197520 35705 )
-    NEW li1 ( 197520 34595 ) ( 197520 35705 )
-    NEW met1 ( 197520 34595 ) ( 200400 34595 )
-    NEW met2 ( 192240 3330 0 ) ( 192240 35150 )
-    NEW met1 ( 192720 35705 ) M1M2_PR
-    NEW li1 ( 197520 35705 ) L1M1_PR_MR
-    NEW li1 ( 197520 34595 ) L1M1_PR_MR
+  + ROUTED met2 ( 192240 3330 0 ) ( 192240 7215 )
+    NEW met1 ( 191280 7215 ) ( 192240 7215 )
+    NEW met2 ( 191280 7215 ) ( 191280 17205 )
+    NEW met1 ( 191280 17205 ) ( 198000 17205 )
+    NEW met2 ( 198000 17205 ) ( 198000 34595 )
+    NEW met1 ( 198000 34595 ) ( 200400 34595 )
+    NEW met1 ( 192240 7215 ) M1M2_PR
+    NEW met1 ( 191280 7215 ) M1M2_PR
+    NEW met1 ( 191280 17205 ) M1M2_PR
+    NEW met1 ( 198000 17205 ) M1M2_PR
+    NEW met1 ( 198000 34595 ) M1M2_PR
     NEW li1 ( 200400 34595 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[6] ( PIN la_data_out[6] ) ( output538 X ) 
-  + ROUTED met2 ( 76560 3330 0 ) ( 76560 17390 )
-    NEW met2 ( 76560 17390 ) ( 77040 17390 )
-    NEW met2 ( 77040 17390 ) ( 77040 34595 )
-    NEW met1 ( 77040 34595 ) ( 78960 34595 )
-    NEW met1 ( 77040 34595 ) M1M2_PR
+  + ROUTED met1 ( 76560 15725 ) ( 78960 15725 )
+    NEW met2 ( 78960 15725 ) ( 78960 34595 )
+    NEW met2 ( 76560 3330 0 ) ( 76560 15725 )
+    NEW met1 ( 76560 15725 ) M1M2_PR
+    NEW met1 ( 78960 15725 ) M1M2_PR
     NEW li1 ( 78960 34595 ) L1M1_PR_MR
+    NEW met1 ( 78960 34595 ) M1M2_PR
+    NEW met1 ( 78960 34595 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_data_out[70] ( PIN la_data_out[70] ) ( output539 X ) 
-  + ROUTED met1 ( 194160 38665 ) ( 198000 38665 )
-    NEW met2 ( 194160 3330 0 ) ( 194160 38665 )
-    NEW met1 ( 194160 38665 ) M1M2_PR
-    NEW li1 ( 198000 38665 ) L1M1_PR_MR
+  + ROUTED met2 ( 194160 3330 0 ) ( 194160 15355 )
+    NEW met1 ( 194160 15355 ) ( 196080 15355 )
+    NEW met2 ( 196080 15355 ) ( 196080 36000 )
+    NEW met2 ( 196080 36000 ) ( 196560 36000 )
+    NEW met2 ( 196560 36000 ) ( 196560 37185 )
+    NEW met1 ( 196560 37185 ) ( 198000 37185 )
+    NEW met1 ( 194160 15355 ) M1M2_PR
+    NEW met1 ( 196080 15355 ) M1M2_PR
+    NEW met1 ( 196560 37185 ) M1M2_PR
+    NEW li1 ( 198000 37185 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[71] ( PIN la_data_out[71] ) ( output540 X ) 
-  + ROUTED met1 ( 196080 14615 ) ( 199920 14615 )
-    NEW met2 ( 199920 14615 ) ( 199920 30525 )
-    NEW met1 ( 199920 30525 ) ( 206640 30525 )
-    NEW met2 ( 196080 3330 0 ) ( 196080 14615 )
+  + ROUTED met2 ( 196080 3330 0 ) ( 196080 14615 )
+    NEW met1 ( 196080 14615 ) ( 197040 14615 )
+    NEW met1 ( 197040 14615 ) ( 197040 15355 )
+    NEW met1 ( 197040 15355 ) ( 199440 15355 )
+    NEW met2 ( 199440 15355 ) ( 199440 30525 )
+    NEW met1 ( 199440 30525 ) ( 206640 30525 )
     NEW met1 ( 196080 14615 ) M1M2_PR
-    NEW met1 ( 199920 14615 ) M1M2_PR
-    NEW met1 ( 199920 30525 ) M1M2_PR
+    NEW met1 ( 199440 15355 ) M1M2_PR
+    NEW met1 ( 199440 30525 ) M1M2_PR
     NEW li1 ( 206640 30525 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[72] ( PIN la_data_out[72] ) ( output541 X ) 
-  + ROUTED met1 ( 197520 37185 ) ( 201840 37185 )
-    NEW met2 ( 197520 3330 0 ) ( 197520 37185 )
-    NEW met1 ( 197520 37185 ) M1M2_PR
-    NEW li1 ( 201840 37185 ) L1M1_PR_MR
+  + ROUTED met2 ( 197520 3330 0 ) ( 197520 7770 )
+    NEW met2 ( 197520 7770 ) ( 198480 7770 )
+    NEW met1 ( 198480 38295 ) ( 201840 38295 )
+    NEW met1 ( 201840 38295 ) ( 201840 38665 )
+    NEW met2 ( 198480 7770 ) ( 198480 38295 )
+    NEW met1 ( 198480 38295 ) M1M2_PR
+    NEW li1 ( 201840 38665 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[73] ( PIN la_data_out[73] ) ( output542 X ) 
-  + ROUTED met1 ( 199440 34225 ) ( 207120 34225 )
-    NEW met1 ( 207120 34225 ) ( 207120 34595 )
-    NEW met2 ( 199440 3330 0 ) ( 199440 34225 )
-    NEW met1 ( 199440 34225 ) M1M2_PR
-    NEW li1 ( 207120 34595 ) L1M1_PR_MR
+  + ROUTED met2 ( 199440 3330 0 ) ( 199440 6290 )
+    NEW met2 ( 199440 6290 ) ( 199920 6290 )
+    NEW met2 ( 199920 6290 ) ( 199920 20350 )
+    NEW met2 ( 199920 20350 ) ( 200400 20350 )
+    NEW met2 ( 200400 20350 ) ( 200400 35335 )
+    NEW met1 ( 200400 35335 ) ( 207200 35335 )
+    NEW met1 ( 200400 35335 ) M1M2_PR
+    NEW li1 ( 207200 35335 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[74] ( PIN la_data_out[74] ) ( output543 X ) 
-  + ROUTED met1 ( 201360 38665 ) ( 205200 38665 )
-    NEW met2 ( 201360 3330 0 ) ( 201360 38665 )
-    NEW met1 ( 201360 38665 ) M1M2_PR
-    NEW li1 ( 205200 38665 ) L1M1_PR_MR
+  + ROUTED met1 ( 201360 37185 ) ( 201360 37555 )
+    NEW met1 ( 201360 37555 ) ( 205200 37555 )
+    NEW met1 ( 205200 37185 ) ( 205200 37555 )
+    NEW met2 ( 201360 3330 0 ) ( 201360 37185 )
+    NEW met1 ( 201360 37185 ) M1M2_PR
+    NEW li1 ( 205200 37185 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[75] ( PIN la_data_out[75] ) ( output544 X ) 
-  + ROUTED met1 ( 203280 31265 ) ( 207120 31265 )
-    NEW met1 ( 207120 30525 ) ( 207120 31265 )
+  + ROUTED met2 ( 203280 3330 0 ) ( 203280 30895 )
+    NEW met1 ( 203280 30895 ) ( 207120 30895 )
+    NEW met1 ( 207120 30525 ) ( 207120 30895 )
     NEW met1 ( 207120 30525 ) ( 213840 30525 )
-    NEW met2 ( 203280 3330 0 ) ( 203280 31265 )
-    NEW met1 ( 203280 31265 ) M1M2_PR
+    NEW met1 ( 203280 30895 ) M1M2_PR
     NEW li1 ( 213840 30525 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[76] ( PIN la_data_out[76] ) ( output545 X ) 
-  + ROUTED met1 ( 206640 32745 ) ( 211440 32745 )
-    NEW met2 ( 211440 32745 ) ( 211440 35705 )
-    NEW met1 ( 211360 35705 ) ( 211440 35705 )
-    NEW met2 ( 205200 3330 0 ) ( 205200 7215 )
-    NEW met1 ( 205200 7215 ) ( 206640 7215 )
-    NEW met2 ( 206640 7215 ) ( 206640 32745 )
-    NEW met1 ( 206640 32745 ) M1M2_PR
-    NEW met1 ( 211440 32745 ) M1M2_PR
-    NEW met1 ( 211440 35705 ) M1M2_PR
-    NEW li1 ( 211360 35705 ) L1M1_PR_MR
-    NEW met1 ( 205200 7215 ) M1M2_PR
-    NEW met1 ( 206640 7215 ) M1M2_PR
+  + ROUTED met2 ( 205200 3330 0 ) ( 205200 8695 )
+    NEW met1 ( 205200 8695 ) ( 206640 8695 )
+    NEW met2 ( 206640 8695 ) ( 206640 34225 )
+    NEW met1 ( 206640 34225 ) ( 210960 34225 )
+    NEW met1 ( 210960 34225 ) ( 210960 34595 )
+    NEW met1 ( 205200 8695 ) M1M2_PR
+    NEW met1 ( 206640 8695 ) M1M2_PR
+    NEW met1 ( 206640 34225 ) M1M2_PR
+    NEW li1 ( 210960 34595 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[77] ( PIN la_data_out[77] ) ( output546 X ) 
-  + ROUTED met2 ( 207120 18130 ) ( 209040 18130 )
-    NEW met2 ( 209040 18130 ) ( 209040 37185 )
-    NEW met2 ( 207120 3330 0 ) ( 207120 18130 )
-    NEW li1 ( 209040 37185 ) L1M1_PR_MR
-    NEW met1 ( 209040 37185 ) M1M2_PR
-    NEW met1 ( 209040 37185 ) RECT ( -355 -70 0 70 )
+  + ROUTED met1 ( 207120 38665 ) ( 209520 38665 )
+    NEW met2 ( 207120 3330 0 ) ( 207120 38665 )
+    NEW met1 ( 207120 38665 ) M1M2_PR
+    NEW li1 ( 209520 38665 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[78] ( PIN la_data_out[78] ) ( output547 X ) 
-  + ROUTED met1 ( 206160 16095 ) ( 208560 16095 )
-    NEW met2 ( 206160 16095 ) ( 206160 16650 )
-    NEW met2 ( 205680 16650 ) ( 206160 16650 )
-    NEW met2 ( 205680 16650 ) ( 205680 33855 )
-    NEW met1 ( 205680 33855 ) ( 212400 33855 )
-    NEW met1 ( 212400 33855 ) ( 212400 34595 )
-    NEW met1 ( 212400 34595 ) ( 214800 34595 )
-    NEW met2 ( 208560 3330 0 ) ( 208560 16095 )
-    NEW met1 ( 208560 16095 ) M1M2_PR
-    NEW met1 ( 206160 16095 ) M1M2_PR
-    NEW met1 ( 205680 33855 ) M1M2_PR
+  + ROUTED met2 ( 208560 3330 0 ) ( 208560 18130 )
+    NEW met2 ( 208080 18130 ) ( 208560 18130 )
+    NEW met2 ( 208080 18130 ) ( 208080 33855 )
+    NEW met1 ( 208080 33855 ) ( 211440 33855 )
+    NEW met1 ( 211440 33855 ) ( 211440 34595 )
+    NEW met1 ( 211440 34595 ) ( 214800 34595 )
+    NEW met1 ( 208080 33855 ) M1M2_PR
     NEW li1 ( 214800 34595 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[79] ( PIN la_data_out[79] ) ( output548 X ) 
-  + ROUTED met1 ( 210960 14985 ) ( 213360 14985 )
-    NEW met2 ( 213360 14985 ) ( 213360 30895 )
-    NEW met1 ( 213360 30895 ) ( 220080 30895 )
+  + ROUTED met2 ( 210480 3330 0 ) ( 210480 14985 )
+    NEW met2 ( 210480 14985 ) ( 210960 14985 )
+    NEW met2 ( 210960 14985 ) ( 210960 30895 )
+    NEW met1 ( 210960 30895 ) ( 220080 30895 )
     NEW met1 ( 220080 30525 ) ( 220080 30895 )
-    NEW met2 ( 210480 3330 0 ) ( 210480 4810 )
-    NEW met2 ( 210480 4810 ) ( 210960 4810 )
-    NEW met2 ( 210960 4810 ) ( 210960 14985 )
-    NEW met1 ( 210960 14985 ) M1M2_PR
-    NEW met1 ( 213360 14985 ) M1M2_PR
-    NEW met1 ( 213360 30895 ) M1M2_PR
+    NEW met1 ( 210960 30895 ) M1M2_PR
     NEW li1 ( 220080 30525 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[7] ( PIN la_data_out[7] ) ( output549 X ) 
@@ -14485,45 +14451,42 @@
     NEW li1 ( 78960 37185 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[80] ( PIN la_data_out[80] ) ( output550 X ) 
-  + ROUTED met1 ( 212400 37185 ) ( 212880 37185 )
+  + ROUTED met1 ( 212400 37185 ) ( 213360 37185 )
     NEW met2 ( 212400 3330 0 ) ( 212400 37185 )
     NEW met1 ( 212400 37185 ) M1M2_PR
-    NEW li1 ( 212880 37185 ) L1M1_PR_MR
+    NEW li1 ( 213360 37185 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[81] ( PIN la_data_out[81] ) ( output551 X ) 
-  + ROUTED met1 ( 214320 18685 ) ( 219120 18685 )
-    NEW met2 ( 219120 18685 ) ( 219120 34595 )
-    NEW met2 ( 214320 3330 0 ) ( 214320 18685 )
-    NEW met1 ( 214320 18685 ) M1M2_PR
-    NEW met1 ( 219120 18685 ) M1M2_PR
+  + ROUTED met2 ( 214320 3330 0 ) ( 214320 17390 )
+    NEW met2 ( 214320 17390 ) ( 215280 17390 )
+    NEW met2 ( 215280 17390 ) ( 215280 34595 )
+    NEW met1 ( 215280 34595 ) ( 219120 34595 )
+    NEW met1 ( 215280 34595 ) M1M2_PR
     NEW li1 ( 219120 34595 ) L1M1_PR_MR
-    NEW met1 ( 219120 34595 ) M1M2_PR
-    NEW met1 ( 219120 34595 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_data_out[82] ( PIN la_data_out[82] ) ( output552 X ) 
-  + ROUTED met2 ( 216240 3330 0 ) ( 216240 12765 )
-    NEW met1 ( 216240 12765 ) ( 220080 12765 )
-    NEW met2 ( 220080 12765 ) ( 220080 16650 )
-    NEW met2 ( 220080 16650 ) ( 220560 16650 )
-    NEW met2 ( 220560 16650 ) ( 220560 30525 )
-    NEW met1 ( 220560 30525 ) ( 223920 30525 )
-    NEW met1 ( 216240 12765 ) M1M2_PR
-    NEW met1 ( 220080 12765 ) M1M2_PR
-    NEW met1 ( 220560 30525 ) M1M2_PR
+  + ROUTED met2 ( 216240 3330 0 ) ( 216240 13875 )
+    NEW met1 ( 216240 13875 ) ( 220560 13875 )
+    NEW met1 ( 220560 13875 ) ( 220560 14615 )
+    NEW met1 ( 220560 14615 ) ( 222000 14615 )
+    NEW met2 ( 222000 14615 ) ( 222000 30525 )
+    NEW met1 ( 222000 30525 ) ( 223920 30525 )
+    NEW met1 ( 216240 13875 ) M1M2_PR
+    NEW met1 ( 222000 14615 ) M1M2_PR
+    NEW met1 ( 222000 30525 ) M1M2_PR
     NEW li1 ( 223920 30525 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[83] ( PIN la_data_out[83] ) ( output553 X ) 
-  + ROUTED met2 ( 218160 3330 0 ) ( 218160 34225 )
-    NEW met1 ( 218160 34225 ) ( 222960 34225 )
-    NEW met1 ( 222960 34225 ) ( 222960 34595 )
-    NEW met1 ( 218160 34225 ) M1M2_PR
-    NEW li1 ( 222960 34595 ) L1M1_PR_MR
+  + ROUTED met2 ( 218160 3330 0 ) ( 218160 35335 )
+    NEW met1 ( 218160 35335 ) ( 222560 35335 )
+    NEW met1 ( 218160 35335 ) M1M2_PR
+    NEW li1 ( 222560 35335 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[84] ( PIN la_data_out[84] ) ( output554 X ) 
-  + ROUTED met1 ( 219600 37185 ) ( 220080 37185 )
+  + ROUTED met1 ( 219600 37185 ) ( 220560 37185 )
     NEW met2 ( 219600 3330 0 ) ( 219600 37185 )
     NEW met1 ( 219600 37185 ) M1M2_PR
-    NEW li1 ( 220080 37185 ) L1M1_PR_MR
+    NEW li1 ( 220560 37185 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[85] ( PIN la_data_out[85] ) ( output555 X ) 
   + ROUTED met2 ( 221520 3330 0 ) ( 221520 33855 )
@@ -14533,59 +14496,56 @@
     NEW li1 ( 226800 34595 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[86] ( PIN la_data_out[86] ) ( output556 X ) 
-  + ROUTED met2 ( 223440 3330 0 ) ( 223440 17575 )
-    NEW met2 ( 223440 17575 ) ( 223920 17575 )
-    NEW met2 ( 223920 17575 ) ( 223920 37185 )
-    NEW li1 ( 223920 37185 ) L1M1_PR_MR
-    NEW met1 ( 223920 37185 ) M1M2_PR
-    NEW met1 ( 223920 37185 ) RECT ( -355 -70 0 70 )
+  + ROUTED met1 ( 223440 37185 ) ( 224400 37185 )
+    NEW met2 ( 223440 3330 0 ) ( 223440 37185 )
+    NEW met1 ( 223440 37185 ) M1M2_PR
+    NEW li1 ( 224400 37185 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[87] ( PIN la_data_out[87] ) ( output557 X ) 
-  + ROUTED met1 ( 225360 37185 ) ( 227760 37185 )
-    NEW met2 ( 225360 3330 0 ) ( 225360 37185 )
-    NEW met1 ( 225360 37185 ) M1M2_PR
-    NEW li1 ( 227760 37185 ) L1M1_PR_MR
+  + ROUTED met1 ( 225360 38665 ) ( 228240 38665 )
+    NEW met2 ( 225360 3330 0 ) ( 225360 38665 )
+    NEW met1 ( 225360 38665 ) M1M2_PR
+    NEW li1 ( 228240 38665 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[88] ( PIN la_data_out[88] ) ( output558 X ) 
   + ROUTED met2 ( 227280 3330 0 ) ( 227280 15725 )
     NEW met2 ( 226800 15725 ) ( 227280 15725 )
-    NEW met2 ( 226800 15725 ) ( 226800 34595 )
-    NEW met2 ( 226800 34595 ) ( 227280 34595 )
+    NEW met2 ( 226800 15725 ) ( 226800 25530 )
+    NEW met2 ( 226800 25530 ) ( 227280 25530 )
+    NEW met2 ( 227280 25530 ) ( 227280 34595 )
     NEW met1 ( 227280 34595 ) ( 233520 34595 )
     NEW met1 ( 227280 34595 ) M1M2_PR
     NEW li1 ( 233520 34595 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[89] ( PIN la_data_out[89] ) ( output559 X ) 
-  + ROUTED met1 ( 229200 38665 ) ( 231600 38665 )
+  + ROUTED met1 ( 229200 38665 ) ( 232080 38665 )
     NEW met2 ( 229200 3330 0 ) ( 229200 38665 )
     NEW met1 ( 229200 38665 ) M1M2_PR
-    NEW li1 ( 231600 38665 ) L1M1_PR_MR
+    NEW li1 ( 232080 38665 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[8] ( PIN la_data_out[8] ) ( output560 X ) 
-  + ROUTED met2 ( 80400 3330 0 ) ( 80400 18130 )
-    NEW met2 ( 80400 18130 ) ( 80880 18130 )
-    NEW met2 ( 80880 18130 ) ( 80880 41255 )
+  + ROUTED met1 ( 80400 41255 ) ( 80880 41255 )
+    NEW met2 ( 80400 3330 0 ) ( 80400 41255 )
+    NEW met1 ( 80400 41255 ) M1M2_PR
     NEW li1 ( 80880 41255 ) L1M1_PR_MR
-    NEW met1 ( 80880 41255 ) M1M2_PR
-    NEW met1 ( 80880 41255 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_data_out[90] ( PIN la_data_out[90] ) ( output561 X ) 
-  + ROUTED met2 ( 230640 3330 0 ) ( 230640 13875 )
-    NEW met1 ( 230640 13875 ) ( 233520 13875 )
-    NEW met2 ( 233520 13875 ) ( 233520 22570 )
-    NEW met2 ( 233520 22570 ) ( 234000 22570 )
-    NEW met2 ( 234000 22570 ) ( 234000 34595 )
-    NEW met1 ( 234000 34595 ) ( 237360 34595 )
-    NEW met1 ( 230640 13875 ) M1M2_PR
-    NEW met1 ( 233520 13875 ) M1M2_PR
-    NEW met1 ( 234000 34595 ) M1M2_PR
-    NEW li1 ( 237360 34595 ) L1M1_PR_MR
+  + ROUTED met2 ( 230640 3330 0 ) ( 230640 13690 )
+    NEW met2 ( 230640 13690 ) ( 231120 13690 )
+    NEW met2 ( 231120 13690 ) ( 231120 15725 )
+    NEW met2 ( 231120 15725 ) ( 231600 15725 )
+    NEW met2 ( 231600 15725 ) ( 231600 34225 )
+    NEW met1 ( 231600 34225 ) ( 234000 34225 )
+    NEW met1 ( 234000 34225 ) ( 234000 34595 )
+    NEW met1 ( 234000 34595 ) ( 237840 34595 )
+    NEW met1 ( 231600 34225 ) M1M2_PR
+    NEW li1 ( 237840 34595 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[91] ( PIN la_data_out[91] ) ( output562 X ) 
-  + ROUTED met1 ( 232560 38665 ) ( 235440 38665 )
+  + ROUTED met1 ( 232560 38665 ) ( 235920 38665 )
     NEW met2 ( 232560 3330 0 ) ( 232560 38665 )
     NEW met1 ( 232560 38665 ) M1M2_PR
-    NEW li1 ( 235440 38665 ) L1M1_PR_MR
+    NEW li1 ( 235920 38665 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[92] ( PIN la_data_out[92] ) ( output563 X ) 
   + ROUTED met2 ( 234480 3330 0 ) ( 234480 34225 )
@@ -14601,16 +14561,16 @@
     NEW li1 ( 239280 37185 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[94] ( PIN la_data_out[94] ) ( output565 X ) 
-  + ROUTED met2 ( 238320 3330 0 ) ( 238320 13690 )
-    NEW met2 ( 237840 13690 ) ( 238320 13690 )
-    NEW met2 ( 237840 13690 ) ( 237840 17575 )
-    NEW met1 ( 237840 17575 ) ( 241680 17575 )
-    NEW met2 ( 241680 17575 ) ( 241680 34595 )
-    NEW met1 ( 241680 34595 ) ( 245040 34595 )
+  + ROUTED met2 ( 238320 3330 0 ) ( 238320 15170 )
+    NEW met2 ( 237840 15170 ) ( 238320 15170 )
+    NEW met2 ( 237840 15170 ) ( 237840 17575 )
+    NEW met1 ( 237840 17575 ) ( 242160 17575 )
+    NEW met2 ( 242160 17575 ) ( 242160 34595 )
+    NEW met1 ( 242160 34595 ) ( 245520 34595 )
     NEW met1 ( 237840 17575 ) M1M2_PR
-    NEW met1 ( 241680 17575 ) M1M2_PR
-    NEW met1 ( 241680 34595 ) M1M2_PR
-    NEW li1 ( 245040 34595 ) L1M1_PR_MR
+    NEW met1 ( 242160 17575 ) M1M2_PR
+    NEW met1 ( 242160 34595 ) M1M2_PR
+    NEW li1 ( 245520 34595 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[95] ( PIN la_data_out[95] ) ( output566 X ) 
   + ROUTED met1 ( 240240 41255 ) ( 240720 41255 )
@@ -14619,28 +14579,23 @@
     NEW li1 ( 240720 41255 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[96] ( PIN la_data_out[96] ) ( output567 X ) 
-  + ROUTED met2 ( 241680 3330 0 ) ( 241680 9250 )
-    NEW met2 ( 241680 9250 ) ( 242160 9250 )
-    NEW met1 ( 242160 37185 ) ( 246480 37185 )
-    NEW met2 ( 242160 9250 ) ( 242160 37185 )
-    NEW met1 ( 242160 37185 ) M1M2_PR
-    NEW li1 ( 246480 37185 ) L1M1_PR_MR
+  + ROUTED met1 ( 241680 37185 ) ( 246960 37185 )
+    NEW met2 ( 241680 3330 0 ) ( 241680 37185 )
+    NEW met1 ( 241680 37185 ) M1M2_PR
+    NEW li1 ( 246960 37185 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[97] ( PIN la_data_out[97] ) ( output568 X ) 
-  + ROUTED met1 ( 243600 40885 ) ( 245040 40885 )
-    NEW met1 ( 245040 40885 ) ( 245040 41255 )
-    NEW met2 ( 243600 3330 0 ) ( 243600 40885 )
-    NEW met1 ( 243600 40885 ) M1M2_PR
-    NEW li1 ( 245040 41255 ) L1M1_PR_MR
+  + ROUTED met1 ( 243600 41255 ) ( 244560 41255 )
+    NEW met2 ( 243600 3330 0 ) ( 243600 41255 )
+    NEW met1 ( 243600 41255 ) M1M2_PR
+    NEW li1 ( 244560 41255 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[98] ( PIN la_data_out[98] ) ( output569 X ) 
-  + ROUTED met2 ( 245520 3330 0 ) ( 245520 18130 )
-    NEW met2 ( 245520 18130 ) ( 246000 18130 )
-    NEW met1 ( 246000 37555 ) ( 250320 37555 )
-    NEW met1 ( 250320 37185 ) ( 250320 37555 )
-    NEW met2 ( 246000 18130 ) ( 246000 37555 )
-    NEW met1 ( 246000 37555 ) M1M2_PR
-    NEW li1 ( 250320 37185 ) L1M1_PR_MR
+  + ROUTED met1 ( 245520 37555 ) ( 250800 37555 )
+    NEW met1 ( 250800 37185 ) ( 250800 37555 )
+    NEW met2 ( 245520 3330 0 ) ( 245520 37555 )
+    NEW met1 ( 245520 37555 ) M1M2_PR
+    NEW li1 ( 250800 37185 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_data_out[99] ( PIN la_data_out[99] ) ( output570 X ) 
   + ROUTED met1 ( 247440 41255 ) ( 248400 41255 )
@@ -14655,8 +14610,8 @@
     NEW li1 ( 84720 41255 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_oen[0] ( PIN la_oen[0] ) ( input167 A ) 
-  + ROUTED met2 ( 66000 3330 0 ) ( 66000 18315 )
-    NEW met1 ( 65040 18315 ) ( 66000 18315 )
+  + ROUTED met1 ( 65040 18315 ) ( 66000 18315 )
+    NEW met2 ( 66000 3330 0 ) ( 66000 18315 )
     NEW met1 ( 66000 18315 ) M1M2_PR
     NEW li1 ( 65040 18315 ) L1M1_PR_MR
 + USE SIGNAL ;
@@ -14705,12 +14660,12 @@
     NEW met1 ( 259440 24975 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_oen[105] ( PIN la_oen[105] ) ( input173 A ) 
-  + ROUTED met2 ( 258960 3330 0 ) ( 258960 15355 )
-    NEW met1 ( 258960 15355 ) ( 261360 15355 )
-    NEW met2 ( 261360 15355 ) ( 261360 24975 )
+  + ROUTED met2 ( 258960 3330 0 ) ( 258960 15725 )
+    NEW met1 ( 258960 15725 ) ( 261360 15725 )
+    NEW met2 ( 261360 15725 ) ( 261360 24975 )
     NEW met1 ( 261360 24975 ) ( 263280 24975 )
-    NEW met1 ( 258960 15355 ) M1M2_PR
-    NEW met1 ( 261360 15355 ) M1M2_PR
+    NEW met1 ( 258960 15725 ) M1M2_PR
+    NEW met1 ( 261360 15725 ) M1M2_PR
     NEW met1 ( 261360 24975 ) M1M2_PR
     NEW li1 ( 263280 24975 ) L1M1_PR_MR
 + USE SIGNAL ;
@@ -14745,12 +14700,13 @@
     NEW li1 ( 272400 24975 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_oen[10] ( PIN la_oen[10] ) ( input178 A ) 
-  + ROUTED met1 ( 86400 21645 ) ( 89040 21645 )
-    NEW met2 ( 84720 3330 0 ) ( 84720 21275 )
-    NEW met1 ( 84720 21275 ) ( 86400 21275 )
-    NEW met1 ( 86400 21275 ) ( 86400 21645 )
+  + ROUTED met2 ( 84720 15725 ) ( 85200 15725 )
+    NEW met2 ( 85200 15725 ) ( 85200 20535 )
+    NEW met1 ( 85200 20535 ) ( 89040 20535 )
+    NEW met1 ( 89040 20535 ) ( 89040 21645 )
+    NEW met2 ( 84720 3330 0 ) ( 84720 15725 )
+    NEW met1 ( 85200 20535 ) M1M2_PR
     NEW li1 ( 89040 21645 ) L1M1_PR_MR
-    NEW met1 ( 84720 21275 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[110] ( PIN la_oen[110] ) ( input179 A ) 
   + ROUTED met2 ( 268080 3330 0 ) ( 268080 27935 )
@@ -14766,14 +14722,13 @@
     NEW li1 ( 272400 31635 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_oen[112] ( PIN la_oen[112] ) ( input181 A ) 
-  + ROUTED met2 ( 271920 3330 0 ) ( 271920 19055 )
-    NEW met1 ( 271920 19055 ) ( 276240 19055 )
-    NEW met2 ( 276240 19055 ) ( 276240 31635 )
-    NEW met1 ( 271920 19055 ) M1M2_PR
-    NEW met1 ( 276240 19055 ) M1M2_PR
+  + ROUTED met2 ( 271920 3330 0 ) ( 271920 18130 )
+    NEW met2 ( 271920 18130 ) ( 272400 18130 )
+    NEW met2 ( 272400 18130 ) ( 272400 30895 )
+    NEW met1 ( 272400 30895 ) ( 276240 30895 )
+    NEW met1 ( 276240 30895 ) ( 276240 31635 )
+    NEW met1 ( 272400 30895 ) M1M2_PR
     NEW li1 ( 276240 31635 ) L1M1_PR_MR
-    NEW met1 ( 276240 31635 ) M1M2_PR
-    NEW met1 ( 276240 31635 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_oen[113] ( PIN la_oen[113] ) ( input182 A ) 
   + ROUTED met2 ( 273840 3330 0 ) ( 273840 34965 )
@@ -14782,10 +14737,10 @@
     NEW met1 ( 273840 34965 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_oen[114] ( PIN la_oen[114] ) ( input183 A ) 
-  + ROUTED met2 ( 275280 3330 0 ) ( 275280 30895 )
-    NEW met1 ( 275280 30895 ) ( 280080 30895 )
-    NEW met1 ( 280080 30895 ) ( 280080 31635 )
-    NEW met1 ( 275280 30895 ) M1M2_PR
+  + ROUTED met2 ( 275280 3330 0 ) ( 275280 32005 )
+    NEW met1 ( 275280 32005 ) ( 280080 32005 )
+    NEW met1 ( 280080 31635 ) ( 280080 32005 )
+    NEW met1 ( 275280 32005 ) M1M2_PR
     NEW li1 ( 280080 31635 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_oen[115] ( PIN la_oen[115] ) ( input184 A ) 
@@ -14807,12 +14762,14 @@
     NEW li1 ( 282960 38295 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_oen[118] ( PIN la_oen[118] ) ( input187 A ) 
-  + ROUTED met2 ( 282960 3330 0 ) ( 282960 36000 )
-    NEW met2 ( 282960 36000 ) ( 283440 36000 )
-    NEW met2 ( 283440 36000 ) ( 283440 38295 )
-    NEW met1 ( 283440 38295 ) ( 286800 38295 )
-    NEW met1 ( 283440 38295 ) M1M2_PR
+  + ROUTED met2 ( 282960 3330 0 ) ( 282960 14985 )
+    NEW met1 ( 282960 14985 ) ( 286800 14985 )
+    NEW met2 ( 286800 14985 ) ( 286800 38295 )
+    NEW met1 ( 282960 14985 ) M1M2_PR
+    NEW met1 ( 286800 14985 ) M1M2_PR
     NEW li1 ( 286800 38295 ) L1M1_PR_MR
+    NEW met1 ( 286800 38295 ) M1M2_PR
+    NEW met1 ( 286800 38295 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_oen[119] ( PIN la_oen[119] ) ( input188 A ) 
   + ROUTED met1 ( 284880 41625 ) ( 285840 41625 )
@@ -14821,16 +14778,12 @@
     NEW li1 ( 285840 41625 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_oen[11] ( PIN la_oen[11] ) ( input189 A ) 
-  + ROUTED met2 ( 86640 14430 ) ( 86640 17575 )
-    NEW met1 ( 86640 17575 ) ( 87600 17575 )
-    NEW met2 ( 87600 17575 ) ( 87600 24975 )
-    NEW met2 ( 86160 3330 0 ) ( 86160 14430 )
-    NEW met2 ( 86160 14430 ) ( 86640 14430 )
-    NEW met1 ( 86640 17575 ) M1M2_PR
-    NEW met1 ( 87600 17575 ) M1M2_PR
+  + ROUTED met2 ( 86160 3330 0 ) ( 86160 14400 )
+    NEW met2 ( 86160 14400 ) ( 86640 14400 )
+    NEW met2 ( 86640 14400 ) ( 86640 24975 )
+    NEW met1 ( 86640 24975 ) ( 87600 24975 )
+    NEW met1 ( 86640 24975 ) M1M2_PR
     NEW li1 ( 87600 24975 ) L1M1_PR_MR
-    NEW met1 ( 87600 24975 ) M1M2_PR
-    NEW met1 ( 87600 24975 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_oen[120] ( PIN la_oen[120] ) ( input190 A ) 
   + ROUTED met2 ( 286320 3330 0 ) ( 286320 44955 )
@@ -14845,23 +14798,16 @@
     NEW met1 ( 288240 48285 ) RECT ( 0 -70 355 70 )
 + USE SIGNAL ;
 - la_oen[122] ( PIN la_oen[122] ) ( input192 A ) 
-  + ROUTED met2 ( 290160 3330 0 ) ( 290160 15725 )
-    NEW met1 ( 266640 31635 ) ( 266640 32005 )
-    NEW met1 ( 266640 32005 ) ( 285360 32005 )
-    NEW met1 ( 285360 32005 ) ( 285360 32375 )
-    NEW met2 ( 285360 25530 ) ( 285360 32375 )
-    NEW met2 ( 285360 25530 ) ( 285840 25530 )
-    NEW met2 ( 285840 19055 ) ( 285840 25530 )
-    NEW met1 ( 285840 19055 ) ( 286800 19055 )
-    NEW met1 ( 286800 18685 ) ( 286800 19055 )
-    NEW met2 ( 286800 15725 ) ( 286800 18685 )
-    NEW met1 ( 286800 15725 ) ( 290160 15725 )
-    NEW met1 ( 290160 15725 ) M1M2_PR
+  + ROUTED met2 ( 290160 3330 0 ) ( 290160 20535 )
+    NEW met1 ( 266640 31635 ) ( 268080 31635 )
+    NEW met1 ( 268080 30525 ) ( 268080 31635 )
+    NEW met1 ( 268080 30525 ) ( 282480 30525 )
+    NEW met2 ( 282480 20535 ) ( 282480 30525 )
+    NEW met1 ( 282480 20535 ) ( 290160 20535 )
+    NEW met1 ( 290160 20535 ) M1M2_PR
     NEW li1 ( 266640 31635 ) L1M1_PR_MR
-    NEW met1 ( 285360 32375 ) M1M2_PR
-    NEW met1 ( 285840 19055 ) M1M2_PR
-    NEW met1 ( 286800 18685 ) M1M2_PR
-    NEW met1 ( 286800 15725 ) M1M2_PR
+    NEW met1 ( 282480 30525 ) M1M2_PR
+    NEW met1 ( 282480 20535 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[123] ( PIN la_oen[123] ) ( input193 A ) 
   + ROUTED met2 ( 292080 3330 0 ) ( 292080 41255 )
@@ -14871,60 +14817,65 @@
     NEW li1 ( 280080 41625 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_oen[124] ( PIN la_oen[124] ) ( input194 A ) 
-  + ROUTED met2 ( 294000 3330 0 ) ( 294000 38665 )
-    NEW met1 ( 275760 38295 ) ( 275760 38665 )
-    NEW met1 ( 275760 38665 ) ( 294000 38665 )
-    NEW met1 ( 294000 38665 ) M1M2_PR
+  + ROUTED met2 ( 294000 3330 0 ) ( 294000 37925 )
+    NEW met1 ( 275760 37925 ) ( 275760 38295 )
+    NEW met1 ( 275760 37925 ) ( 294000 37925 )
+    NEW met1 ( 294000 37925 ) M1M2_PR
     NEW li1 ( 275760 38295 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_oen[125] ( PIN la_oen[125] ) ( input195 A ) 
-  + ROUTED met2 ( 295920 3330 0 ) ( 295920 19425 )
-    NEW met1 ( 286800 19425 ) ( 295920 19425 )
-    NEW met1 ( 282960 44585 ) ( 286800 44585 )
-    NEW met1 ( 282960 44585 ) ( 282960 44955 )
-    NEW met2 ( 286800 19425 ) ( 286800 44585 )
-    NEW met1 ( 295920 19425 ) M1M2_PR
-    NEW met1 ( 286800 19425 ) M1M2_PR
-    NEW met1 ( 286800 44585 ) M1M2_PR
+  + ROUTED met2 ( 295920 3330 0 ) ( 295920 21275 )
+    NEW met1 ( 284400 21275 ) ( 295920 21275 )
+    NEW met1 ( 282960 44955 ) ( 284400 44955 )
+    NEW met2 ( 284400 21275 ) ( 284400 44955 )
+    NEW met1 ( 295920 21275 ) M1M2_PR
+    NEW met1 ( 284400 21275 ) M1M2_PR
+    NEW met1 ( 284400 44955 ) M1M2_PR
     NEW li1 ( 282960 44955 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_oen[126] ( PIN la_oen[126] ) ( input196 A ) 
-  + ROUTED met2 ( 297360 3330 0 ) ( 297360 21275 )
-    NEW met1 ( 270480 34595 ) ( 270480 34965 )
-    NEW met1 ( 270480 34595 ) ( 282000 34595 )
-    NEW met2 ( 282000 21275 ) ( 282000 34595 )
-    NEW met1 ( 282000 21275 ) ( 297360 21275 )
-    NEW met1 ( 297360 21275 ) M1M2_PR
+  + ROUTED met2 ( 297360 3330 0 ) ( 297360 14615 )
+    NEW met1 ( 288720 14615 ) ( 297360 14615 )
+    NEW met2 ( 288720 14615 ) ( 288720 29045 )
+    NEW met1 ( 270480 34965 ) ( 272880 34965 )
+    NEW met1 ( 272880 34595 ) ( 272880 34965 )
+    NEW met1 ( 272880 34595 ) ( 282000 34595 )
+    NEW met2 ( 282000 29045 ) ( 282000 34595 )
+    NEW met1 ( 282000 29045 ) ( 288720 29045 )
+    NEW met1 ( 297360 14615 ) M1M2_PR
+    NEW met1 ( 288720 14615 ) M1M2_PR
+    NEW met1 ( 288720 29045 ) M1M2_PR
     NEW li1 ( 270480 34965 ) L1M1_PR_MR
     NEW met1 ( 282000 34595 ) M1M2_PR
-    NEW met1 ( 282000 21275 ) M1M2_PR
+    NEW met1 ( 282000 29045 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[127] ( PIN la_oen[127] ) ( input197 A ) 
-  + ROUTED met2 ( 299280 3330 0 ) ( 299280 41995 )
-    NEW met1 ( 276240 41625 ) ( 276240 41995 )
-    NEW met1 ( 276240 41995 ) ( 299280 41995 )
-    NEW met1 ( 299280 41995 ) M1M2_PR
+  + ROUTED met2 ( 299280 3330 0 ) ( 299280 40885 )
+    NEW met1 ( 276240 41625 ) ( 279600 41625 )
+    NEW met1 ( 279600 40885 ) ( 279600 41625 )
+    NEW met1 ( 279600 40885 ) ( 299280 40885 )
+    NEW met1 ( 299280 40885 ) M1M2_PR
     NEW li1 ( 276240 41625 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_oen[12] ( PIN la_oen[12] ) ( input198 A ) 
-  + ROUTED met2 ( 88080 3330 0 ) ( 88080 21275 )
-    NEW met1 ( 88080 21275 ) ( 92880 21275 )
-    NEW met1 ( 92880 21275 ) ( 92880 21645 )
-    NEW met1 ( 88080 21275 ) M1M2_PR
+  + ROUTED met1 ( 88080 22015 ) ( 92880 22015 )
+    NEW met1 ( 92880 21645 ) ( 92880 22015 )
+    NEW met2 ( 88080 3330 0 ) ( 88080 22015 )
+    NEW met1 ( 88080 22015 ) M1M2_PR
     NEW li1 ( 92880 21645 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_oen[13] ( PIN la_oen[13] ) ( input199 A ) 
-  + ROUTED met2 ( 90000 3330 0 ) ( 90000 24975 )
-    NEW met1 ( 90000 24975 ) ( 91440 24975 )
+  + ROUTED met1 ( 90000 24975 ) ( 91440 24975 )
+    NEW met2 ( 90000 3330 0 ) ( 90000 24975 )
     NEW met1 ( 90000 24975 ) M1M2_PR
     NEW li1 ( 91440 24975 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_oen[14] ( PIN la_oen[14] ) ( input200 A ) 
-  + ROUTED met2 ( 91920 3330 0 ) ( 91920 15355 )
-    NEW met1 ( 91920 15355 ) ( 95280 15355 )
-    NEW met2 ( 95280 15355 ) ( 95280 24975 )
-    NEW met1 ( 91920 15355 ) M1M2_PR
-    NEW met1 ( 95280 15355 ) M1M2_PR
+  + ROUTED met1 ( 91920 19425 ) ( 95280 19425 )
+    NEW met2 ( 95280 19425 ) ( 95280 24975 )
+    NEW met2 ( 91920 3330 0 ) ( 91920 19425 )
+    NEW met1 ( 91920 19425 ) M1M2_PR
+    NEW met1 ( 95280 19425 ) M1M2_PR
     NEW li1 ( 95280 24975 ) L1M1_PR_MR
     NEW met1 ( 95280 24975 ) M1M2_PR
     NEW met1 ( 95280 24975 ) RECT ( -355 -70 0 70 )
@@ -14938,38 +14889,38 @@
 - la_oen[16] ( PIN la_oen[16] ) ( input202 A ) 
   + ROUTED met2 ( 95280 3330 0 ) ( 95280 12950 )
     NEW met2 ( 95280 12950 ) ( 95760 12950 )
-    NEW met2 ( 95760 12950 ) ( 95760 24975 )
     NEW met1 ( 95760 24975 ) ( 99120 24975 )
+    NEW met2 ( 95760 12950 ) ( 95760 24975 )
     NEW met1 ( 95760 24975 ) M1M2_PR
     NEW li1 ( 99120 24975 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_oen[17] ( PIN la_oen[17] ) ( input203 A ) 
-  + ROUTED met2 ( 97200 3330 0 ) ( 97200 19055 )
-    NEW met1 ( 97200 19055 ) ( 103440 19055 )
-    NEW met2 ( 103440 19055 ) ( 103440 24975 )
-    NEW met1 ( 97200 19055 ) M1M2_PR
-    NEW met1 ( 103440 19055 ) M1M2_PR
-    NEW li1 ( 103440 24975 ) L1M1_PR_MR
-    NEW met1 ( 103440 24975 ) M1M2_PR
-    NEW met1 ( 103440 24975 ) RECT ( -355 -70 0 70 )
+  + ROUTED met1 ( 97200 19425 ) ( 102000 19425 )
+    NEW met2 ( 102000 19425 ) ( 102000 24975 )
+    NEW met1 ( 102000 24975 ) ( 102960 24975 )
+    NEW met2 ( 97200 3330 0 ) ( 97200 19425 )
+    NEW met1 ( 97200 19425 ) M1M2_PR
+    NEW met1 ( 102000 19425 ) M1M2_PR
+    NEW met1 ( 102000 24975 ) M1M2_PR
+    NEW li1 ( 102960 24975 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_oen[18] ( PIN la_oen[18] ) ( input204 A ) 
-  + ROUTED met2 ( 99120 3330 0 ) ( 99120 15355 )
-    NEW met1 ( 99120 15355 ) ( 101040 15355 )
-    NEW met2 ( 101040 15355 ) ( 101040 28305 )
-    NEW met1 ( 99120 15355 ) M1M2_PR
-    NEW met1 ( 101040 15355 ) M1M2_PR
+  + ROUTED met1 ( 99120 16095 ) ( 101040 16095 )
+    NEW met2 ( 101040 16095 ) ( 101040 28305 )
+    NEW met2 ( 99120 3330 0 ) ( 99120 16095 )
+    NEW met1 ( 99120 16095 ) M1M2_PR
+    NEW met1 ( 101040 16095 ) M1M2_PR
     NEW li1 ( 101040 28305 ) L1M1_PR_MR
     NEW met1 ( 101040 28305 ) M1M2_PR
     NEW met1 ( 101040 28305 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_oen[19] ( PIN la_oen[19] ) ( input205 A ) 
-  + ROUTED met2 ( 101040 3330 0 ) ( 101040 12395 )
-    NEW met1 ( 101040 12395 ) ( 104400 12395 )
-    NEW met2 ( 104400 12395 ) ( 104400 24975 )
+  + ROUTED met2 ( 101040 3330 0 ) ( 101040 12765 )
+    NEW met1 ( 101040 12765 ) ( 104400 12765 )
     NEW met1 ( 104400 24975 ) ( 106800 24975 )
-    NEW met1 ( 101040 12395 ) M1M2_PR
-    NEW met1 ( 104400 12395 ) M1M2_PR
+    NEW met2 ( 104400 12765 ) ( 104400 24975 )
+    NEW met1 ( 101040 12765 ) M1M2_PR
+    NEW met1 ( 104400 12765 ) M1M2_PR
     NEW met1 ( 104400 24975 ) M1M2_PR
     NEW li1 ( 106800 24975 ) L1M1_PR_MR
 + USE SIGNAL ;
@@ -14980,29 +14931,25 @@
     NEW met1 ( 67920 21645 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_oen[20] ( PIN la_oen[20] ) ( input207 A ) 
-  + ROUTED met2 ( 102960 3330 0 ) ( 102960 28305 )
-    NEW met1 ( 102960 28305 ) ( 104880 28305 )
+  + ROUTED met1 ( 102960 28305 ) ( 104880 28305 )
+    NEW met2 ( 102960 3330 0 ) ( 102960 28305 )
     NEW met1 ( 102960 28305 ) M1M2_PR
     NEW li1 ( 104880 28305 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_oen[21] ( PIN la_oen[21] ) ( input208 A ) 
-  + ROUTED met2 ( 104880 3330 0 ) ( 104880 14615 )
-    NEW met1 ( 104880 14615 ) ( 108720 14615 )
-    NEW met2 ( 108720 14615 ) ( 108720 27565 )
-    NEW met1 ( 108240 27565 ) ( 108720 27565 )
-    NEW li1 ( 108240 27565 ) ( 108240 28305 )
-    NEW met1 ( 108240 28305 ) ( 108720 28305 )
-    NEW met1 ( 104880 14615 ) M1M2_PR
-    NEW met1 ( 108720 14615 ) M1M2_PR
-    NEW met1 ( 108720 27565 ) M1M2_PR
-    NEW li1 ( 108240 27565 ) L1M1_PR_MR
-    NEW li1 ( 108240 28305 ) L1M1_PR_MR
+  + ROUTED met1 ( 104880 17945 ) ( 108720 17945 )
+    NEW met2 ( 108720 17945 ) ( 108720 28305 )
+    NEW met2 ( 104880 3330 0 ) ( 104880 17945 )
+    NEW met1 ( 104880 17945 ) M1M2_PR
+    NEW met1 ( 108720 17945 ) M1M2_PR
     NEW li1 ( 108720 28305 ) L1M1_PR_MR
+    NEW met1 ( 108720 28305 ) M1M2_PR
+    NEW met1 ( 108720 28305 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_oen[22] ( PIN la_oen[22] ) ( input209 A ) 
-  + ROUTED met2 ( 106320 3330 0 ) ( 106320 19425 )
-    NEW met1 ( 106320 19425 ) ( 114000 19425 )
+  + ROUTED met1 ( 106320 19425 ) ( 114000 19425 )
     NEW met2 ( 114000 19425 ) ( 114000 24975 )
+    NEW met2 ( 106320 3330 0 ) ( 106320 19425 )
     NEW met1 ( 106320 19425 ) M1M2_PR
     NEW met1 ( 114000 19425 ) M1M2_PR
     NEW li1 ( 114000 24975 ) L1M1_PR_MR
@@ -15010,36 +14957,38 @@
     NEW met1 ( 114000 24975 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_oen[23] ( PIN la_oen[23] ) ( input210 A ) 
-  + ROUTED met2 ( 108240 3330 0 ) ( 108240 28305 )
-    NEW met2 ( 108240 28305 ) ( 109200 28305 )
-    NEW met1 ( 109200 28305 ) ( 112560 28305 )
-    NEW met1 ( 109200 28305 ) M1M2_PR
+  + ROUTED met1 ( 108240 27935 ) ( 112560 27935 )
+    NEW met1 ( 112560 27935 ) ( 112560 28305 )
+    NEW met2 ( 108240 3330 0 ) ( 108240 27935 )
+    NEW met1 ( 108240 27935 ) M1M2_PR
     NEW li1 ( 112560 28305 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_oen[24] ( PIN la_oen[24] ) ( input211 A ) 
-  + ROUTED met2 ( 110160 3330 0 ) ( 110160 21275 )
+  + ROUTED met1 ( 120240 21275 ) ( 120240 21645 )
+    NEW met2 ( 110160 3330 0 ) ( 110160 21275 )
     NEW met1 ( 110160 21275 ) ( 120240 21275 )
-    NEW met1 ( 120240 21275 ) ( 120240 21645 )
-    NEW met1 ( 110160 21275 ) M1M2_PR
     NEW li1 ( 120240 21645 ) L1M1_PR_MR
+    NEW met1 ( 110160 21275 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[25] ( PIN la_oen[25] ) ( input212 A ) 
-  + ROUTED met2 ( 112080 3330 0 ) ( 112080 15355 )
+  + ROUTED met2 ( 117840 15355 ) ( 117840 24975 )
+    NEW met2 ( 112080 3330 0 ) ( 112080 15355 )
     NEW met1 ( 112080 15355 ) ( 117840 15355 )
-    NEW met2 ( 117840 15355 ) ( 117840 24975 )
-    NEW met1 ( 112080 15355 ) M1M2_PR
     NEW met1 ( 117840 15355 ) M1M2_PR
     NEW li1 ( 117840 24975 ) L1M1_PR_MR
     NEW met1 ( 117840 24975 ) M1M2_PR
+    NEW met1 ( 112080 15355 ) M1M2_PR
     NEW met1 ( 117840 24975 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_oen[26] ( PIN la_oen[26] ) ( input213 A ) 
-  + ROUTED met2 ( 114000 3330 0 ) ( 114000 18870 )
-    NEW met2 ( 114000 18870 ) ( 115440 18870 )
-    NEW met2 ( 115440 18870 ) ( 115440 28305 )
+  + ROUTED met2 ( 115440 15725 ) ( 115440 28305 )
     NEW met1 ( 115440 28305 ) ( 116400 28305 )
+    NEW met2 ( 114000 3330 0 ) ( 114000 15725 )
+    NEW met1 ( 114000 15725 ) ( 115440 15725 )
+    NEW met1 ( 115440 15725 ) M1M2_PR
     NEW met1 ( 115440 28305 ) M1M2_PR
     NEW li1 ( 116400 28305 ) L1M1_PR_MR
+    NEW met1 ( 114000 15725 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[27] ( PIN la_oen[27] ) ( input214 A ) 
   + ROUTED met2 ( 115920 3330 0 ) ( 115920 24605 )
@@ -15071,15 +15020,14 @@
     NEW met1 ( 69840 24975 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_oen[30] ( PIN la_oen[30] ) ( input218 A ) 
-  + ROUTED met2 ( 121200 3330 0 ) ( 121200 14615 )
-    NEW met1 ( 121200 14615 ) ( 124560 14615 )
-    NEW met2 ( 124560 14615 ) ( 124560 24605 )
-    NEW met1 ( 124560 24605 ) ( 129360 24605 )
-    NEW met1 ( 129360 24605 ) ( 129360 24975 )
-    NEW met1 ( 121200 14615 ) M1M2_PR
-    NEW met1 ( 124560 14615 ) M1M2_PR
-    NEW met1 ( 124560 24605 ) M1M2_PR
+  + ROUTED met2 ( 121200 3330 0 ) ( 121200 19055 )
+    NEW met1 ( 121200 19055 ) ( 129360 19055 )
+    NEW met2 ( 129360 19055 ) ( 129360 24975 )
+    NEW met1 ( 121200 19055 ) M1M2_PR
+    NEW met1 ( 129360 19055 ) M1M2_PR
     NEW li1 ( 129360 24975 ) L1M1_PR_MR
+    NEW met1 ( 129360 24975 ) M1M2_PR
+    NEW met1 ( 129360 24975 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_oen[31] ( PIN la_oen[31] ) ( input219 A ) 
   + ROUTED met2 ( 123120 3330 0 ) ( 123120 28305 )
@@ -15114,13 +15062,10 @@
     NEW met1 ( 128400 31635 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_oen[35] ( PIN la_oen[35] ) ( input223 A ) 
-  + ROUTED met2 ( 130320 3330 0 ) ( 130320 27565 )
-    NEW met1 ( 130320 27565 ) ( 133200 27565 )
-    NEW li1 ( 133200 27565 ) ( 133200 28305 )
-    NEW met1 ( 133200 28305 ) ( 135120 28305 )
-    NEW met1 ( 130320 27565 ) M1M2_PR
-    NEW li1 ( 133200 27565 ) L1M1_PR_MR
-    NEW li1 ( 133200 28305 ) L1M1_PR_MR
+  + ROUTED met2 ( 130320 3330 0 ) ( 130320 27935 )
+    NEW met1 ( 130320 27935 ) ( 135120 27935 )
+    NEW met1 ( 135120 27935 ) ( 135120 28305 )
+    NEW met1 ( 130320 27935 ) M1M2_PR
     NEW li1 ( 135120 28305 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_oen[36] ( PIN la_oen[36] ) ( input224 A ) 
@@ -15158,9 +15103,9 @@
     NEW met1 ( 144720 24975 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_oen[3] ( PIN la_oen[3] ) ( input228 A ) 
-  + ROUTED met2 ( 71760 3330 0 ) ( 71760 14430 )
-    NEW met2 ( 71760 14430 ) ( 72240 14430 )
-    NEW met2 ( 72240 14430 ) ( 72240 24975 )
+  + ROUTED met2 ( 71760 3330 0 ) ( 71760 14400 )
+    NEW met2 ( 71760 14400 ) ( 72240 14400 )
+    NEW met2 ( 72240 14400 ) ( 72240 24975 )
     NEW met1 ( 72240 24975 ) ( 73680 24975 )
     NEW met1 ( 72240 24975 ) M1M2_PR
     NEW li1 ( 73680 24975 ) L1M1_PR_MR
@@ -15246,9 +15191,10 @@
     NEW met1 ( 156240 31635 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_oen[4] ( PIN la_oen[4] ) ( input239 A ) 
-  + ROUTED met2 ( 73680 3330 0 ) ( 73680 24975 )
-    NEW met2 ( 73680 24975 ) ( 74160 24975 )
+  + ROUTED met2 ( 73680 19610 ) ( 74160 19610 )
+    NEW met2 ( 74160 19610 ) ( 74160 24975 )
     NEW met1 ( 74160 24975 ) ( 77520 24975 )
+    NEW met2 ( 73680 3330 0 ) ( 73680 19610 )
     NEW met1 ( 74160 24975 ) M1M2_PR
     NEW li1 ( 77520 24975 ) L1M1_PR_MR
 + USE SIGNAL ;
@@ -15271,88 +15217,89 @@
     NEW met1 ( 166800 24975 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_oen[52] ( PIN la_oen[52] ) ( input242 A ) 
-  + ROUTED met2 ( 161520 3330 0 ) ( 161520 14615 )
-    NEW met1 ( 161520 14615 ) ( 165840 14615 )
-    NEW met2 ( 165840 14615 ) ( 165840 28305 )
-    NEW met1 ( 161520 14615 ) M1M2_PR
-    NEW met1 ( 165840 14615 ) M1M2_PR
+  + ROUTED met2 ( 161520 3330 0 ) ( 161520 17945 )
+    NEW met1 ( 161520 17945 ) ( 165840 17945 )
+    NEW met2 ( 165840 17945 ) ( 165840 28305 )
+    NEW met1 ( 161520 17945 ) M1M2_PR
+    NEW met1 ( 165840 17945 ) M1M2_PR
     NEW li1 ( 165840 28305 ) L1M1_PR_MR
     NEW met1 ( 165840 28305 ) M1M2_PR
     NEW met1 ( 165840 28305 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_oen[53] ( PIN la_oen[53] ) ( input243 A ) 
-  + ROUTED met2 ( 163440 3330 0 ) ( 163440 16095 )
-    NEW met1 ( 163440 16095 ) ( 170640 16095 )
-    NEW met2 ( 170640 16095 ) ( 170640 24975 )
-    NEW met1 ( 163440 16095 ) M1M2_PR
-    NEW met1 ( 170640 16095 ) M1M2_PR
+  + ROUTED met2 ( 163440 3330 0 ) ( 163440 15725 )
+    NEW met1 ( 163440 15725 ) ( 170640 15725 )
+    NEW met2 ( 170640 15725 ) ( 170640 24975 )
+    NEW met1 ( 163440 15725 ) M1M2_PR
+    NEW met1 ( 170640 15725 ) M1M2_PR
     NEW li1 ( 170640 24975 ) L1M1_PR_MR
     NEW met1 ( 170640 24975 ) M1M2_PR
     NEW met1 ( 170640 24975 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_oen[54] ( PIN la_oen[54] ) ( input244 A ) 
-  + ROUTED met2 ( 165360 3330 0 ) ( 165360 13690 )
+  + ROUTED met2 ( 165360 3330 0 ) ( 165360 13875 )
+    NEW met1 ( 165360 13875 ) ( 166320 13875 )
+    NEW met2 ( 166320 13875 ) ( 166320 28305 )
     NEW met1 ( 166320 28305 ) ( 169200 28305 )
-    NEW met2 ( 165360 13690 ) ( 166320 13690 )
-    NEW met2 ( 166320 13690 ) ( 166320 28305 )
+    NEW met1 ( 165360 13875 ) M1M2_PR
+    NEW met1 ( 166320 13875 ) M1M2_PR
     NEW met1 ( 166320 28305 ) M1M2_PR
     NEW li1 ( 169200 28305 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_oen[55] ( PIN la_oen[55] ) ( input245 A ) 
-  + ROUTED met1 ( 167280 19055 ) ( 174480 19055 )
-    NEW met2 ( 174480 19055 ) ( 174480 24975 )
-    NEW met2 ( 167280 3330 0 ) ( 167280 19055 )
-    NEW met1 ( 167280 19055 ) M1M2_PR
-    NEW met1 ( 174480 19055 ) M1M2_PR
+  + ROUTED met2 ( 167280 3330 0 ) ( 167280 15355 )
+    NEW met1 ( 167280 15355 ) ( 175440 15355 )
+    NEW met2 ( 175440 15355 ) ( 175440 18870 )
+    NEW met2 ( 174480 18870 ) ( 175440 18870 )
+    NEW met2 ( 174480 18870 ) ( 174480 24975 )
+    NEW met1 ( 167280 15355 ) M1M2_PR
+    NEW met1 ( 175440 15355 ) M1M2_PR
     NEW li1 ( 174480 24975 ) L1M1_PR_MR
     NEW met1 ( 174480 24975 ) M1M2_PR
     NEW met1 ( 174480 24975 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_oen[56] ( PIN la_oen[56] ) ( input246 A ) 
-  + ROUTED met2 ( 169200 3330 0 ) ( 169200 11655 )
-    NEW met1 ( 169200 11655 ) ( 173520 11655 )
-    NEW met2 ( 173520 11655 ) ( 173520 28305 )
+  + ROUTED met2 ( 169200 3330 0 ) ( 169200 18685 )
+    NEW met1 ( 169200 18685 ) ( 174000 18685 )
+    NEW met2 ( 174000 18685 ) ( 174000 28305 )
+    NEW met1 ( 173520 28305 ) ( 174000 28305 )
+    NEW met1 ( 169200 18685 ) M1M2_PR
+    NEW met1 ( 174000 18685 ) M1M2_PR
+    NEW met1 ( 174000 28305 ) M1M2_PR
     NEW li1 ( 173520 28305 ) L1M1_PR_MR
-    NEW met1 ( 173520 28305 ) M1M2_PR
-    NEW met1 ( 169200 11655 ) M1M2_PR
-    NEW met1 ( 173520 11655 ) M1M2_PR
-    NEW met1 ( 173520 28305 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_oen[57] ( PIN la_oen[57] ) ( input247 A ) 
-  + ROUTED met1 ( 171120 21275 ) ( 174000 21275 )
+  + ROUTED met2 ( 170640 3330 0 ) ( 170640 10730 )
+    NEW met2 ( 170640 10730 ) ( 171120 10730 )
+    NEW met2 ( 171120 10730 ) ( 171120 21275 )
+    NEW met1 ( 171120 21275 ) ( 174000 21275 )
     NEW met1 ( 174000 21275 ) ( 174000 21645 )
     NEW met1 ( 174000 21645 ) ( 180240 21645 )
-    NEW met2 ( 170640 3330 0 ) ( 170640 7030 )
-    NEW met2 ( 170640 7030 ) ( 171120 7030 )
-    NEW met2 ( 171120 7030 ) ( 171120 21275 )
     NEW met1 ( 171120 21275 ) M1M2_PR
     NEW li1 ( 180240 21645 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_oen[58] ( PIN la_oen[58] ) ( input248 A ) 
-  + ROUTED met3 ( 172560 14430 ) ( 176400 14430 )
-    NEW met2 ( 176400 14430 ) ( 176400 15355 )
-    NEW met2 ( 176400 15355 ) ( 176880 15355 )
-    NEW met2 ( 176880 15355 ) ( 176880 24975 )
-    NEW met1 ( 176880 24975 ) ( 178320 24975 )
-    NEW met2 ( 172560 3330 0 ) ( 172560 14430 )
-    NEW met2 ( 172560 14430 ) via2_FR
-    NEW met2 ( 176400 14430 ) via2_FR
-    NEW met1 ( 176880 24975 ) M1M2_PR
+  + ROUTED met2 ( 172560 3330 0 ) ( 172560 22570 )
+    NEW met2 ( 172560 22570 ) ( 173040 22570 )
+    NEW met2 ( 173040 22570 ) ( 173040 24605 )
+    NEW met1 ( 173040 24605 ) ( 178320 24605 )
+    NEW met1 ( 178320 24605 ) ( 178320 24975 )
+    NEW met1 ( 173040 24605 ) M1M2_PR
     NEW li1 ( 178320 24975 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_oen[59] ( PIN la_oen[59] ) ( input249 A ) 
-  + ROUTED met1 ( 179760 15355 ) ( 180720 15355 )
-    NEW met2 ( 180720 15355 ) ( 180720 24975 )
-    NEW met1 ( 180720 24975 ) ( 182160 24975 )
-    NEW met2 ( 174480 3330 0 ) ( 174480 6105 )
-    NEW met1 ( 174480 6105 ) ( 179760 6105 )
-    NEW met2 ( 179760 6105 ) ( 179760 15355 )
-    NEW met1 ( 179760 15355 ) M1M2_PR
-    NEW met1 ( 180720 15355 ) M1M2_PR
-    NEW met1 ( 180720 24975 ) M1M2_PR
+  + ROUTED met2 ( 174480 3330 0 ) ( 174480 8695 )
+    NEW met1 ( 173520 8695 ) ( 174480 8695 )
+    NEW met2 ( 173520 8695 ) ( 173520 15725 )
+    NEW met1 ( 173520 15725 ) ( 182160 15725 )
+    NEW met2 ( 182160 15725 ) ( 182160 24975 )
+    NEW met1 ( 174480 8695 ) M1M2_PR
+    NEW met1 ( 173520 8695 ) M1M2_PR
+    NEW met1 ( 173520 15725 ) M1M2_PR
+    NEW met1 ( 182160 15725 ) M1M2_PR
     NEW li1 ( 182160 24975 ) L1M1_PR_MR
-    NEW met1 ( 174480 6105 ) M1M2_PR
-    NEW met1 ( 179760 6105 ) M1M2_PR
+    NEW met1 ( 182160 24975 ) M1M2_PR
+    NEW met1 ( 182160 24975 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_oen[5] ( PIN la_oen[5] ) ( input250 A ) 
   + ROUTED met2 ( 75120 3330 0 ) ( 75120 28305 )
@@ -15361,51 +15308,45 @@
     NEW met1 ( 75120 28305 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_oen[60] ( PIN la_oen[60] ) ( input251 A ) 
-  + ROUTED met1 ( 177360 14615 ) ( 180240 14615 )
-    NEW met2 ( 180240 14615 ) ( 180240 28305 )
-    NEW met2 ( 176400 3330 0 ) ( 176400 7770 )
-    NEW met2 ( 176400 7770 ) ( 177360 7770 )
-    NEW met2 ( 177360 7770 ) ( 177360 14615 )
-    NEW met1 ( 177360 14615 ) M1M2_PR
-    NEW met1 ( 180240 14615 ) M1M2_PR
+  + ROUTED met2 ( 176400 3330 0 ) ( 176400 12025 )
+    NEW met2 ( 176400 12025 ) ( 177360 12025 )
+    NEW met2 ( 177360 12025 ) ( 177360 17205 )
+    NEW met1 ( 177360 17205 ) ( 180240 17205 )
+    NEW met2 ( 180240 17205 ) ( 180240 28305 )
+    NEW met1 ( 177360 17205 ) M1M2_PR
+    NEW met1 ( 180240 17205 ) M1M2_PR
     NEW li1 ( 180240 28305 ) L1M1_PR_MR
     NEW met1 ( 180240 28305 ) M1M2_PR
     NEW met1 ( 180240 28305 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_oen[61] ( PIN la_oen[61] ) ( input252 A ) 
-  + ROUTED met1 ( 184560 24975 ) ( 186000 24975 )
-    NEW met2 ( 178320 3330 0 ) ( 178320 12765 )
-    NEW met1 ( 178320 12765 ) ( 184560 12765 )
-    NEW met2 ( 184560 12765 ) ( 184560 24975 )
-    NEW met1 ( 184560 24975 ) M1M2_PR
+  + ROUTED met2 ( 178320 3330 0 ) ( 178320 16095 )
+    NEW met1 ( 178320 16095 ) ( 183600 16095 )
+    NEW met2 ( 183600 16095 ) ( 183600 24975 )
+    NEW met1 ( 183600 24975 ) ( 186000 24975 )
+    NEW met1 ( 178320 16095 ) M1M2_PR
+    NEW met1 ( 183600 16095 ) M1M2_PR
+    NEW met1 ( 183600 24975 ) M1M2_PR
     NEW li1 ( 186000 24975 ) L1M1_PR_MR
-    NEW met1 ( 178320 12765 ) M1M2_PR
-    NEW met1 ( 184560 12765 ) M1M2_PR
 + USE SIGNAL ;
 - la_oen[62] ( PIN la_oen[62] ) ( input253 A ) 
-  + ROUTED met1 ( 181200 28305 ) ( 184080 28305 )
-    NEW met2 ( 181200 14400 ) ( 181200 28305 )
-    NEW met2 ( 180240 3330 0 ) ( 180240 7030 )
-    NEW met2 ( 180240 7030 ) ( 180720 7030 )
-    NEW met2 ( 180720 7030 ) ( 180720 14400 )
-    NEW met2 ( 180720 14400 ) ( 181200 14400 )
-    NEW met1 ( 181200 28305 ) M1M2_PR
+  + ROUTED met2 ( 180240 3330 0 ) ( 180240 15355 )
+    NEW met2 ( 180240 15355 ) ( 180720 15355 )
+    NEW met2 ( 180720 15355 ) ( 180720 28305 )
+    NEW met1 ( 180720 28305 ) ( 184080 28305 )
+    NEW met1 ( 180720 28305 ) M1M2_PR
     NEW li1 ( 184080 28305 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_oen[63] ( PIN la_oen[63] ) ( input254 A ) 
-  + ROUTED met2 ( 181680 3330 0 ) ( 181680 6845 )
-    NEW met1 ( 181680 6845 ) ( 181680 8325 )
-    NEW met2 ( 181680 8325 ) ( 181680 31635 )
+  + ROUTED met2 ( 181680 3330 0 ) ( 181680 31635 )
     NEW li1 ( 181680 31635 ) L1M1_PR_MR
     NEW met1 ( 181680 31635 ) M1M2_PR
-    NEW met1 ( 181680 6845 ) M1M2_PR
-    NEW met1 ( 181680 8325 ) M1M2_PR
     NEW met1 ( 181680 31635 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_oen[64] ( PIN la_oen[64] ) ( input255 A ) 
   + ROUTED met2 ( 187920 15355 ) ( 187920 28305 )
-    NEW met1 ( 183600 15355 ) ( 187920 15355 )
     NEW met2 ( 183600 3330 0 ) ( 183600 15355 )
+    NEW met1 ( 183600 15355 ) ( 187920 15355 )
     NEW met1 ( 187920 15355 ) M1M2_PR
     NEW li1 ( 187920 28305 ) L1M1_PR_MR
     NEW met1 ( 187920 28305 ) M1M2_PR
@@ -15414,8 +15355,8 @@
 + USE SIGNAL ;
 - la_oen[65] ( PIN la_oen[65] ) ( input256 A ) 
   + ROUTED met2 ( 193200 15725 ) ( 193200 24975 )
-    NEW met1 ( 185520 15725 ) ( 193200 15725 )
     NEW met2 ( 185520 3330 0 ) ( 185520 15725 )
+    NEW met1 ( 185520 15725 ) ( 193200 15725 )
     NEW met1 ( 193200 15725 ) M1M2_PR
     NEW li1 ( 193200 24975 ) L1M1_PR_MR
     NEW met1 ( 193200 24975 ) M1M2_PR
@@ -15423,26 +15364,26 @@
     NEW met1 ( 193200 24975 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_oen[66] ( PIN la_oen[66] ) ( input257 A ) 
-  + ROUTED met1 ( 187440 27935 ) ( 191760 27935 )
+  + ROUTED met2 ( 187440 3330 0 ) ( 187440 27935 )
+    NEW met1 ( 187440 27935 ) ( 191760 27935 )
     NEW met1 ( 191760 27935 ) ( 191760 28305 )
-    NEW met2 ( 187440 3330 0 ) ( 187440 27935 )
     NEW met1 ( 187440 27935 ) M1M2_PR
     NEW li1 ( 191760 28305 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_oen[67] ( PIN la_oen[67] ) ( input258 A ) 
-  + ROUTED met1 ( 189360 19055 ) ( 197040 19055 )
-    NEW met2 ( 197040 19055 ) ( 197040 24975 )
-    NEW met2 ( 189360 3330 0 ) ( 189360 19055 )
-    NEW met1 ( 189360 19055 ) M1M2_PR
-    NEW met1 ( 197040 19055 ) M1M2_PR
+  + ROUTED met2 ( 189360 3330 0 ) ( 189360 16095 )
+    NEW met1 ( 189360 16095 ) ( 197040 16095 )
+    NEW met2 ( 197040 16095 ) ( 197040 24975 )
+    NEW met1 ( 189360 16095 ) M1M2_PR
+    NEW met1 ( 197040 16095 ) M1M2_PR
     NEW li1 ( 197040 24975 ) L1M1_PR_MR
     NEW met1 ( 197040 24975 ) M1M2_PR
     NEW met1 ( 197040 24975 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_oen[68] ( PIN la_oen[68] ) ( input259 A ) 
-  + ROUTED met1 ( 190800 14615 ) ( 195600 14615 )
+  + ROUTED met2 ( 190800 3330 0 ) ( 190800 14615 )
+    NEW met1 ( 190800 14615 ) ( 195600 14615 )
     NEW met2 ( 195600 14615 ) ( 195600 28305 )
-    NEW met2 ( 190800 3330 0 ) ( 190800 14615 )
     NEW met1 ( 190800 14615 ) M1M2_PR
     NEW met1 ( 195600 14615 ) M1M2_PR
     NEW li1 ( 195600 28305 ) L1M1_PR_MR
@@ -15450,23 +15391,23 @@
     NEW met1 ( 195600 28305 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_oen[69] ( PIN la_oen[69] ) ( input260 A ) 
-  + ROUTED met1 ( 192720 16095 ) ( 198000 16095 )
-    NEW met2 ( 198000 16095 ) ( 198000 24975 )
-    NEW met1 ( 198000 24975 ) ( 200880 24975 )
-    NEW met2 ( 192720 3330 0 ) ( 192720 16095 )
-    NEW met1 ( 192720 16095 ) M1M2_PR
-    NEW met1 ( 198000 16095 ) M1M2_PR
-    NEW met1 ( 198000 24975 ) M1M2_PR
+  + ROUTED met2 ( 192720 3330 0 ) ( 192720 19055 )
+    NEW met1 ( 192720 19055 ) ( 200880 19055 )
+    NEW met2 ( 200880 19055 ) ( 200880 24975 )
+    NEW met1 ( 192720 19055 ) M1M2_PR
+    NEW met1 ( 200880 19055 ) M1M2_PR
     NEW li1 ( 200880 24975 ) L1M1_PR_MR
+    NEW met1 ( 200880 24975 ) M1M2_PR
+    NEW met1 ( 200880 24975 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_oen[6] ( PIN la_oen[6] ) ( input261 A ) 
-  + ROUTED met2 ( 77040 3330 0 ) ( 77040 14615 )
-    NEW met1 ( 77040 14615 ) ( 82800 14615 )
-    NEW met2 ( 82800 14615 ) ( 82800 24975 )
-    NEW met1 ( 81840 24975 ) ( 82800 24975 )
-    NEW met1 ( 77040 14615 ) M1M2_PR
-    NEW met1 ( 82800 14615 ) M1M2_PR
-    NEW met1 ( 82800 24975 ) M1M2_PR
+  + ROUTED met1 ( 77040 15355 ) ( 82320 15355 )
+    NEW met2 ( 82320 15355 ) ( 82320 24975 )
+    NEW met1 ( 81840 24975 ) ( 82320 24975 )
+    NEW met2 ( 77040 3330 0 ) ( 77040 15355 )
+    NEW met1 ( 77040 15355 ) M1M2_PR
+    NEW met1 ( 82320 15355 ) M1M2_PR
+    NEW met1 ( 82320 24975 ) M1M2_PR
     NEW li1 ( 81840 24975 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_oen[70] ( PIN la_oen[70] ) ( input262 A ) 
@@ -15476,27 +15417,31 @@
     NEW met1 ( 194640 31635 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_oen[71] ( PIN la_oen[71] ) ( input263 A ) 
-  + ROUTED met1 ( 196560 28305 ) ( 199440 28305 )
-    NEW met2 ( 196560 3330 0 ) ( 196560 28305 )
+  + ROUTED met2 ( 196560 3330 0 ) ( 196560 28305 )
+    NEW met1 ( 196560 28305 ) ( 199440 28305 )
     NEW met1 ( 196560 28305 ) M1M2_PR
     NEW li1 ( 199440 28305 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_oen[72] ( PIN la_oen[72] ) ( input264 A ) 
-  + ROUTED met1 ( 198480 15725 ) ( 204720 15725 )
-    NEW met2 ( 204720 15725 ) ( 204720 24975 )
-    NEW met2 ( 198480 3330 0 ) ( 198480 15725 )
-    NEW met1 ( 198480 15725 ) M1M2_PR
-    NEW met1 ( 204720 15725 ) M1M2_PR
+  + ROUTED met2 ( 198480 3330 0 ) ( 198480 7215 )
+    NEW met1 ( 198480 7215 ) ( 199440 7215 )
+    NEW met2 ( 199440 7215 ) ( 199440 14615 )
+    NEW met1 ( 199440 14615 ) ( 204720 14615 )
+    NEW met2 ( 204720 14615 ) ( 204720 24975 )
+    NEW met1 ( 198480 7215 ) M1M2_PR
+    NEW met1 ( 199440 7215 ) M1M2_PR
+    NEW met1 ( 199440 14615 ) M1M2_PR
+    NEW met1 ( 204720 14615 ) M1M2_PR
     NEW li1 ( 204720 24975 ) L1M1_PR_MR
     NEW met1 ( 204720 24975 ) M1M2_PR
     NEW met1 ( 204720 24975 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_oen[73] ( PIN la_oen[73] ) ( input265 A ) 
-  + ROUTED met1 ( 200400 19055 ) ( 208560 19055 )
-    NEW met2 ( 208560 19055 ) ( 208560 24975 )
-    NEW met2 ( 200400 3330 0 ) ( 200400 19055 )
-    NEW met1 ( 200400 19055 ) M1M2_PR
-    NEW met1 ( 208560 19055 ) M1M2_PR
+  + ROUTED met2 ( 200400 3330 0 ) ( 200400 19425 )
+    NEW met1 ( 200400 19425 ) ( 208560 19425 )
+    NEW met2 ( 208560 19425 ) ( 208560 24975 )
+    NEW met1 ( 200400 19425 ) M1M2_PR
+    NEW met1 ( 208560 19425 ) M1M2_PR
     NEW li1 ( 208560 24975 ) L1M1_PR_MR
     NEW met1 ( 208560 24975 ) M1M2_PR
     NEW met1 ( 208560 24975 ) RECT ( -355 -70 0 70 )
@@ -15508,30 +15453,30 @@
     NEW met1 ( 201840 31635 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_oen[75] ( PIN la_oen[75] ) ( input267 A ) 
-  + ROUTED met1 ( 203760 28305 ) ( 206640 28305 )
-    NEW met2 ( 203760 3330 0 ) ( 203760 28305 )
+  + ROUTED met2 ( 203760 3330 0 ) ( 203760 28305 )
+    NEW met1 ( 203760 28305 ) ( 206640 28305 )
     NEW met1 ( 203760 28305 ) M1M2_PR
     NEW li1 ( 206640 28305 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_oen[76] ( PIN la_oen[76] ) ( input268 A ) 
-  + ROUTED met1 ( 205680 15725 ) ( 210960 15725 )
-    NEW met2 ( 210960 15725 ) ( 210960 24975 )
-    NEW met1 ( 210960 24975 ) ( 212400 24975 )
-    NEW met2 ( 205680 3330 0 ) ( 205680 15725 )
-    NEW met1 ( 205680 15725 ) M1M2_PR
-    NEW met1 ( 210960 15725 ) M1M2_PR
-    NEW met1 ( 210960 24975 ) M1M2_PR
+  + ROUTED met2 ( 205680 3330 0 ) ( 205680 15355 )
+    NEW met1 ( 205680 15355 ) ( 210480 15355 )
+    NEW met2 ( 210480 15355 ) ( 210480 24975 )
+    NEW met1 ( 210480 24975 ) ( 212400 24975 )
+    NEW met1 ( 205680 15355 ) M1M2_PR
+    NEW met1 ( 210480 15355 ) M1M2_PR
+    NEW met1 ( 210480 24975 ) M1M2_PR
     NEW li1 ( 212400 24975 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_oen[77] ( PIN la_oen[77] ) ( input269 A ) 
-  + ROUTED met1 ( 207600 14615 ) ( 210480 14615 )
-    NEW met2 ( 210480 14615 ) ( 210480 28305 )
-    NEW met2 ( 207600 3330 0 ) ( 207600 14615 )
-    NEW met1 ( 207600 14615 ) M1M2_PR
-    NEW met1 ( 210480 14615 ) M1M2_PR
+  + ROUTED met2 ( 207600 3330 0 ) ( 207600 15725 )
+    NEW met1 ( 207600 15725 ) ( 209040 15725 )
+    NEW met2 ( 209040 15725 ) ( 209040 28305 )
+    NEW met1 ( 209040 28305 ) ( 210480 28305 )
+    NEW met1 ( 207600 15725 ) M1M2_PR
+    NEW met1 ( 209040 15725 ) M1M2_PR
+    NEW met1 ( 209040 28305 ) M1M2_PR
     NEW li1 ( 210480 28305 ) L1M1_PR_MR
-    NEW met1 ( 210480 28305 ) M1M2_PR
-    NEW met1 ( 210480 28305 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_oen[78] ( PIN la_oen[78] ) ( input270 A ) 
   + ROUTED met2 ( 209520 3330 0 ) ( 209520 31635 )
@@ -15540,49 +15485,52 @@
     NEW met1 ( 209520 31635 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_oen[79] ( PIN la_oen[79] ) ( input271 A ) 
-  + ROUTED met1 ( 211440 28305 ) ( 214320 28305 )
-    NEW met2 ( 211440 3330 0 ) ( 211440 28305 )
+  + ROUTED met2 ( 211440 3330 0 ) ( 211440 28305 )
+    NEW met1 ( 211440 28305 ) ( 214320 28305 )
     NEW met1 ( 211440 28305 ) M1M2_PR
     NEW li1 ( 214320 28305 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_oen[7] ( PIN la_oen[7] ) ( input272 A ) 
-  + ROUTED met2 ( 78960 3330 0 ) ( 78960 14430 )
-    NEW met2 ( 78960 14430 ) ( 79440 14430 )
-    NEW met2 ( 79440 14430 ) ( 79440 28305 )
+  + ROUTED met2 ( 78960 3330 0 ) ( 78960 14400 )
+    NEW met2 ( 78960 14400 ) ( 79440 14400 )
+    NEW met2 ( 79440 14400 ) ( 79440 28305 )
     NEW li1 ( 79440 28305 ) L1M1_PR_MR
     NEW met1 ( 79440 28305 ) M1M2_PR
     NEW met1 ( 79440 28305 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_oen[80] ( PIN la_oen[80] ) ( input273 A ) 
-  + ROUTED met1 ( 212880 14615 ) ( 216720 14615 )
-    NEW met2 ( 216720 14615 ) ( 216720 24975 )
+  + ROUTED met2 ( 212880 3330 0 ) ( 212880 15355 )
+    NEW met1 ( 212880 15355 ) ( 216720 15355 )
+    NEW met2 ( 216720 15355 ) ( 216720 24975 )
     NEW met1 ( 216720 24975 ) ( 219600 24975 )
-    NEW met2 ( 212880 3330 0 ) ( 212880 14615 )
-    NEW met1 ( 212880 14615 ) M1M2_PR
-    NEW met1 ( 216720 14615 ) M1M2_PR
+    NEW met1 ( 212880 15355 ) M1M2_PR
+    NEW met1 ( 216720 15355 ) M1M2_PR
     NEW met1 ( 216720 24975 ) M1M2_PR
     NEW li1 ( 219600 24975 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_oen[81] ( PIN la_oen[81] ) ( input274 A ) 
-  + ROUTED met2 ( 214800 15725 ) ( 216240 15725 )
-    NEW met2 ( 216240 15725 ) ( 216240 28305 )
+  + ROUTED met2 ( 214800 3330 0 ) ( 214800 16095 )
+    NEW met1 ( 214800 16095 ) ( 216240 16095 )
+    NEW met2 ( 216240 16095 ) ( 216240 28305 )
     NEW met1 ( 216240 28305 ) ( 218160 28305 )
-    NEW met2 ( 214800 3330 0 ) ( 214800 15725 )
+    NEW met1 ( 214800 16095 ) M1M2_PR
+    NEW met1 ( 216240 16095 ) M1M2_PR
     NEW met1 ( 216240 28305 ) M1M2_PR
     NEW li1 ( 218160 28305 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_oen[82] ( PIN la_oen[82] ) ( input275 A ) 
-  + ROUTED met2 ( 216720 3330 0 ) ( 216720 13690 )
-    NEW met2 ( 216240 13690 ) ( 216720 13690 )
-    NEW met2 ( 216240 13690 ) ( 216240 15355 )
-    NEW met1 ( 216240 15355 ) ( 216240 15725 )
-    NEW met1 ( 216240 15725 ) ( 224400 15725 )
-    NEW met2 ( 224400 15725 ) ( 224400 24975 )
-    NEW met1 ( 223920 24975 ) ( 224400 24975 )
-    NEW met1 ( 216240 15355 ) M1M2_PR
-    NEW met1 ( 224400 15725 ) M1M2_PR
-    NEW met1 ( 224400 24975 ) M1M2_PR
+  + ROUTED met2 ( 216720 3330 0 ) ( 216720 14615 )
+    NEW met1 ( 216720 14615 ) ( 217680 14615 )
+    NEW met2 ( 217680 14615 ) ( 217680 15725 )
+    NEW met1 ( 217680 15725 ) ( 223920 15725 )
+    NEW met2 ( 223920 15725 ) ( 223920 24975 )
+    NEW met1 ( 216720 14615 ) M1M2_PR
+    NEW met1 ( 217680 14615 ) M1M2_PR
+    NEW met1 ( 217680 15725 ) M1M2_PR
+    NEW met1 ( 223920 15725 ) M1M2_PR
     NEW li1 ( 223920 24975 ) L1M1_PR_MR
+    NEW met1 ( 223920 24975 ) M1M2_PR
+    NEW met1 ( 223920 24975 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_oen[83] ( PIN la_oen[83] ) ( input276 A ) 
   + ROUTED met2 ( 218640 3330 0 ) ( 218640 28305 )
@@ -15601,31 +15549,41 @@
     NEW met1 ( 227280 24975 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_oen[85] ( PIN la_oen[85] ) ( input278 A ) 
-  + ROUTED met2 ( 222480 3330 0 ) ( 222480 19610 )
-    NEW met2 ( 222480 19610 ) ( 223440 19610 )
-    NEW met2 ( 223440 19610 ) ( 223440 28305 )
-    NEW met1 ( 223440 28305 ) ( 225840 28305 )
-    NEW met1 ( 223440 28305 ) M1M2_PR
+  + ROUTED met2 ( 222480 3330 0 ) ( 222480 14615 )
+    NEW met1 ( 222480 14615 ) ( 225840 14615 )
+    NEW met2 ( 225840 14615 ) ( 225840 28305 )
+    NEW met1 ( 222480 14615 ) M1M2_PR
+    NEW met1 ( 225840 14615 ) M1M2_PR
     NEW li1 ( 225840 28305 ) L1M1_PR_MR
+    NEW met1 ( 225840 28305 ) M1M2_PR
+    NEW met1 ( 225840 28305 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_oen[86] ( PIN la_oen[86] ) ( input279 A ) 
-  + ROUTED met2 ( 223920 3330 0 ) ( 223920 15355 )
-    NEW met1 ( 223920 15355 ) ( 231120 15355 )
-    NEW met2 ( 231120 15355 ) ( 231120 24975 )
-    NEW met1 ( 223920 15355 ) M1M2_PR
-    NEW met1 ( 231120 15355 ) M1M2_PR
+  + ROUTED met2 ( 223920 3330 0 ) ( 223920 12950 )
+    NEW met2 ( 223920 12950 ) ( 224400 12950 )
+    NEW met2 ( 224400 12950 ) ( 224400 17205 )
+    NEW met1 ( 224400 17205 ) ( 231120 17205 )
+    NEW met2 ( 231120 17205 ) ( 231120 24975 )
+    NEW met1 ( 224400 17205 ) M1M2_PR
+    NEW met1 ( 231120 17205 ) M1M2_PR
     NEW li1 ( 231120 24975 ) L1M1_PR_MR
     NEW met1 ( 231120 24975 ) M1M2_PR
     NEW met1 ( 231120 24975 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_oen[87] ( PIN la_oen[87] ) ( input280 A ) 
-  + ROUTED met2 ( 225840 3330 0 ) ( 225840 15725 )
-    NEW met1 ( 225840 15725 ) ( 231600 15725 )
-    NEW met2 ( 231600 15725 ) ( 231600 24975 )
-    NEW met1 ( 231600 24975 ) ( 234960 24975 )
-    NEW met1 ( 225840 15725 ) M1M2_PR
-    NEW met1 ( 231600 15725 ) M1M2_PR
-    NEW met1 ( 231600 24975 ) M1M2_PR
+  + ROUTED met2 ( 225840 3330 0 ) ( 225840 13875 )
+    NEW met1 ( 225840 13875 ) ( 226320 13875 )
+    NEW met1 ( 226320 13875 ) ( 226320 14615 )
+    NEW met1 ( 226320 14615 ) ( 228720 14615 )
+    NEW met2 ( 228720 14615 ) ( 228720 16095 )
+    NEW met1 ( 228720 16095 ) ( 232080 16095 )
+    NEW met2 ( 232080 16095 ) ( 232080 24975 )
+    NEW met1 ( 232080 24975 ) ( 234960 24975 )
+    NEW met1 ( 225840 13875 ) M1M2_PR
+    NEW met1 ( 228720 14615 ) M1M2_PR
+    NEW met1 ( 228720 16095 ) M1M2_PR
+    NEW met1 ( 232080 16095 ) M1M2_PR
+    NEW met1 ( 232080 24975 ) M1M2_PR
     NEW li1 ( 234960 24975 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_oen[88] ( PIN la_oen[88] ) ( input281 A ) 
@@ -15635,28 +15593,27 @@
     NEW met1 ( 227760 31635 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - la_oen[89] ( PIN la_oen[89] ) ( input282 A ) 
-  + ROUTED met2 ( 229680 3330 0 ) ( 229680 18130 )
-    NEW met2 ( 229680 18130 ) ( 230640 18130 )
-    NEW met2 ( 230640 18130 ) ( 230640 28305 )
+  + ROUTED met2 ( 229680 3330 0 ) ( 229680 18870 )
+    NEW met2 ( 229680 18870 ) ( 230640 18870 )
+    NEW met2 ( 230640 18870 ) ( 230640 28305 )
     NEW met1 ( 230640 28305 ) ( 233040 28305 )
     NEW met1 ( 230640 28305 ) M1M2_PR
     NEW li1 ( 233040 28305 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_oen[8] ( PIN la_oen[8] ) ( input283 A ) 
-  + ROUTED met2 ( 80880 3330 0 ) ( 80880 14430 )
-    NEW met2 ( 80880 14430 ) ( 81360 14430 )
-    NEW met2 ( 81360 14430 ) ( 81360 28305 )
-    NEW met1 ( 81360 28305 ) ( 82800 28305 )
-    NEW met1 ( 81360 28305 ) M1M2_PR
+  + ROUTED met1 ( 80880 28305 ) ( 82800 28305 )
+    NEW met2 ( 80880 3330 0 ) ( 80880 28305 )
+    NEW met1 ( 80880 28305 ) M1M2_PR
     NEW li1 ( 82800 28305 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_oen[90] ( PIN la_oen[90] ) ( input284 A ) 
-  + ROUTED met2 ( 231600 3330 0 ) ( 231600 14615 )
-    NEW met2 ( 238320 14615 ) ( 238320 24975 )
+  + ROUTED met2 ( 231600 3330 0 ) ( 231600 15355 )
+    NEW met1 ( 231600 15355 ) ( 231600 15725 )
+    NEW met2 ( 238320 15725 ) ( 238320 24975 )
     NEW met1 ( 238320 24975 ) ( 238800 24975 )
-    NEW met1 ( 231600 14615 ) ( 238320 14615 )
-    NEW met1 ( 231600 14615 ) M1M2_PR
-    NEW met1 ( 238320 14615 ) M1M2_PR
+    NEW met1 ( 231600 15725 ) ( 238320 15725 )
+    NEW met1 ( 231600 15355 ) M1M2_PR
+    NEW met1 ( 238320 15725 ) M1M2_PR
     NEW met1 ( 238320 24975 ) M1M2_PR
     NEW li1 ( 238800 24975 ) L1M1_PR_MR
 + USE SIGNAL ;
@@ -15689,10 +15646,12 @@
 + USE SIGNAL ;
 - la_oen[95] ( PIN la_oen[95] ) ( input289 A ) 
   + ROUTED met2 ( 240720 3330 0 ) ( 240720 15725 )
-    NEW met1 ( 240720 15725 ) ( 245040 15725 )
-    NEW met2 ( 245040 15725 ) ( 245040 28305 )
+    NEW met1 ( 240720 15725 ) ( 244560 15725 )
+    NEW met2 ( 244560 15725 ) ( 244560 21275 )
+    NEW met2 ( 244560 21275 ) ( 245040 21275 )
+    NEW met2 ( 245040 21275 ) ( 245040 28305 )
     NEW met1 ( 240720 15725 ) M1M2_PR
-    NEW met1 ( 245040 15725 ) M1M2_PR
+    NEW met1 ( 244560 15725 ) M1M2_PR
     NEW li1 ( 245040 28305 ) L1M1_PR_MR
     NEW met1 ( 245040 28305 ) M1M2_PR
     NEW met1 ( 245040 28305 ) RECT ( -355 -70 0 70 )
@@ -15714,13 +15673,11 @@
     NEW li1 ( 246000 31635 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_oen[98] ( PIN la_oen[98] ) ( input292 A ) 
-  + ROUTED met2 ( 246000 3330 0 ) ( 246000 14430 )
-    NEW met2 ( 246000 14430 ) ( 246480 14430 )
-    NEW met2 ( 246480 14430 ) ( 246480 19055 )
-    NEW met1 ( 246480 19055 ) ( 253680 19055 )
+  + ROUTED met2 ( 246000 3330 0 ) ( 246000 19055 )
+    NEW met1 ( 246000 19055 ) ( 253680 19055 )
     NEW met2 ( 253680 19055 ) ( 253680 28305 )
     NEW met1 ( 252720 28305 ) ( 253680 28305 )
-    NEW met1 ( 246480 19055 ) M1M2_PR
+    NEW met1 ( 246000 19055 ) M1M2_PR
     NEW met1 ( 253680 19055 ) M1M2_PR
     NEW met1 ( 253680 28305 ) M1M2_PR
     NEW li1 ( 252720 28305 ) L1M1_PR_MR
@@ -15732,18 +15689,14 @@
     NEW li1 ( 249840 31635 ) L1M1_PR_MR
 + USE SIGNAL ;
 - la_oen[9] ( PIN la_oen[9] ) ( input294 A ) 
-  + ROUTED met2 ( 86640 19055 ) ( 86640 28305 )
-    NEW met2 ( 82800 3330 0 ) ( 82800 9805 )
-    NEW met1 ( 82800 9805 ) ( 83760 9805 )
-    NEW met2 ( 83760 9805 ) ( 83760 19055 )
-    NEW met1 ( 83760 19055 ) ( 86640 19055 )
-    NEW met1 ( 86640 19055 ) M1M2_PR
-    NEW li1 ( 86640 28305 ) L1M1_PR_MR
-    NEW met1 ( 86640 28305 ) M1M2_PR
-    NEW met1 ( 82800 9805 ) M1M2_PR
-    NEW met1 ( 83760 9805 ) M1M2_PR
-    NEW met1 ( 83760 19055 ) M1M2_PR
-    NEW met1 ( 86640 28305 ) RECT ( 0 -70 355 70 )
+  + ROUTED met1 ( 82800 19425 ) ( 87120 19425 )
+    NEW met2 ( 87120 19425 ) ( 87120 28305 )
+    NEW met2 ( 82800 3330 0 ) ( 82800 19425 )
+    NEW met1 ( 82800 19425 ) M1M2_PR
+    NEW met1 ( 87120 19425 ) M1M2_PR
+    NEW li1 ( 87120 28305 ) L1M1_PR_MR
+    NEW met1 ( 87120 28305 ) M1M2_PR
+    NEW met1 ( 87120 28305 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - wb_clk_i ( PIN wb_clk_i ) ( input295 A ) 
   + ROUTED met2 ( 240 3330 0 ) ( 240 14985 )
@@ -15891,17 +15844,18 @@
     NEW li1 ( 55920 21645 ) L1M1_PR_MR
 + USE SIGNAL ;
 - wbs_adr_i[27] ( PIN wbs_adr_i[27] ) ( input316 A ) 
-  + ROUTED met1 ( 55920 20905 ) ( 59760 20905 )
-    NEW met1 ( 59760 20905 ) ( 59760 21645 )
+  + ROUTED met1 ( 55920 20905 ) ( 56400 20905 )
+    NEW met1 ( 56400 20905 ) ( 56400 21645 )
+    NEW met1 ( 56400 21645 ) ( 59760 21645 )
     NEW met2 ( 55920 3330 0 ) ( 55920 20905 )
     NEW met1 ( 55920 20905 ) M1M2_PR
     NEW li1 ( 59760 21645 ) L1M1_PR_MR
 + USE SIGNAL ;
 - wbs_adr_i[28] ( PIN wbs_adr_i[28] ) ( input317 A ) 
-  + ROUTED met1 ( 57360 20535 ) ( 63600 20535 )
-    NEW met1 ( 63600 20535 ) ( 63600 21645 )
-    NEW met2 ( 57360 3330 0 ) ( 57360 20535 )
-    NEW met1 ( 57360 20535 ) M1M2_PR
+  + ROUTED met1 ( 57360 21275 ) ( 63600 21275 )
+    NEW met1 ( 63600 21275 ) ( 63600 21645 )
+    NEW met2 ( 57360 3330 0 ) ( 57360 21275 )
+    NEW met1 ( 57360 21275 ) M1M2_PR
     NEW li1 ( 63600 21645 ) L1M1_PR_MR
 + USE SIGNAL ;
 - wbs_adr_i[29] ( PIN wbs_adr_i[29] ) ( input318 A ) 
@@ -15917,14 +15871,14 @@
     NEW li1 ( 11760 18315 ) L1M1_PR_MR
 + USE SIGNAL ;
 - wbs_adr_i[30] ( PIN wbs_adr_i[30] ) ( input320 A ) 
-  + ROUTED met2 ( 65040 15725 ) ( 65040 24975 )
-    NEW met2 ( 61200 3330 0 ) ( 61200 15725 )
-    NEW met1 ( 61200 15725 ) ( 65040 15725 )
-    NEW met1 ( 65040 15725 ) M1M2_PR
+  + ROUTED met2 ( 65040 16095 ) ( 65040 24975 )
+    NEW met2 ( 61200 3330 0 ) ( 61200 16095 )
+    NEW met1 ( 61200 16095 ) ( 65040 16095 )
+    NEW met1 ( 65040 16095 ) M1M2_PR
     NEW li1 ( 65040 24975 ) L1M1_PR_MR
     NEW met1 ( 65040 24975 ) M1M2_PR
-    NEW met1 ( 61200 15725 ) M1M2_PR
-    NEW met1 ( 65040 24975 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 61200 16095 ) M1M2_PR
+    NEW met1 ( 65040 24975 ) RECT ( 0 -70 355 70 )
 + USE SIGNAL ;
 - wbs_adr_i[31] ( PIN wbs_adr_i[31] ) ( input321 A ) 
   + ROUTED met2 ( 63120 3330 0 ) ( 63120 28305 )
@@ -15956,10 +15910,10 @@
     NEW li1 ( 19440 18315 ) L1M1_PR_MR
 + USE SIGNAL ;
 - wbs_adr_i[6] ( PIN wbs_adr_i[6] ) ( input325 A ) 
-  + ROUTED met1 ( 17040 17205 ) ( 23280 17205 )
-    NEW met1 ( 23280 17205 ) ( 23280 18315 )
-    NEW met2 ( 17040 3330 0 ) ( 17040 17205 )
-    NEW met1 ( 17040 17205 ) M1M2_PR
+  + ROUTED met1 ( 17040 18685 ) ( 23280 18685 )
+    NEW met1 ( 23280 18315 ) ( 23280 18685 )
+    NEW met2 ( 17040 3330 0 ) ( 17040 18685 )
+    NEW met1 ( 17040 18685 ) M1M2_PR
     NEW li1 ( 23280 18315 ) L1M1_PR_MR
 + USE SIGNAL ;
 - wbs_adr_i[7] ( PIN wbs_adr_i[7] ) ( input326 A ) 
@@ -15976,15 +15930,13 @@
     NEW met1 ( 20880 24975 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - wbs_adr_i[9] ( PIN wbs_adr_i[9] ) ( input328 A ) 
-  + ROUTED met2 ( 22800 3330 0 ) ( 22800 9435 )
-    NEW met1 ( 22800 9435 ) ( 24240 9435 )
-    NEW met2 ( 24240 9435 ) ( 24240 10730 )
-    NEW met2 ( 23760 10730 ) ( 24240 10730 )
-    NEW met1 ( 23760 21645 ) ( 25680 21645 )
-    NEW met2 ( 23760 10730 ) ( 23760 21645 )
-    NEW met1 ( 22800 9435 ) M1M2_PR
-    NEW met1 ( 24240 9435 ) M1M2_PR
-    NEW met1 ( 23760 21645 ) M1M2_PR
+  + ROUTED met2 ( 22800 3330 0 ) ( 22800 10915 )
+    NEW met1 ( 22800 10915 ) ( 24240 10915 )
+    NEW met1 ( 24240 21645 ) ( 25680 21645 )
+    NEW met2 ( 24240 10915 ) ( 24240 21645 )
+    NEW met1 ( 22800 10915 ) M1M2_PR
+    NEW met1 ( 24240 10915 ) M1M2_PR
+    NEW met1 ( 24240 21645 ) M1M2_PR
     NEW li1 ( 25680 21645 ) L1M1_PR_MR
 + USE SIGNAL ;
 - wbs_cyc_i ( PIN wbs_cyc_i ) ( input329 A ) 
@@ -16047,31 +15999,34 @@
     NEW li1 ( 38160 28305 ) L1M1_PR_MR
 + USE SIGNAL ;
 - wbs_dat_i[17] ( PIN wbs_dat_i[17] ) ( input338 A ) 
-  + ROUTED met1 ( 38160 27565 ) ( 42000 27565 )
-    NEW met1 ( 42000 27565 ) ( 42000 28305 )
+  + ROUTED met1 ( 38160 27565 ) ( 40080 27565 )
+    NEW li1 ( 40080 27565 ) ( 40080 28305 )
+    NEW met1 ( 40080 28305 ) ( 42000 28305 )
     NEW met2 ( 38160 3330 0 ) ( 38160 27565 )
     NEW met1 ( 38160 27565 ) M1M2_PR
+    NEW li1 ( 40080 27565 ) L1M1_PR_MR
+    NEW li1 ( 40080 28305 ) L1M1_PR_MR
     NEW li1 ( 42000 28305 ) L1M1_PR_MR
 + USE SIGNAL ;
 - wbs_dat_i[18] ( PIN wbs_dat_i[18] ) ( input339 A ) 
-  + ROUTED met1 ( 39600 19425 ) ( 46320 19425 )
-    NEW met2 ( 46320 19425 ) ( 46320 24975 )
-    NEW met2 ( 39600 3330 0 ) ( 39600 19425 )
-    NEW met1 ( 39600 19425 ) M1M2_PR
-    NEW met1 ( 46320 19425 ) M1M2_PR
+  + ROUTED met1 ( 39600 22755 ) ( 46320 22755 )
+    NEW met2 ( 46320 22755 ) ( 46320 24975 )
+    NEW met2 ( 39600 3330 0 ) ( 39600 22755 )
+    NEW met1 ( 39600 22755 ) M1M2_PR
+    NEW met1 ( 46320 22755 ) M1M2_PR
     NEW li1 ( 46320 24975 ) L1M1_PR_MR
     NEW met1 ( 46320 24975 ) M1M2_PR
     NEW met1 ( 46320 24975 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - wbs_dat_i[19] ( PIN wbs_dat_i[19] ) ( input340 A ) 
-  + ROUTED met1 ( 41520 19055 ) ( 50160 19055 )
-    NEW met2 ( 50160 19055 ) ( 50160 24975 )
-    NEW met2 ( 41520 3330 0 ) ( 41520 19055 )
-    NEW met1 ( 41520 19055 ) M1M2_PR
-    NEW met1 ( 50160 19055 ) M1M2_PR
-    NEW li1 ( 50160 24975 ) L1M1_PR_MR
-    NEW met1 ( 50160 24975 ) M1M2_PR
-    NEW met1 ( 50160 24975 ) RECT ( -355 -70 0 70 )
+  + ROUTED met1 ( 41520 19425 ) ( 53520 19425 )
+    NEW met2 ( 53520 19425 ) ( 53520 24975 )
+    NEW met1 ( 50640 24975 ) ( 53520 24975 )
+    NEW met2 ( 41520 3330 0 ) ( 41520 19425 )
+    NEW met1 ( 41520 19425 ) M1M2_PR
+    NEW met1 ( 53520 19425 ) M1M2_PR
+    NEW met1 ( 53520 24975 ) M1M2_PR
+    NEW li1 ( 50640 24975 ) L1M1_PR_MR
 + USE SIGNAL ;
 - wbs_dat_i[1] ( PIN wbs_dat_i[1] ) ( input341 A ) 
   + ROUTED met2 ( 6960 3330 0 ) ( 6960 21275 )
@@ -16082,22 +16037,22 @@
     NEW li1 ( 15600 21645 ) L1M1_PR_MR
 + USE SIGNAL ;
 - wbs_dat_i[20] ( PIN wbs_dat_i[20] ) ( input342 A ) 
-  + ROUTED met2 ( 43440 3330 0 ) ( 43440 14400 )
-    NEW met2 ( 43440 14400 ) ( 43920 14400 )
-    NEW met2 ( 43920 14400 ) ( 43920 28305 )
+  + ROUTED met2 ( 43440 21090 ) ( 43920 21090 )
+    NEW met2 ( 43920 21090 ) ( 43920 28305 )
     NEW met1 ( 43920 28305 ) ( 48240 28305 )
+    NEW met2 ( 43440 3330 0 ) ( 43440 21090 )
     NEW met1 ( 43920 28305 ) M1M2_PR
     NEW li1 ( 48240 28305 ) L1M1_PR_MR
 + USE SIGNAL ;
 - wbs_dat_i[21] ( PIN wbs_dat_i[21] ) ( input343 A ) 
-  + ROUTED met1 ( 45360 20535 ) ( 53520 20535 )
-    NEW met2 ( 53520 20535 ) ( 53520 24975 )
-    NEW met1 ( 53520 24975 ) ( 54000 24975 )
-    NEW met2 ( 45360 3330 0 ) ( 45360 20535 )
-    NEW met1 ( 45360 20535 ) M1M2_PR
-    NEW met1 ( 53520 20535 ) M1M2_PR
-    NEW met1 ( 53520 24975 ) M1M2_PR
-    NEW li1 ( 54000 24975 ) L1M1_PR_MR
+  + ROUTED met1 ( 45360 19055 ) ( 54960 19055 )
+    NEW met2 ( 54960 19055 ) ( 54960 24975 )
+    NEW met1 ( 54480 24975 ) ( 54960 24975 )
+    NEW met2 ( 45360 3330 0 ) ( 45360 19055 )
+    NEW met1 ( 45360 19055 ) M1M2_PR
+    NEW met1 ( 54960 19055 ) M1M2_PR
+    NEW met1 ( 54960 24975 ) M1M2_PR
+    NEW li1 ( 54480 24975 ) L1M1_PR_MR
 + USE SIGNAL ;
 - wbs_dat_i[22] ( PIN wbs_dat_i[22] ) ( input344 A ) 
   + ROUTED met2 ( 47280 3330 0 ) ( 47280 31635 )
@@ -16146,13 +16101,13 @@
     NEW li1 ( 61200 31635 ) L1M1_PR_MR
 + USE SIGNAL ;
 - wbs_dat_i[29] ( PIN wbs_dat_i[29] ) ( input351 A ) 
-  + ROUTED met2 ( 66960 16095 ) ( 66960 28305 )
-    NEW met2 ( 60240 3330 0 ) ( 60240 16095 )
-    NEW met1 ( 60240 16095 ) ( 66960 16095 )
-    NEW met1 ( 66960 16095 ) M1M2_PR
+  + ROUTED met2 ( 66960 17205 ) ( 66960 28305 )
+    NEW met2 ( 60240 3330 0 ) ( 60240 17205 )
+    NEW met1 ( 60240 17205 ) ( 66960 17205 )
+    NEW met1 ( 66960 17205 ) M1M2_PR
     NEW li1 ( 66960 28305 ) L1M1_PR_MR
     NEW met1 ( 66960 28305 ) M1M2_PR
-    NEW met1 ( 60240 16095 ) M1M2_PR
+    NEW met1 ( 60240 17205 ) M1M2_PR
     NEW met1 ( 66960 28305 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - wbs_dat_i[2] ( PIN wbs_dat_i[2] ) ( input352 A ) 
@@ -16162,10 +16117,14 @@
     NEW li1 ( 11760 24975 ) L1M1_PR_MR
 + USE SIGNAL ;
 - wbs_dat_i[30] ( PIN wbs_dat_i[30] ) ( input353 A ) 
-  + ROUTED met2 ( 61680 3330 0 ) ( 61680 31635 )
-    NEW met1 ( 61680 31635 ) ( 65040 31635 )
-    NEW li1 ( 65040 31635 ) L1M1_PR_MR
-    NEW met1 ( 61680 31635 ) M1M2_PR
+  + ROUTED met2 ( 65520 15355 ) ( 65520 31635 )
+    NEW met2 ( 61680 3330 0 ) ( 61680 15355 )
+    NEW met1 ( 61680 15355 ) ( 65520 15355 )
+    NEW met1 ( 65520 15355 ) M1M2_PR
+    NEW li1 ( 65520 31635 ) L1M1_PR_MR
+    NEW met1 ( 65520 31635 ) M1M2_PR
+    NEW met1 ( 61680 15355 ) M1M2_PR
+    NEW met1 ( 65520 31635 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - wbs_dat_i[31] ( PIN wbs_dat_i[31] ) ( input354 A ) 
   + ROUTED met2 ( 63600 3330 0 ) ( 63600 34965 )
@@ -16216,47 +16175,51 @@
 - wbs_dat_i[8] ( PIN wbs_dat_i[8] ) ( input360 A ) 
   + ROUTED met2 ( 21360 3330 0 ) ( 21360 12765 )
     NEW met1 ( 19920 12765 ) ( 21360 12765 )
-    NEW met1 ( 19920 20535 ) ( 25680 20535 )
-    NEW met2 ( 25680 20535 ) ( 25680 28305 )
-    NEW met2 ( 19920 12765 ) ( 19920 20535 )
+    NEW met1 ( 19920 16095 ) ( 25680 16095 )
+    NEW met2 ( 25680 16095 ) ( 25680 28305 )
+    NEW met2 ( 19920 12765 ) ( 19920 16095 )
     NEW met1 ( 21360 12765 ) M1M2_PR
     NEW met1 ( 19920 12765 ) M1M2_PR
-    NEW met1 ( 19920 20535 ) M1M2_PR
-    NEW met1 ( 25680 20535 ) M1M2_PR
+    NEW met1 ( 19920 16095 ) M1M2_PR
+    NEW met1 ( 25680 16095 ) M1M2_PR
     NEW li1 ( 25680 28305 ) L1M1_PR_MR
     NEW met1 ( 25680 28305 ) M1M2_PR
     NEW met1 ( 25680 28305 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - wbs_dat_i[9] ( PIN wbs_dat_i[9] ) ( input361 A ) 
-  + ROUTED met2 ( 23280 3330 0 ) ( 23280 9990 )
-    NEW met2 ( 22320 9990 ) ( 23280 9990 )
-    NEW met2 ( 22320 9990 ) ( 22320 12210 )
-    NEW met2 ( 22320 12210 ) ( 23280 12210 )
+  + ROUTED met2 ( 23280 3330 0 ) ( 23280 10545 )
+    NEW li1 ( 23280 10545 ) ( 23280 12765 )
     NEW met1 ( 23280 31635 ) ( 23760 31635 )
-    NEW met2 ( 23280 12210 ) ( 23280 31635 )
+    NEW met2 ( 23280 12765 ) ( 23280 31635 )
+    NEW li1 ( 23280 10545 ) L1M1_PR_MR
+    NEW met1 ( 23280 10545 ) M1M2_PR
+    NEW li1 ( 23280 12765 ) L1M1_PR_MR
+    NEW met1 ( 23280 12765 ) M1M2_PR
     NEW met1 ( 23280 31635 ) M1M2_PR
     NEW li1 ( 23760 31635 ) L1M1_PR_MR
+    NEW met1 ( 23280 10545 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 23280 12765 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - wbs_dat_o[0] ( PIN wbs_dat_o[0] ) ( output573 X ) 
   + ROUTED met2 ( 5040 3330 0 ) ( 5040 17945 )
-    NEW met1 ( 5040 17945 ) ( 12240 17945 )
-    NEW met2 ( 12240 17945 ) ( 12240 37185 )
+    NEW met1 ( 5040 17945 ) ( 10800 17945 )
+    NEW met1 ( 10800 37185 ) ( 12240 37185 )
+    NEW met2 ( 10800 17945 ) ( 10800 37185 )
     NEW met1 ( 5040 17945 ) M1M2_PR
-    NEW met1 ( 12240 17945 ) M1M2_PR
+    NEW met1 ( 10800 17945 ) M1M2_PR
+    NEW met1 ( 10800 37185 ) M1M2_PR
     NEW li1 ( 12240 37185 ) L1M1_PR_MR
-    NEW met1 ( 12240 37185 ) M1M2_PR
-    NEW met1 ( 12240 37185 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - wbs_dat_o[10] ( PIN wbs_dat_o[10] ) ( output574 X ) 
   + ROUTED met2 ( 25680 3330 0 ) ( 25680 13875 )
     NEW met1 ( 25680 13875 ) ( 29040 13875 )
-    NEW met1 ( 29040 27565 ) ( 30480 27565 )
-    NEW met1 ( 30480 27565 ) ( 30480 27935 )
-    NEW met2 ( 29040 13875 ) ( 29040 27565 )
+    NEW met1 ( 29040 27935 ) ( 29040 28305 )
+    NEW met1 ( 29040 27935 ) ( 30000 27935 )
+    NEW met2 ( 29040 13875 ) ( 29040 28305 )
     NEW met1 ( 25680 13875 ) M1M2_PR
     NEW met1 ( 29040 13875 ) M1M2_PR
-    NEW met1 ( 29040 27565 ) M1M2_PR
-    NEW li1 ( 30480 27935 ) L1M1_PR_MR
+    NEW met1 ( 29040 28305 ) M1M2_PR
+    NEW li1 ( 30000 27935 ) L1M1_PR_MR
 + USE SIGNAL ;
 - wbs_dat_o[11] ( PIN wbs_dat_o[11] ) ( output575 X ) 
   + ROUTED met1 ( 27600 30525 ) ( 28080 30525 )
@@ -16289,78 +16252,77 @@
     NEW li1 ( 37680 34595 ) L1M1_PR_MR
 + USE SIGNAL ;
 - wbs_dat_o[16] ( PIN wbs_dat_o[16] ) ( output580 X ) 
-  + ROUTED met1 ( 36720 34225 ) ( 41520 34225 )
-    NEW met1 ( 41520 34225 ) ( 41520 34595 )
-    NEW met2 ( 36720 3330 0 ) ( 36720 34225 )
-    NEW met1 ( 36720 34225 ) M1M2_PR
+  + ROUTED met1 ( 36720 20535 ) ( 41520 20535 )
+    NEW met2 ( 41520 20535 ) ( 41520 34595 )
+    NEW met2 ( 36720 3330 0 ) ( 36720 20535 )
+    NEW met1 ( 36720 20535 ) M1M2_PR
+    NEW met1 ( 41520 20535 ) M1M2_PR
     NEW li1 ( 41520 34595 ) L1M1_PR_MR
+    NEW met1 ( 41520 34595 ) M1M2_PR
+    NEW met1 ( 41520 34595 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - wbs_dat_o[17] ( PIN wbs_dat_o[17] ) ( output581 X ) 
-  + ROUTED met1 ( 38640 41255 ) ( 39120 41255 )
-    NEW met2 ( 38640 3330 0 ) ( 38640 41255 )
-    NEW met1 ( 38640 41255 ) M1M2_PR
-    NEW li1 ( 39120 41255 ) L1M1_PR_MR
+  + ROUTED met1 ( 38640 41995 ) ( 39200 41995 )
+    NEW met2 ( 38640 3330 0 ) ( 38640 41995 )
+    NEW met1 ( 38640 41995 ) M1M2_PR
+    NEW li1 ( 39200 41995 ) L1M1_PR_MR
 + USE SIGNAL ;
 - wbs_dat_o[18] ( PIN wbs_dat_o[18] ) ( output582 X ) 
-  + ROUTED met2 ( 40560 24790 ) ( 41040 24790 )
-    NEW met2 ( 41040 24790 ) ( 41040 33855 )
-    NEW met1 ( 41040 33855 ) ( 48720 33855 )
-    NEW met1 ( 48720 33855 ) ( 48720 34595 )
-    NEW met2 ( 40560 3330 0 ) ( 40560 24790 )
-    NEW met1 ( 41040 33855 ) M1M2_PR
-    NEW li1 ( 48720 34595 ) L1M1_PR_MR
+  + ROUTED met1 ( 40560 19055 ) ( 44880 19055 )
+    NEW met2 ( 44880 19055 ) ( 44880 35335 )
+    NEW met1 ( 44880 35335 ) ( 49120 35335 )
+    NEW met2 ( 40560 3330 0 ) ( 40560 19055 )
+    NEW met1 ( 40560 19055 ) M1M2_PR
+    NEW met1 ( 44880 19055 ) M1M2_PR
+    NEW met1 ( 44880 35335 ) M1M2_PR
+    NEW li1 ( 49120 35335 ) L1M1_PR_MR
 + USE SIGNAL ;
 - wbs_dat_o[19] ( PIN wbs_dat_o[19] ) ( output583 X ) 
-  + ROUTED met2 ( 42480 30710 ) ( 42960 30710 )
-    NEW met2 ( 42960 30710 ) ( 42960 36630 )
-    NEW met2 ( 42960 36630 ) ( 43920 36630 )
-    NEW met2 ( 43920 36630 ) ( 43920 37185 )
-    NEW met1 ( 43920 37185 ) ( 46800 37185 )
-    NEW met2 ( 42480 3330 0 ) ( 42480 30710 )
-    NEW met1 ( 43920 37185 ) M1M2_PR
-    NEW li1 ( 46800 37185 ) L1M1_PR_MR
+  + ROUTED met2 ( 42480 37370 ) ( 43440 37370 )
+    NEW met2 ( 43440 37370 ) ( 43440 38295 )
+    NEW met1 ( 43440 38295 ) ( 46800 38295 )
+    NEW met1 ( 46800 38295 ) ( 46800 38665 )
+    NEW met2 ( 42480 3330 0 ) ( 42480 37370 )
+    NEW met1 ( 43440 38295 ) M1M2_PR
+    NEW li1 ( 46800 38665 ) L1M1_PR_MR
 + USE SIGNAL ;
 - wbs_dat_o[1] ( PIN wbs_dat_o[1] ) ( output584 X ) 
   + ROUTED met2 ( 7440 3330 0 ) ( 7440 16095 )
-    NEW met1 ( 7440 16095 ) ( 10800 16095 )
-    NEW met1 ( 10800 41255 ) ( 12240 41255 )
-    NEW met2 ( 10800 16095 ) ( 10800 41255 )
+    NEW met1 ( 7440 16095 ) ( 12240 16095 )
+    NEW met2 ( 12240 16095 ) ( 12240 41255 )
     NEW met1 ( 7440 16095 ) M1M2_PR
-    NEW met1 ( 10800 16095 ) M1M2_PR
-    NEW met1 ( 10800 41255 ) M1M2_PR
+    NEW met1 ( 12240 16095 ) M1M2_PR
     NEW li1 ( 12240 41255 ) L1M1_PR_MR
+    NEW met1 ( 12240 41255 ) M1M2_PR
+    NEW met1 ( 12240 41255 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - wbs_dat_o[20] ( PIN wbs_dat_o[20] ) ( output585 X ) 
-  + ROUTED met2 ( 43920 3330 0 ) ( 43920 12210 )
-    NEW met2 ( 43920 12210 ) ( 44400 12210 )
-    NEW met1 ( 44400 29045 ) ( 49200 29045 )
-    NEW met2 ( 49200 29045 ) ( 49200 34595 )
-    NEW met1 ( 49200 34595 ) ( 52560 34595 )
-    NEW met2 ( 44400 12210 ) ( 44400 29045 )
-    NEW met1 ( 44400 29045 ) M1M2_PR
-    NEW met1 ( 49200 29045 ) M1M2_PR
-    NEW met1 ( 49200 34595 ) M1M2_PR
+  + ROUTED met1 ( 43920 20535 ) ( 50160 20535 )
+    NEW met2 ( 50160 20535 ) ( 50160 34595 )
+    NEW met1 ( 50160 34595 ) ( 52560 34595 )
+    NEW met2 ( 43920 3330 0 ) ( 43920 20535 )
+    NEW met1 ( 43920 20535 ) M1M2_PR
+    NEW met1 ( 50160 20535 ) M1M2_PR
+    NEW met1 ( 50160 34595 ) M1M2_PR
     NEW li1 ( 52560 34595 ) L1M1_PR_MR
 + USE SIGNAL ;
 - wbs_dat_o[21] ( PIN wbs_dat_o[21] ) ( output586 X ) 
-  + ROUTED met1 ( 45840 37555 ) ( 50720 37555 )
-    NEW met2 ( 45840 3330 0 ) ( 45840 37555 )
-    NEW met1 ( 45840 37555 ) M1M2_PR
-    NEW li1 ( 50720 37555 ) L1M1_PR_MR
+  + ROUTED met1 ( 45840 37185 ) ( 50640 37185 )
+    NEW met2 ( 45840 3330 0 ) ( 45840 37185 )
+    NEW met1 ( 45840 37185 ) M1M2_PR
+    NEW li1 ( 50640 37185 ) L1M1_PR_MR
 + USE SIGNAL ;
 - wbs_dat_o[22] ( PIN wbs_dat_o[22] ) ( output587 X ) 
-  + ROUTED met2 ( 47760 3330 0 ) ( 47760 22015 )
-    NEW met2 ( 47760 22015 ) ( 48720 22015 )
-    NEW met2 ( 48720 22015 ) ( 48720 41255 )
-    NEW met1 ( 48720 41255 ) ( 48800 41255 )
-    NEW met1 ( 48720 41255 ) M1M2_PR
-    NEW li1 ( 48800 41255 ) L1M1_PR_MR
+  + ROUTED met1 ( 47760 41255 ) ( 48720 41255 )
+    NEW met2 ( 47760 3330 0 ) ( 47760 41255 )
+    NEW met1 ( 47760 41255 ) M1M2_PR
+    NEW li1 ( 48720 41255 ) L1M1_PR_MR
 + USE SIGNAL ;
 - wbs_dat_o[23] ( PIN wbs_dat_o[23] ) ( output588 X ) 
-  + ROUTED met1 ( 49680 37185 ) ( 54480 37185 )
-    NEW met2 ( 49680 3330 0 ) ( 49680 37185 )
-    NEW met1 ( 49680 37185 ) M1M2_PR
-    NEW li1 ( 54480 37185 ) L1M1_PR_MR
+  + ROUTED met1 ( 49680 37925 ) ( 54880 37925 )
+    NEW met2 ( 49680 3330 0 ) ( 49680 37925 )
+    NEW met1 ( 49680 37925 ) M1M2_PR
+    NEW li1 ( 54880 37925 ) L1M1_PR_MR
 + USE SIGNAL ;
 - wbs_dat_o[24] ( PIN wbs_dat_o[24] ) ( output589 X ) 
   + ROUTED met1 ( 51600 41255 ) ( 52560 41255 )
@@ -16375,23 +16337,30 @@
     NEW li1 ( 56400 41255 ) L1M1_PR_MR
 + USE SIGNAL ;
 - wbs_dat_o[26] ( PIN wbs_dat_o[26] ) ( output591 X ) 
-  + ROUTED met1 ( 54960 37185 ) ( 61680 37185 )
-    NEW met2 ( 54960 3330 0 ) ( 54960 37185 )
-    NEW met1 ( 54960 37185 ) M1M2_PR
+  + ROUTED met2 ( 54960 3330 0 ) ( 54960 14400 )
+    NEW met2 ( 54960 14400 ) ( 55440 14400 )
+    NEW met2 ( 55440 14400 ) ( 55440 37185 )
+    NEW met1 ( 55440 37185 ) ( 61680 37185 )
+    NEW met1 ( 55440 37185 ) M1M2_PR
     NEW li1 ( 61680 37185 ) L1M1_PR_MR
 + USE SIGNAL ;
 - wbs_dat_o[27] ( PIN wbs_dat_o[27] ) ( output592 X ) 
-  + ROUTED met1 ( 56880 41255 ) ( 60240 41255 )
-    NEW met2 ( 56880 3330 0 ) ( 56880 41255 )
-    NEW met1 ( 56880 41255 ) M1M2_PR
+  + ROUTED met2 ( 56880 27750 ) ( 57360 27750 )
+    NEW met2 ( 57360 27750 ) ( 57360 41255 )
+    NEW met1 ( 57360 41255 ) ( 60240 41255 )
+    NEW met2 ( 56880 3330 0 ) ( 56880 27750 )
+    NEW met1 ( 57360 41255 ) M1M2_PR
     NEW li1 ( 60240 41255 ) L1M1_PR_MR
 + USE SIGNAL ;
 - wbs_dat_o[28] ( PIN wbs_dat_o[28] ) ( output593 X ) 
-  + ROUTED met1 ( 65520 37185 ) ( 65520 37555 )
-    NEW met2 ( 58800 3330 0 ) ( 58800 37555 )
-    NEW met1 ( 58800 37555 ) ( 65520 37555 )
+  + ROUTED met1 ( 58800 20905 ) ( 62160 20905 )
+    NEW met2 ( 62160 20905 ) ( 62160 37185 )
+    NEW met2 ( 58800 3330 0 ) ( 58800 20905 )
+    NEW met1 ( 62160 37185 ) ( 65520 37185 )
     NEW li1 ( 65520 37185 ) L1M1_PR_MR
-    NEW met1 ( 58800 37555 ) M1M2_PR
+    NEW met1 ( 58800 20905 ) M1M2_PR
+    NEW met1 ( 62160 20905 ) M1M2_PR
+    NEW met1 ( 62160 37185 ) M1M2_PR
 + USE SIGNAL ;
 - wbs_dat_o[29] ( PIN wbs_dat_o[29] ) ( output594 X ) 
   + ROUTED met1 ( 60720 41255 ) ( 64080 41255 )
@@ -16400,12 +16369,12 @@
     NEW li1 ( 64080 41255 ) L1M1_PR_MR
 + USE SIGNAL ;
 - wbs_dat_o[2] ( PIN wbs_dat_o[2] ) ( output595 X ) 
-  + ROUTED met2 ( 9840 3330 0 ) ( 9840 19425 )
-    NEW met2 ( 16560 19425 ) ( 16560 37185 )
+  + ROUTED met2 ( 9840 3330 0 ) ( 9840 18685 )
+    NEW met2 ( 16560 18685 ) ( 16560 37185 )
     NEW met1 ( 16080 37185 ) ( 16560 37185 )
-    NEW met1 ( 9840 19425 ) ( 16560 19425 )
-    NEW met1 ( 9840 19425 ) M1M2_PR
-    NEW met1 ( 16560 19425 ) M1M2_PR
+    NEW met1 ( 9840 18685 ) ( 16560 18685 )
+    NEW met1 ( 9840 18685 ) M1M2_PR
+    NEW met1 ( 16560 18685 ) M1M2_PR
     NEW met1 ( 16560 37185 ) M1M2_PR
     NEW li1 ( 16080 37185 ) L1M1_PR_MR
 + USE SIGNAL ;
@@ -16416,24 +16385,24 @@
     NEW met1 ( 62640 38665 ) M1M2_PR
 + USE SIGNAL ;
 - wbs_dat_o[31] ( PIN wbs_dat_o[31] ) ( output597 X ) 
-  + ROUTED met2 ( 64080 3330 0 ) ( 64080 42735 )
-    NEW met1 ( 64080 42735 ) ( 67920 42735 )
-    NEW li1 ( 67920 42735 ) L1M1_PR_MR
-    NEW met1 ( 64080 42735 ) M1M2_PR
+  + ROUTED met2 ( 64080 3330 0 ) ( 64080 14400 )
+    NEW met2 ( 64080 14400 ) ( 64560 14400 )
+    NEW met2 ( 64560 14400 ) ( 64560 41255 )
+    NEW met1 ( 64560 41255 ) ( 67920 41255 )
+    NEW li1 ( 67920 41255 ) L1M1_PR_MR
+    NEW met1 ( 64560 41255 ) M1M2_PR
 + USE SIGNAL ;
 - wbs_dat_o[3] ( PIN wbs_dat_o[3] ) ( output598 X ) 
-  + ROUTED met2 ( 12240 3330 0 ) ( 12240 17205 )
-    NEW met1 ( 12240 17205 ) ( 14400 17205 )
-    NEW met1 ( 14400 17205 ) ( 14400 17945 )
-    NEW met1 ( 14400 17945 ) ( 16080 17945 )
-    NEW met1 ( 16080 17945 ) ( 16080 18685 )
-    NEW met1 ( 16080 18685 ) ( 26160 18685 )
-    NEW met2 ( 26160 18685 ) ( 26160 33855 )
-    NEW met1 ( 22320 33855 ) ( 26160 33855 )
-    NEW met1 ( 22320 33855 ) ( 22320 34595 )
-    NEW met1 ( 12240 17205 ) M1M2_PR
-    NEW met1 ( 26160 18685 ) M1M2_PR
-    NEW met1 ( 26160 33855 ) M1M2_PR
+  + ROUTED met2 ( 12240 3330 0 ) ( 12240 15355 )
+    NEW met1 ( 12240 15355 ) ( 14400 15355 )
+    NEW met1 ( 14400 15355 ) ( 14400 15725 )
+    NEW met1 ( 14400 15725 ) ( 26160 15725 )
+    NEW met2 ( 26160 15725 ) ( 26160 34595 )
+    NEW met2 ( 25680 34595 ) ( 26160 34595 )
+    NEW met1 ( 22320 34595 ) ( 25680 34595 )
+    NEW met1 ( 12240 15355 ) M1M2_PR
+    NEW met1 ( 26160 15725 ) M1M2_PR
+    NEW met1 ( 25680 34595 ) M1M2_PR
     NEW li1 ( 22320 34595 ) L1M1_PR_MR
 + USE SIGNAL ;
 - wbs_dat_o[4] ( PIN wbs_dat_o[4] ) ( output599 X ) 
@@ -16445,12 +16414,14 @@
     NEW li1 ( 16080 41255 ) L1M1_PR_MR
 + USE SIGNAL ;
 - wbs_dat_o[5] ( PIN wbs_dat_o[5] ) ( output600 X ) 
-  + ROUTED met2 ( 16560 18870 ) ( 17040 18870 )
-    NEW met2 ( 17040 18870 ) ( 17040 37185 )
-    NEW met1 ( 17040 37185 ) ( 19920 37185 )
-    NEW met2 ( 16560 3330 0 ) ( 16560 18870 )
-    NEW met1 ( 17040 37185 ) M1M2_PR
+  + ROUTED met1 ( 16560 17205 ) ( 19920 17205 )
+    NEW met2 ( 19920 17205 ) ( 19920 37185 )
+    NEW met2 ( 16560 3330 0 ) ( 16560 17205 )
+    NEW met1 ( 16560 17205 ) M1M2_PR
+    NEW met1 ( 19920 17205 ) M1M2_PR
     NEW li1 ( 19920 37185 ) L1M1_PR_MR
+    NEW met1 ( 19920 37185 ) M1M2_PR
+    NEW met1 ( 19920 37185 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - wbs_dat_o[6] ( PIN wbs_dat_o[6] ) ( output601 X ) 
   + ROUTED met1 ( 18480 34965 ) ( 26160 34965 )
@@ -16466,14 +16437,14 @@
     NEW li1 ( 23760 37185 ) L1M1_PR_MR
 + USE SIGNAL ;
 - wbs_dat_o[8] ( PIN wbs_dat_o[8] ) ( output603 X ) 
-  + ROUTED met2 ( 21840 3330 0 ) ( 21840 12765 )
-    NEW met1 ( 21840 12765 ) ( 24240 12765 )
-    NEW met1 ( 22320 40885 ) ( 24240 40885 )
+  + ROUTED met2 ( 21840 3330 0 ) ( 21840 12210 )
+    NEW met2 ( 21840 12210 ) ( 23760 12210 )
+    NEW met2 ( 23280 32190 ) ( 23760 32190 )
+    NEW met2 ( 23280 32190 ) ( 23280 40885 )
+    NEW met1 ( 22320 40885 ) ( 23280 40885 )
     NEW met1 ( 22320 40885 ) ( 22320 41255 )
-    NEW met2 ( 24240 12765 ) ( 24240 40885 )
-    NEW met1 ( 21840 12765 ) M1M2_PR
-    NEW met1 ( 24240 12765 ) M1M2_PR
-    NEW met1 ( 24240 40885 ) M1M2_PR
+    NEW met2 ( 23760 12210 ) ( 23760 32190 )
+    NEW met1 ( 23280 40885 ) M1M2_PR
     NEW li1 ( 22320 41255 ) L1M1_PR_MR
 + USE SIGNAL ;
 - wbs_dat_o[9] ( PIN wbs_dat_o[9] ) ( output604 X ) 
@@ -16521,95 +16492,119 @@
     NEW met1 ( 8400 34965 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - wbs_we_i ( PIN wbs_we_i ) ( input367 A ) 
-  + ROUTED met2 ( 3120 3330 0 ) ( 3120 17205 )
-    NEW met1 ( 3120 17205 ) ( 7440 17205 )
+  + ROUTED met2 ( 3120 3330 0 ) ( 3120 17575 )
+    NEW met1 ( 3120 17575 ) ( 7440 17575 )
     NEW met1 ( 7440 38295 ) ( 7920 38295 )
-    NEW met2 ( 7440 17205 ) ( 7440 38295 )
-    NEW met1 ( 3120 17205 ) M1M2_PR
-    NEW met1 ( 7440 17205 ) M1M2_PR
+    NEW met2 ( 7440 17575 ) ( 7440 38295 )
+    NEW met1 ( 3120 17575 ) M1M2_PR
+    NEW met1 ( 7440 17575 ) M1M2_PR
     NEW met1 ( 7440 38295 ) M1M2_PR
     NEW li1 ( 7920 38295 ) L1M1_PR_MR
 + USE SIGNAL ;
 - net1 ( input1 X ) ( AND2X1 A ) 
-  + ROUTED met2 ( 8880 86400 ) ( 9360 86400 )
-    NEW met2 ( 8880 86400 ) ( 8880 284345 )
-    NEW met2 ( 39840 24605 ) ( 39840 24790 )
+  + ROUTED met2 ( 10800 237600 ) ( 11280 237600 )
+    NEW met2 ( 10800 237600 ) ( 10800 284345 )
+    NEW met1 ( 8880 284345 ) ( 10800 284345 )
+    NEW met2 ( 39840 24790 ) ( 39840 24975 )
     NEW met2 ( 39120 24790 ) ( 39840 24790 )
-    NEW met2 ( 39120 24790 ) ( 39120 36075 )
-    NEW met1 ( 9360 36075 ) ( 39120 36075 )
-    NEW met2 ( 9360 36075 ) ( 9360 86400 )
+    NEW met2 ( 39120 24790 ) ( 39120 33855 )
+    NEW met1 ( 11280 33855 ) ( 39120 33855 )
+    NEW met2 ( 11280 33855 ) ( 11280 237600 )
+    NEW met1 ( 10800 284345 ) M1M2_PR
     NEW li1 ( 8880 284345 ) L1M1_PR_MR
-    NEW met1 ( 8880 284345 ) M1M2_PR
-    NEW met1 ( 39840 24605 ) M1M2_PR_MR
-    NEW met1 ( 39120 36075 ) M1M2_PR
-    NEW met1 ( 9360 36075 ) M1M2_PR
-    NEW met1 ( 8880 284345 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 39840 24975 ) M1M2_PR_MR
+    NEW met1 ( 39120 33855 ) M1M2_PR
+    NEW met1 ( 11280 33855 ) M1M2_PR
 + USE SIGNAL ;
-- net2 ( input2 X ) ( BUFX2 A ) 
-  + ROUTED met2 ( 80880 47175 ) ( 80880 284345 )
-    NEW met2 ( 39840 44030 ) ( 39840 44585 )
-    NEW met3 ( 39840 44030 ) ( 41520 44030 )
-    NEW met2 ( 41520 44030 ) ( 41520 47175 )
-    NEW met1 ( 41520 47175 ) ( 80880 47175 )
-    NEW met1 ( 80880 47175 ) M1M2_PR
+- net2 ( input2 X ) ( AOI22X1 A ) 
+  + ROUTED met2 ( 80880 44585 ) ( 80880 284345 )
+    NEW met2 ( 44880 44030 ) ( 44880 44585 )
+    NEW met2 ( 42000 44030 ) ( 44880 44030 )
+    NEW met2 ( 42000 44030 ) ( 42000 44585 )
+    NEW met1 ( 42000 44215 ) ( 42000 44585 )
+    NEW met1 ( 41280 44215 0 ) ( 42000 44215 )
+    NEW met1 ( 44880 44585 ) ( 80880 44585 )
     NEW li1 ( 80880 284345 ) L1M1_PR_MR
     NEW met1 ( 80880 284345 ) M1M2_PR
-    NEW met1 ( 39840 44585 ) M1M2_PR_MR
-    NEW met2 ( 39840 44030 ) via2_FR
-    NEW met2 ( 41520 44030 ) via2_FR
-    NEW met1 ( 41520 47175 ) M1M2_PR
+    NEW met1 ( 80880 44585 ) M1M2_PR
+    NEW met1 ( 44880 44585 ) M1M2_PR
+    NEW met1 ( 42000 44585 ) M1M2_PR
     NEW met1 ( 80880 284345 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net3 ( input3 X ) 
+- net3 ( input3 X ) ( AOI22X1 B ) 
+  + ROUTED met2 ( 90000 47545 ) ( 90000 284345 )
+    NEW met1 ( 39840 45325 0 ) ( 40560 45325 )
+    NEW met1 ( 40560 44955 ) ( 40560 45325 )
+    NEW met2 ( 40560 44955 ) ( 40560 47545 )
+    NEW met1 ( 40560 47545 ) ( 90000 47545 )
+    NEW li1 ( 90000 284345 ) L1M1_PR_MR
+    NEW met1 ( 90000 284345 ) M1M2_PR
+    NEW met1 ( 90000 47545 ) M1M2_PR
+    NEW met1 ( 40560 44955 ) M1M2_PR
+    NEW met1 ( 40560 47545 ) M1M2_PR
+    NEW met1 ( 90000 284345 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net4 ( input4 X ) ( INV A ) 
-  + ROUTED met1 ( 86400 284345 ) ( 96720 284345 )
-    NEW met2 ( 61680 237600 ) ( 62160 237600 )
-    NEW met2 ( 62160 237600 ) ( 62160 283975 )
-    NEW met1 ( 62160 283975 ) ( 86400 283975 )
-    NEW met1 ( 86400 283975 ) ( 86400 284345 )
-    NEW met1 ( 39840 51985 0 ) ( 61680 51985 )
-    NEW met2 ( 61680 51985 ) ( 61680 237600 )
+- net4 ( input4 X ) ( AOI22X1 C ) 
+  + ROUTED met2 ( 96720 49025 ) ( 96720 284345 )
+    NEW met1 ( 42720 45325 0 ) ( 42960 45325 )
+    NEW met1 ( 42960 45325 ) ( 42960 45695 )
+    NEW met2 ( 42960 45695 ) ( 42960 49025 )
+    NEW met1 ( 42960 49025 ) ( 96720 49025 )
     NEW li1 ( 96720 284345 ) L1M1_PR_MR
-    NEW met1 ( 62160 283975 ) M1M2_PR
-    NEW met1 ( 61680 51985 ) M1M2_PR
+    NEW met1 ( 96720 284345 ) M1M2_PR
+    NEW met1 ( 96720 49025 ) M1M2_PR
+    NEW met1 ( 42960 45695 ) M1M2_PR
+    NEW met1 ( 42960 49025 ) M1M2_PR
+    NEW met1 ( 96720 284345 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net5 ( input5 X ) 
+- net5 ( input5 X ) ( AOI22X1 D ) 
+  + ROUTED met2 ( 104400 45325 ) ( 104400 284345 )
+    NEW met1 ( 44160 45325 0 ) ( 104400 45325 )
+    NEW li1 ( 104400 284345 ) L1M1_PR_MR
+    NEW met1 ( 104400 284345 ) M1M2_PR
+    NEW met1 ( 104400 45325 ) M1M2_PR
+    NEW met1 ( 104400 284345 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net6 ( input6 X ) ( INVX1 A ) 
-  + ROUTED met2 ( 116880 57905 ) ( 116880 284345 )
-    NEW met1 ( 86400 57905 ) ( 116880 57905 )
-    NEW met1 ( 86400 57905 ) ( 86400 58645 )
-    NEW met1 ( 39840 58645 0 ) ( 86400 58645 )
-    NEW met1 ( 116880 57905 ) M1M2_PR
-    NEW li1 ( 116880 284345 ) L1M1_PR_MR
-    NEW met1 ( 116880 284345 ) M1M2_PR
-    NEW met1 ( 116880 284345 ) RECT ( -355 -70 0 70 )
+- net6 ( input6 X ) 
 + USE SIGNAL ;
-- net7 ( input7 X ) 
+- net7 ( input7 X ) ( BUFX2 A ) 
+  + ROUTED met2 ( 120240 55685 ) ( 120240 284345 )
+    NEW met1 ( 39840 50875 0 ) ( 40560 50875 )
+    NEW met2 ( 40560 50875 ) ( 40560 55685 )
+    NEW met1 ( 40560 55685 ) ( 120240 55685 )
+    NEW met1 ( 120240 55685 ) M1M2_PR
+    NEW li1 ( 120240 284345 ) L1M1_PR_MR
+    NEW met1 ( 120240 284345 ) M1M2_PR
+    NEW met1 ( 40560 50875 ) M1M2_PR
+    NEW met1 ( 40560 55685 ) M1M2_PR
+    NEW met1 ( 120240 284345 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net8 ( input8 X ) ( INVX2 A ) 
-  + ROUTED met1 ( 130320 284345 ) ( 131760 284345 )
-    NEW met2 ( 131760 64195 ) ( 131760 284345 )
-    NEW met1 ( 39840 64195 0 ) ( 131760 64195 )
-    NEW met1 ( 131760 64195 ) M1M2_PR
-    NEW met1 ( 131760 284345 ) M1M2_PR
-    NEW li1 ( 130320 284345 ) L1M1_PR_MR
+- net8 ( input8 X ) 
 + USE SIGNAL ;
-- net9 ( input9 X ) 
+- net9 ( input9 X ) ( BUFX4 A ) 
+  + ROUTED met2 ( 136080 60865 ) ( 136080 284345 )
+    NEW met1 ( 39840 58645 0 ) ( 40560 58645 )
+    NEW met2 ( 40560 58645 ) ( 40560 60865 )
+    NEW met1 ( 40560 60865 ) ( 136080 60865 )
+    NEW met1 ( 136080 60865 ) M1M2_PR
+    NEW li1 ( 136080 284345 ) L1M1_PR_MR
+    NEW met1 ( 136080 284345 ) M1M2_PR
+    NEW met1 ( 40560 58645 ) M1M2_PR
+    NEW met1 ( 40560 60865 ) M1M2_PR
+    NEW met1 ( 136080 284345 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net10 ( input10 X ) ( INVX4 A ) 
-  + ROUTED met2 ( 144240 69005 ) ( 144240 284345 )
-    NEW met2 ( 41040 69005 ) ( 41040 70855 )
-    NEW met1 ( 41040 69005 ) ( 144240 69005 )
-    NEW met1 ( 144240 69005 ) M1M2_PR
-    NEW li1 ( 144240 284345 ) L1M1_PR_MR
-    NEW met1 ( 144240 284345 ) M1M2_PR
-    NEW met1 ( 41040 70855 ) M1M2_PR
-    NEW met1 ( 41040 69005 ) M1M2_PR
-    NEW met1 ( 144240 284345 ) RECT ( -355 -70 0 70 )
+- net10 ( input10 X ) 
 + USE SIGNAL ;
-- net11 ( input11 X ) 
+- net11 ( input11 X ) ( CLKBUF1 A ) 
+  + ROUTED met2 ( 150480 67895 ) ( 150480 284345 )
+    NEW met2 ( 40560 66045 ) ( 40560 67895 )
+    NEW met1 ( 40560 67895 ) ( 150480 67895 )
+    NEW met1 ( 150480 67895 ) M1M2_PR
+    NEW li1 ( 150480 284345 ) L1M1_PR_MR
+    NEW met1 ( 150480 284345 ) M1M2_PR
+    NEW met1 ( 40560 67895 ) M1M2_PR
+    NEW met1 ( 40560 66045 ) M1M2_PR
+    NEW met1 ( 150480 284345 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - net12 ( input12 X ) ( AND2X1 B ) 
   + ROUTED met1 ( 41280 24235 0 ) ( 42000 24235 )
@@ -16623,267 +16618,230 @@
     NEW met1 ( 13200 29045 ) M1M2_PR
     NEW met1 ( 13200 284345 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net13 ( input13 X ) ( MUX2X1 A ) 
-  + ROUTED met2 ( 159600 75665 ) ( 159600 284345 )
-    NEW met1 ( 41285 77885 0 ) ( 42000 77885 )
-    NEW met2 ( 42000 75665 ) ( 42000 77885 )
-    NEW met1 ( 42000 75665 ) ( 159600 75665 )
-    NEW met1 ( 159600 75665 ) M1M2_PR
-    NEW li1 ( 159600 284345 ) L1M1_PR_MR
-    NEW met1 ( 159600 284345 ) M1M2_PR
-    NEW met1 ( 42000 77885 ) M1M2_PR
-    NEW met1 ( 42000 75665 ) M1M2_PR
-    NEW met1 ( 159600 284345 ) RECT ( -355 -70 0 70 )
+- net13 ( input13 X ) 
 + USE SIGNAL ;
-- net14 ( input14 X ) ( MUX2X1 B ) 
-  + ROUTED met2 ( 170640 77515 ) ( 170640 284345 )
-    NEW met1 ( 45600 77515 0 ) ( 170640 77515 )
-    NEW met1 ( 170640 77515 ) M1M2_PR
+- net14 ( input14 X ) ( INV A ) 
+  + ROUTED met2 ( 170640 70855 ) ( 170640 284345 )
+    NEW met1 ( 39840 70855 0 ) ( 170640 70855 )
+    NEW met1 ( 170640 70855 ) M1M2_PR
     NEW li1 ( 170640 284345 ) L1M1_PR_MR
     NEW met1 ( 170640 284345 ) M1M2_PR
     NEW met1 ( 170640 284345 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net15 ( input15 X ) ( MUX2X1 S ) 
-  + ROUTED met2 ( 65040 76035 ) ( 65040 77145 )
-    NEW met1 ( 65040 77145 ) ( 174480 77145 )
-    NEW met1 ( 174480 283975 ) ( 174480 284345 )
-    NEW met1 ( 174480 284345 ) ( 175440 284345 )
-    NEW met2 ( 174480 77145 ) ( 174480 283975 )
-    NEW met2 ( 43920 76035 ) ( 43920 77145 )
-    NEW met1 ( 43920 76035 ) ( 65040 76035 )
-    NEW met1 ( 65040 77145 ) M1M2_PR
-    NEW met1 ( 65040 76035 ) M1M2_PR
-    NEW met1 ( 174480 77145 ) M1M2_PR
-    NEW met1 ( 174480 283975 ) M1M2_PR
-    NEW li1 ( 175440 284345 ) L1M1_PR_MR
-    NEW met1 ( 43920 76035 ) M1M2_PR
-    NEW met1 ( 43920 77145 ) M1M2_PR
+- net15 ( input15 X ) 
 + USE SIGNAL ;
-- net16 ( input16 X ) 
+- net16 ( input16 X ) ( INVX1 A ) 
+  + ROUTED met2 ( 183600 77515 ) ( 183600 283605 )
+    NEW met1 ( 39840 77515 0 ) ( 183600 77515 )
+    NEW met1 ( 183600 77515 ) M1M2_PR
+    NEW li1 ( 183600 283605 ) L1M1_PR_MR
+    NEW met1 ( 183600 283605 ) M1M2_PR
+    NEW met1 ( 183600 283605 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net17 ( input17 X ) ( NAND2X1 A ) 
-  + ROUTED met2 ( 189840 82325 ) ( 189840 283605 )
-    NEW met2 ( 60240 82325 ) ( 60240 84175 )
-    NEW met1 ( 41280 84175 0 ) ( 60240 84175 )
-    NEW met1 ( 60240 82325 ) ( 189840 82325 )
-    NEW met1 ( 189840 82325 ) M1M2_PR
-    NEW li1 ( 189840 283605 ) L1M1_PR_MR
-    NEW met1 ( 189840 283605 ) M1M2_PR
-    NEW met1 ( 60240 82325 ) M1M2_PR
-    NEW met1 ( 60240 84175 ) M1M2_PR
-    NEW met1 ( 189840 283605 ) RECT ( -355 -70 0 70 )
+- net17 ( input17 X ) 
 + USE SIGNAL ;
-- net18 ( input18 X ) ( NAND2X1 B ) 
-  + ROUTED met2 ( 198960 81955 ) ( 198960 283605 )
-    NEW met2 ( 41040 81955 ) ( 41040 83805 )
-    NEW met1 ( 39840 83805 ) ( 41040 83805 )
-    NEW met1 ( 39840 83805 ) ( 39840 84175 0 )
-    NEW met1 ( 41040 81955 ) ( 198960 81955 )
-    NEW met1 ( 198960 81955 ) M1M2_PR
+- net18 ( input18 X ) ( INVX2 A ) 
+  + ROUTED met1 ( 198480 283605 ) ( 198960 283605 )
+    NEW met2 ( 198480 84175 ) ( 198480 283605 )
+    NEW met1 ( 39840 84175 0 ) ( 198480 84175 )
+    NEW met1 ( 198480 84175 ) M1M2_PR
+    NEW met1 ( 198480 283605 ) M1M2_PR
     NEW li1 ( 198960 283605 ) L1M1_PR_MR
-    NEW met1 ( 198960 283605 ) M1M2_PR
-    NEW met1 ( 41040 81955 ) M1M2_PR
-    NEW met1 ( 41040 83805 ) M1M2_PR
-    NEW met1 ( 198960 283605 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - net19 ( input19 X ) 
 + USE SIGNAL ;
-- net20 ( input20 X ) ( NAND3X1 A ) 
-  + ROUTED met2 ( 214800 88985 ) ( 214800 283605 )
-    NEW met2 ( 60240 88985 ) ( 60240 90835 )
-    NEW met1 ( 42720 90835 0 ) ( 60240 90835 )
-    NEW met1 ( 60240 88985 ) ( 214800 88985 )
-    NEW met1 ( 214800 88985 ) M1M2_PR
+- net20 ( input20 X ) ( INVX4 A ) 
+  + ROUTED met2 ( 214800 88245 ) ( 214800 283605 )
+    NEW met1 ( 136800 88245 ) ( 136800 88985 )
+    NEW met1 ( 136800 88245 ) ( 214800 88245 )
+    NEW met2 ( 40080 88985 ) ( 40080 91840 )
+    NEW met1 ( 40080 88985 ) ( 136800 88985 )
+    NEW met1 ( 214800 88245 ) M1M2_PR
     NEW li1 ( 214800 283605 ) L1M1_PR_MR
     NEW met1 ( 214800 283605 ) M1M2_PR
-    NEW met1 ( 60240 88985 ) M1M2_PR
-    NEW met1 ( 60240 90835 ) M1M2_PR
+    NEW met1 ( 40080 88985 ) M1M2_PR
+    NEW met1 ( 40080 91840 ) M1M2_PR
     NEW met1 ( 214800 283605 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net21 ( input21 X ) ( NAND3X1 B ) 
-  + ROUTED met2 ( 223920 88615 ) ( 223920 283605 )
-    NEW met2 ( 42000 88615 ) ( 42000 90835 )
-    NEW met1 ( 41280 90835 0 ) ( 42000 90835 )
-    NEW met1 ( 42000 88615 ) ( 223920 88615 )
-    NEW met1 ( 223920 88615 ) M1M2_PR
-    NEW li1 ( 223920 283605 ) L1M1_PR_MR
-    NEW met1 ( 223920 283605 ) M1M2_PR
-    NEW met1 ( 42000 88615 ) M1M2_PR
-    NEW met1 ( 42000 90835 ) M1M2_PR
-    NEW met1 ( 223920 283605 ) RECT ( -355 -70 0 70 )
+- net21 ( input21 X ) 
 + USE SIGNAL ;
-- net22 ( input22 X ) ( NAND3X1 C ) 
+- net22 ( input22 X ) ( INVX8 A ) 
   + ROUTED met1 ( 230160 283605 ) ( 230640 283605 )
-    NEW met2 ( 230640 88245 ) ( 230640 283605 )
-    NEW met2 ( 40080 88245 ) ( 40080 89910 )
-    NEW met2 ( 39840 89910 ) ( 40080 89910 )
-    NEW met2 ( 39840 89910 ) ( 39840 90835 )
-    NEW met1 ( 40080 88245 ) ( 230640 88245 )
-    NEW met1 ( 230640 88245 ) M1M2_PR
+    NEW met2 ( 230640 97495 ) ( 230640 283605 )
+    NEW met2 ( 41520 97310 ) ( 41520 97495 )
+    NEW met3 ( 41520 97310 ) ( 45840 97310 )
+    NEW met2 ( 45840 97310 ) ( 45840 97495 )
+    NEW met1 ( 45840 97495 ) ( 230640 97495 )
+    NEW met1 ( 230640 97495 ) M1M2_PR
     NEW met1 ( 230640 283605 ) M1M2_PR
     NEW li1 ( 230160 283605 ) L1M1_PR_MR
-    NEW met1 ( 40080 88245 ) M1M2_PR
-    NEW met1 ( 39840 90835 ) M1M2_PR
+    NEW met1 ( 41520 97495 ) M1M2_PR
+    NEW met2 ( 41520 97310 ) via2_FR
+    NEW met2 ( 45840 97310 ) via2_FR
+    NEW met1 ( 45840 97495 ) M1M2_PR
 + USE SIGNAL ;
 - net23 ( input23 X ) 
 + USE SIGNAL ;
 - net24 ( input24 X ) 
 + USE SIGNAL ;
-- net25 ( input25 X ) ( OR2X1 A ) 
-  + ROUTED met2 ( 243600 96015 ) ( 243600 283605 )
-    NEW met2 ( 40080 96015 ) ( 40080 96570 )
-    NEW met2 ( 39840 96570 ) ( 40080 96570 )
-    NEW met2 ( 39840 96570 ) ( 39840 97495 )
-    NEW met1 ( 40080 96015 ) ( 243600 96015 )
-    NEW met1 ( 243600 96015 ) M1M2_PR
+- net25 ( input25 X ) ( MUX2X1 A ) 
+  + ROUTED met1 ( 136800 107115 ) ( 136800 107485 )
+    NEW met1 ( 136800 107485 ) ( 187200 107485 )
+    NEW met1 ( 187200 107115 ) ( 187200 107485 )
+    NEW met1 ( 187200 107115 ) ( 242640 107115 )
+    NEW met1 ( 242640 283605 ) ( 243600 283605 )
+    NEW met2 ( 242640 107115 ) ( 242640 283605 )
+    NEW met1 ( 41280 104710 0 ) ( 42000 104710 )
+    NEW li1 ( 42000 104710 ) ( 42000 106005 )
+    NEW met1 ( 42000 106005 ) ( 44880 106005 )
+    NEW met2 ( 44880 106005 ) ( 44880 107115 )
+    NEW met1 ( 44880 107115 ) ( 136800 107115 )
+    NEW met1 ( 242640 107115 ) M1M2_PR
+    NEW met1 ( 242640 283605 ) M1M2_PR
     NEW li1 ( 243600 283605 ) L1M1_PR_MR
-    NEW met1 ( 243600 283605 ) M1M2_PR
-    NEW met1 ( 40080 96015 ) M1M2_PR
-    NEW met1 ( 39840 97495 ) M1M2_PR
-    NEW met1 ( 243600 283605 ) RECT ( -355 -70 0 70 )
+    NEW li1 ( 42000 104710 ) L1M1_PR_MR
+    NEW li1 ( 42000 106005 ) L1M1_PR_MR
+    NEW met1 ( 44880 106005 ) M1M2_PR
+    NEW met1 ( 44880 107115 ) M1M2_PR
 + USE SIGNAL ;
-- net26 ( input26 X ) ( OR2X1 B ) 
-  + ROUTED met2 ( 254160 95275 ) ( 254160 283605 )
-    NEW met2 ( 41520 95275 ) ( 41520 98975 )
-    NEW met1 ( 41520 98605 ) ( 41520 98975 )
-    NEW met1 ( 41280 98605 0 ) ( 41520 98605 )
-    NEW met1 ( 41520 95275 ) ( 254160 95275 )
-    NEW met1 ( 254160 95275 ) M1M2_PR
+- net26 ( input26 X ) ( MUX2X1 B ) 
+  + ROUTED met1 ( 136800 104155 ) ( 136800 104525 )
+    NEW met1 ( 136800 104525 ) ( 254160 104525 )
+    NEW met2 ( 254160 104525 ) ( 254160 283605 )
+    NEW met1 ( 45600 104155 0 ) ( 136800 104155 )
+    NEW met1 ( 254160 104525 ) M1M2_PR
     NEW li1 ( 254160 283605 ) L1M1_PR_MR
     NEW met1 ( 254160 283605 ) M1M2_PR
-    NEW met1 ( 41520 95275 ) M1M2_PR
-    NEW met1 ( 41520 98975 ) M1M2_PR
     NEW met1 ( 254160 283605 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net27 ( input27 X ) 
+- net27 ( input27 X ) ( MUX2X1 S ) 
+  + ROUTED met2 ( 264240 107855 ) ( 264240 283605 )
+    NEW met2 ( 40080 104155 ) ( 40080 107855 )
+    NEW met1 ( 40080 107855 ) ( 264240 107855 )
+    NEW met1 ( 264240 107855 ) M1M2_PR
+    NEW li1 ( 264240 283605 ) L1M1_PR_MR
+    NEW met1 ( 264240 283605 ) M1M2_PR
+    NEW met1 ( 40080 104155 ) M1M2_PR
+    NEW met1 ( 40080 107855 ) M1M2_PR
+    NEW met1 ( 264240 283605 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net28 ( input28 X ) ( OR2X2 A ) 
-  + ROUTED met1 ( 269520 283605 ) ( 270000 283605 )
-    NEW met2 ( 269520 107115 ) ( 269520 283605 )
-    NEW met2 ( 39840 104895 ) ( 39840 105450 )
-    NEW met2 ( 39840 105450 ) ( 40080 105450 )
-    NEW met2 ( 40080 105450 ) ( 40080 107115 )
-    NEW met1 ( 40080 107115 ) ( 269520 107115 )
-    NEW met1 ( 269520 107115 ) M1M2_PR
-    NEW met1 ( 269520 283605 ) M1M2_PR
-    NEW li1 ( 270000 283605 ) L1M1_PR_MR
-    NEW met1 ( 39840 104895 ) M1M2_PR_MR
-    NEW met1 ( 40080 107115 ) M1M2_PR
+- net28 ( input28 X ) 
 + USE SIGNAL ;
-- net29 ( input29 X ) ( OR2X2 B ) 
-  + ROUTED met2 ( 277680 107485 ) ( 277680 283605 )
-    NEW met1 ( 41280 105265 0 ) ( 42000 105265 )
-    NEW met2 ( 42000 105265 ) ( 42000 107485 )
-    NEW met1 ( 42000 107485 ) ( 277680 107485 )
-    NEW met1 ( 277680 107485 ) M1M2_PR
+- net29 ( input29 X ) ( NAND2X1 A ) 
+  + ROUTED met1 ( 277200 283605 ) ( 277680 283605 )
+    NEW met2 ( 277200 114515 ) ( 277200 283605 )
+    NEW met1 ( 41280 110815 0 ) ( 52560 110815 )
+    NEW met2 ( 52560 110815 ) ( 52560 114515 )
+    NEW met1 ( 52560 114515 ) ( 277200 114515 )
+    NEW met1 ( 277200 114515 ) M1M2_PR
+    NEW met1 ( 277200 283605 ) M1M2_PR
     NEW li1 ( 277680 283605 ) L1M1_PR_MR
-    NEW met1 ( 277680 283605 ) M1M2_PR
-    NEW met1 ( 42000 105265 ) M1M2_PR
-    NEW met1 ( 42000 107485 ) M1M2_PR
-    NEW met1 ( 277680 283605 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 52560 110815 ) M1M2_PR
+    NEW met1 ( 52560 114515 ) M1M2_PR
 + USE SIGNAL ;
-- net30 ( input30 X ) 
+- net30 ( input30 X ) ( NAND2X1 B ) 
+  + ROUTED met2 ( 90480 281570 ) ( 91440 281570 )
+    NEW met2 ( 91440 281570 ) ( 91440 285085 )
+    NEW met2 ( 90480 114145 ) ( 90480 281570 )
+    NEW met1 ( 91440 285085 ) ( 283920 285085 )
+    NEW met2 ( 39840 111555 ) ( 39840 112110 )
+    NEW met2 ( 39840 112110 ) ( 40080 112110 )
+    NEW met2 ( 40080 112110 ) ( 40080 114145 )
+    NEW met1 ( 40080 114145 ) ( 90480 114145 )
+    NEW met1 ( 90480 114145 ) M1M2_PR
+    NEW met1 ( 91440 285085 ) M1M2_PR
+    NEW li1 ( 283920 285085 ) L1M1_PR_MR
+    NEW met1 ( 39840 111555 ) M1M2_PR_MR
+    NEW met1 ( 40080 114145 ) M1M2_PR
 + USE SIGNAL ;
-- net31 ( input31 X ) ( XOR2X1 A ) 
-  + ROUTED met2 ( 198000 114515 ) ( 198000 282125 )
-    NEW met2 ( 283920 282125 ) ( 283920 283605 )
-    NEW met1 ( 198000 282125 ) ( 283920 282125 )
-    NEW li1 ( 40560 110815 ) ( 40560 112295 )
-    NEW li1 ( 40560 112295 ) ( 42000 112295 )
-    NEW met1 ( 42000 112295 ) ( 42960 112295 )
-    NEW met2 ( 42960 112295 ) ( 42960 114515 )
-    NEW met1 ( 42960 114515 ) ( 198000 114515 )
-    NEW met1 ( 198000 114515 ) M1M2_PR
-    NEW met1 ( 198000 282125 ) M1M2_PR
-    NEW met1 ( 283920 282125 ) M1M2_PR
-    NEW li1 ( 283920 283605 ) L1M1_PR_MR
-    NEW met1 ( 283920 283605 ) M1M2_PR
-    NEW li1 ( 40560 110815 ) L1M1_PR_MR
-    NEW li1 ( 42000 112295 ) L1M1_PR_MR
-    NEW met1 ( 42960 112295 ) M1M2_PR
-    NEW met1 ( 42960 114515 ) M1M2_PR
-    NEW met1 ( 283920 283605 ) RECT ( -355 -70 0 70 )
+- net31 ( input31 X ) 
 + USE SIGNAL ;
 - net32 ( input32 X ) ( AND2X2 A ) 
   + ROUTED met1 ( 32400 283975 ) ( 32400 284345 )
     NEW met1 ( 25680 284345 ) ( 32400 284345 )
-    NEW met2 ( 32400 158175 ) ( 32400 283975 )
-    NEW met1 ( 32400 158175 ) ( 40560 158175 )
-    NEW met1 ( 39840 30895 0 ) ( 40560 30895 )
-    NEW met2 ( 40560 30895 ) ( 40560 158175 )
-    NEW met1 ( 32400 158175 ) M1M2_PR
+    NEW met1 ( 36240 32005 ) ( 39840 32005 0 )
+    NEW met2 ( 36240 32005 ) ( 36240 38665 )
+    NEW met1 ( 32400 38665 ) ( 36240 38665 )
+    NEW met2 ( 32400 38665 ) ( 32400 283975 )
     NEW met1 ( 32400 283975 ) M1M2_PR
     NEW li1 ( 25680 284345 ) L1M1_PR_MR
-    NEW met1 ( 40560 158175 ) M1M2_PR
-    NEW met1 ( 40560 30895 ) M1M2_PR
+    NEW met1 ( 36240 32005 ) M1M2_PR
+    NEW met1 ( 36240 38665 ) M1M2_PR
+    NEW met1 ( 32400 38665 ) M1M2_PR
 + USE SIGNAL ;
 - net33 ( input33 X ) ( AND2X2 B ) 
-  + ROUTED met1 ( 36240 284345 ) ( 41520 284345 )
-    NEW met1 ( 41280 30895 0 ) ( 42000 30895 )
-    NEW met2 ( 42000 30895 ) ( 42000 31450 )
-    NEW met2 ( 42000 31450 ) ( 42480 31450 )
-    NEW met2 ( 42480 31450 ) ( 42480 93600 )
-    NEW met2 ( 41520 104710 ) ( 42000 104710 )
-    NEW met2 ( 42000 93600 ) ( 42000 104710 )
-    NEW met2 ( 42000 93600 ) ( 42480 93600 )
-    NEW met2 ( 41520 104710 ) ( 41520 284345 )
+  + ROUTED met2 ( 41520 187200 ) ( 42000 187200 )
+    NEW met1 ( 36240 284345 ) ( 41520 284345 )
+    NEW met2 ( 41520 187200 ) ( 41520 284345 )
+    NEW met2 ( 41520 64800 ) ( 42000 64800 )
+    NEW met2 ( 41520 43290 ) ( 41520 64800 )
+    NEW met2 ( 41520 43290 ) ( 42000 43290 )
+    NEW met2 ( 42000 32005 ) ( 42000 43290 )
+    NEW met1 ( 41280 32005 0 ) ( 42000 32005 )
+    NEW met2 ( 42000 64800 ) ( 42000 187200 )
     NEW met1 ( 41520 284345 ) M1M2_PR
     NEW li1 ( 36240 284345 ) L1M1_PR_MR
-    NEW met1 ( 42000 30895 ) M1M2_PR
+    NEW met1 ( 42000 32005 ) M1M2_PR
 + USE SIGNAL ;
 - net34 ( input34 X ) 
 + USE SIGNAL ;
 - net35 ( input35 X ) ( AOI21X1 A ) 
-  + ROUTED met2 ( 41280 37925 ) ( 41280 38110 )
-    NEW met3 ( 41280 38110 ) ( 47760 38110 )
-    NEW met2 ( 47760 38110 ) ( 47760 79200 )
-    NEW met2 ( 47760 79200 ) ( 49200 79200 )
-    NEW met2 ( 49200 79200 ) ( 49200 283605 )
+  + ROUTED met2 ( 41280 37370 ) ( 41280 37925 )
+    NEW met3 ( 41280 37370 ) ( 44400 37370 )
+    NEW met2 ( 44400 37370 ) ( 44400 42365 )
+    NEW met1 ( 44400 42365 ) ( 49200 42365 )
+    NEW met2 ( 49200 42365 ) ( 49200 283605 )
     NEW li1 ( 49200 283605 ) L1M1_PR_MR
     NEW met1 ( 49200 283605 ) M1M2_PR
     NEW met1 ( 41280 37925 ) M1M2_PR_MR
-    NEW met2 ( 41280 38110 ) via2_FR
-    NEW met2 ( 47760 38110 ) via2_FR
+    NEW met2 ( 41280 37370 ) via2_FR
+    NEW met2 ( 44400 37370 ) via2_FR
+    NEW met1 ( 44400 42365 ) M1M2_PR
+    NEW met1 ( 49200 42365 ) M1M2_PR
     NEW met1 ( 49200 283605 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - net36 ( input36 X ) ( AOI21X1 B ) 
-  + ROUTED met1 ( 42000 38665 ) ( 42720 38665 0 )
-    NEW met1 ( 42000 38295 ) ( 42000 38665 )
-    NEW li1 ( 42000 38295 ) ( 42000 39035 )
-    NEW li1 ( 42000 39035 ) ( 42480 39035 )
-    NEW li1 ( 42480 39035 ) ( 42480 39405 )
-    NEW li1 ( 42480 39405 ) ( 43920 39405 )
-    NEW li1 ( 43920 39035 ) ( 43920 39405 )
-    NEW met1 ( 43920 39035 ) ( 56400 39035 )
-    NEW met2 ( 56400 39035 ) ( 56400 284345 )
+  + ROUTED met2 ( 42720 37925 ) ( 42720 38110 )
+    NEW met3 ( 42720 38110 ) ( 56400 38110 )
+    NEW met2 ( 56400 38110 ) ( 56400 284345 )
     NEW li1 ( 56400 284345 ) L1M1_PR_MR
     NEW met1 ( 56400 284345 ) M1M2_PR
-    NEW li1 ( 42000 38295 ) L1M1_PR_MR
-    NEW li1 ( 43920 39035 ) L1M1_PR_MR
-    NEW met1 ( 56400 39035 ) M1M2_PR
+    NEW met1 ( 42720 37925 ) M1M2_PR_MR
+    NEW met2 ( 42720 38110 ) via2_FR
+    NEW met2 ( 56400 38110 ) via2_FR
     NEW met1 ( 56400 284345 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - net37 ( input37 X ) ( AOI21X1 C ) 
-  + ROUTED met1 ( 61200 284345 ) ( 65040 284345 )
-    NEW met2 ( 39840 38665 ) ( 39840 39590 )
-    NEW met3 ( 39840 39590 ) ( 61200 39590 )
-    NEW met2 ( 61200 39590 ) ( 61200 284345 )
-    NEW met1 ( 61200 284345 ) M1M2_PR
+  + ROUTED met1 ( 54000 284715 ) ( 56880 284715 )
+    NEW met1 ( 56880 284345 ) ( 56880 284715 )
+    NEW met1 ( 56880 284345 ) ( 65040 284345 )
+    NEW met2 ( 54000 48285 ) ( 54000 284715 )
+    NEW met1 ( 39840 38665 0 ) ( 40080 38665 )
+    NEW met1 ( 40080 38665 ) ( 40080 39035 )
+    NEW met1 ( 39600 39035 ) ( 40080 39035 )
+    NEW li1 ( 39600 39035 ) ( 39600 39405 )
+    NEW li1 ( 38640 39405 ) ( 39600 39405 )
+    NEW li1 ( 38640 38665 ) ( 38640 39405 )
+    NEW met1 ( 37680 38665 ) ( 38640 38665 )
+    NEW met2 ( 37680 38665 ) ( 37680 48285 )
+    NEW met1 ( 37680 48285 ) ( 54000 48285 )
+    NEW met1 ( 54000 284715 ) M1M2_PR
     NEW li1 ( 65040 284345 ) L1M1_PR_MR
-    NEW met1 ( 39840 38665 ) M1M2_PR
-    NEW met2 ( 39840 39590 ) via2_FR
-    NEW met2 ( 61200 39590 ) via2_FR
+    NEW met1 ( 54000 48285 ) M1M2_PR
+    NEW li1 ( 39600 39035 ) L1M1_PR_MR
+    NEW li1 ( 38640 38665 ) L1M1_PR_MR
+    NEW met1 ( 37680 38665 ) M1M2_PR
+    NEW met1 ( 37680 48285 ) M1M2_PR
 + USE SIGNAL ;
 - net38 ( input38 X ) 
 + USE SIGNAL ;
-- net39 ( input39 X ) ( XOR2X1 B ) 
-  + ROUTED met2 ( 44880 15355 ) ( 44880 29970 )
-    NEW met2 ( 44400 29970 ) ( 44880 29970 )
-    NEW met1 ( 44880 15355 ) ( 66480 15355 )
-    NEW met2 ( 44400 29970 ) ( 44400 112665 )
-    NEW li1 ( 66480 15355 ) L1M1_PR_MR
-    NEW met1 ( 44880 15355 ) M1M2_PR
-    NEW met1 ( 44400 112665 ) M1M2_PR
+- net39 ( input39 X ) ( NAND3X1 A ) 
+  + ROUTED met2 ( 66480 16095 ) ( 66480 117475 )
+    NEW met1 ( 42720 117475 0 ) ( 66480 117475 )
+    NEW met1 ( 66480 117475 ) M1M2_PR
+    NEW li1 ( 66480 16095 ) L1M1_PR_MR
+    NEW met1 ( 66480 16095 ) M1M2_PR
+    NEW met1 ( 66480 16095 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - net40 ( input40 X ) 
 + USE SIGNAL ;
@@ -16905,7 +16863,18 @@
 + USE SIGNAL ;
 - net49 ( input49 X ) 
 + USE SIGNAL ;
-- net50 ( input50 X ) 
+- net50 ( input50 X ) ( XNOR2X1 A ) 
+  + ROUTED met1 ( 84240 16095 ) ( 84720 16095 )
+    NEW met2 ( 84720 16095 ) ( 84720 137085 )
+    NEW met2 ( 46800 137270 ) ( 46800 137455 )
+    NEW met2 ( 46800 137270 ) ( 48240 137270 )
+    NEW met2 ( 48240 137085 ) ( 48240 137270 )
+    NEW met1 ( 48240 137085 ) ( 84720 137085 )
+    NEW met1 ( 84720 137085 ) M1M2_PR
+    NEW li1 ( 84240 16095 ) L1M1_PR_MR
+    NEW met1 ( 84720 16095 ) M1M2_PR
+    NEW met1 ( 46800 137455 ) M1M2_PR
+    NEW met1 ( 48240 137085 ) M1M2_PR
 + USE SIGNAL ;
 - net51 ( input51 X ) 
 + USE SIGNAL ;
@@ -16927,7 +16896,24 @@
 + USE SIGNAL ;
 - net60 ( input60 X ) 
 + USE SIGNAL ;
-- net61 ( input61 X ) 
+- net61 ( input61 X ) ( XNOR2X1 B ) 
+  + ROUTED met2 ( 74640 86400 ) ( 75120 86400 )
+    NEW met2 ( 74640 86400 ) ( 74640 141155 )
+    NEW met1 ( 89040 16095 ) ( 90480 16095 )
+    NEW met2 ( 89040 16095 ) ( 89040 17205 )
+    NEW met1 ( 75600 17205 ) ( 89040 17205 )
+    NEW met2 ( 75600 17205 ) ( 75600 29230 )
+    NEW met2 ( 75120 29230 ) ( 75600 29230 )
+    NEW met2 ( 75120 29230 ) ( 75120 86400 )
+    NEW met2 ( 41040 137455 ) ( 41040 141155 )
+    NEW met1 ( 41040 141155 ) ( 74640 141155 )
+    NEW met1 ( 74640 141155 ) M1M2_PR
+    NEW li1 ( 90480 16095 ) L1M1_PR_MR
+    NEW met1 ( 89040 16095 ) M1M2_PR
+    NEW met1 ( 89040 17205 ) M1M2_PR
+    NEW met1 ( 75600 17205 ) M1M2_PR
+    NEW met1 ( 41040 137455 ) M1M2_PR
+    NEW met1 ( 41040 141155 ) M1M2_PR
 + USE SIGNAL ;
 - net62 ( input62 X ) 
 + USE SIGNAL ;
@@ -16947,9 +16933,36 @@
 + USE SIGNAL ;
 - net70 ( input70 X ) 
 + USE SIGNAL ;
-- net71 ( input71 X ) 
+- net71 ( input71 X ) ( XOR2X1 A ) 
+  + ROUTED met2 ( 78000 86400 ) ( 78480 86400 )
+    NEW met2 ( 78480 86400 ) ( 78480 147815 )
+    NEW met1 ( 83760 15725 ) ( 94320 15725 )
+    NEW met1 ( 83760 15725 ) ( 83760 16095 )
+    NEW met1 ( 78000 16095 ) ( 83760 16095 )
+    NEW met2 ( 78000 16095 ) ( 78000 86400 )
+    NEW met1 ( 43440 144485 ) ( 43440 145120 0 )
+    NEW met2 ( 43440 144485 ) ( 43440 147815 )
+    NEW met1 ( 43440 147815 ) ( 78480 147815 )
+    NEW met1 ( 78480 147815 ) M1M2_PR
+    NEW li1 ( 94320 15725 ) L1M1_PR_MR
+    NEW met1 ( 78000 16095 ) M1M2_PR
+    NEW met1 ( 43440 144485 ) M1M2_PR
+    NEW met1 ( 43440 147815 ) M1M2_PR
 + USE SIGNAL ;
-- net72 ( input72 X ) 
+- net72 ( input72 X ) ( XOR2X1 B ) 
+  + ROUTED met1 ( 82320 19055 ) ( 92880 19055 )
+    NEW met1 ( 82320 19055 ) ( 82320 19425 )
+    NEW met1 ( 76560 19425 ) ( 82320 19425 )
+    NEW met2 ( 76560 19425 ) ( 76560 147075 )
+    NEW met2 ( 41280 144485 ) ( 41280 146150 )
+    NEW met2 ( 41280 146150 ) ( 41520 146150 )
+    NEW met2 ( 41520 146150 ) ( 41520 147075 )
+    NEW met1 ( 41520 147075 ) ( 76560 147075 )
+    NEW met1 ( 76560 147075 ) M1M2_PR
+    NEW li1 ( 92880 19055 ) L1M1_PR_MR
+    NEW met1 ( 76560 19425 ) M1M2_PR
+    NEW met1 ( 41280 144485 ) M1M2_PR_MR
+    NEW met1 ( 41520 147075 ) M1M2_PR
 + USE SIGNAL ;
 - net73 ( input73 X ) 
 + USE SIGNAL ;
@@ -16961,7 +16974,20 @@
 + USE SIGNAL ;
 - net77 ( input77 X ) 
 + USE SIGNAL ;
-- net78 ( input78 X ) 
+- net78 ( input78 X ) ( NAND3X1 B ) 
+  + ROUTED met2 ( 70320 16095 ) ( 70320 115625 )
+    NEW met2 ( 45840 115625 ) ( 45840 118030 )
+    NEW met3 ( 41280 118030 ) ( 45840 118030 )
+    NEW met2 ( 41280 118030 ) ( 41280 118215 )
+    NEW met1 ( 45840 115625 ) ( 70320 115625 )
+    NEW met1 ( 70320 115625 ) M1M2_PR
+    NEW li1 ( 70320 16095 ) L1M1_PR_MR
+    NEW met1 ( 70320 16095 ) M1M2_PR
+    NEW met1 ( 45840 115625 ) M1M2_PR
+    NEW met2 ( 45840 118030 ) via2_FR
+    NEW met2 ( 41280 118030 ) via2_FR
+    NEW met1 ( 41280 118215 ) M1M2_PR_MR
+    NEW met1 ( 70320 16095 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - net79 ( input79 X ) 
 + USE SIGNAL ;
@@ -16983,7 +17009,18 @@
 + USE SIGNAL ;
 - net88 ( input88 X ) 
 + USE SIGNAL ;
-- net89 ( input89 X ) 
+- net89 ( input89 X ) ( NAND3X1 C ) 
+  + ROUTED met1 ( 66000 19425 ) ( 69840 19425 )
+    NEW met2 ( 66000 19425 ) ( 66000 115995 )
+    NEW met2 ( 42480 115995 ) ( 42480 117105 )
+    NEW met1 ( 39840 117105 ) ( 42480 117105 )
+    NEW met1 ( 39840 117105 ) ( 39840 117475 0 )
+    NEW met1 ( 42480 115995 ) ( 66000 115995 )
+    NEW met1 ( 66000 115995 ) M1M2_PR
+    NEW li1 ( 69840 19425 ) L1M1_PR_MR
+    NEW met1 ( 66000 19425 ) M1M2_PR
+    NEW met1 ( 42480 115995 ) M1M2_PR
+    NEW met1 ( 42480 117105 ) M1M2_PR
 + USE SIGNAL ;
 - net90 ( input90 X ) 
 + USE SIGNAL ;
@@ -17027,7 +17064,18 @@
 + USE SIGNAL ;
 - net110 ( input110 X ) 
 + USE SIGNAL ;
-- net111 ( input111 X ) 
+- net111 ( input111 X ) ( OR2X1 A ) 
+  + ROUTED met2 ( 77040 16095 ) ( 77040 127465 )
+    NEW met1 ( 39840 125245 0 ) ( 40080 125245 )
+    NEW met1 ( 40080 125245 ) ( 40080 125615 )
+    NEW met2 ( 40080 125615 ) ( 40080 127465 )
+    NEW met1 ( 40080 127465 ) ( 77040 127465 )
+    NEW met1 ( 77040 127465 ) M1M2_PR
+    NEW li1 ( 77040 16095 ) L1M1_PR_MR
+    NEW met1 ( 77040 16095 ) M1M2_PR
+    NEW met1 ( 40080 125615 ) M1M2_PR
+    NEW met1 ( 40080 127465 ) M1M2_PR
+    NEW met1 ( 77040 16095 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 - net112 ( input112 X ) 
 + USE SIGNAL ;
@@ -17049,7 +17097,18 @@
 + USE SIGNAL ;
 - net121 ( input121 X ) 
 + USE SIGNAL ;
-- net122 ( input122 X ) 
+- net122 ( input122 X ) ( OR2X1 B ) 
+  + ROUTED met2 ( 67440 64800 ) ( 67440 125985 )
+    NEW met1 ( 68400 19055 ) ( 77520 19055 )
+    NEW met2 ( 68400 19055 ) ( 68400 64800 )
+    NEW met2 ( 67440 64800 ) ( 68400 64800 )
+    NEW met1 ( 42720 125615 ) ( 42720 125985 )
+    NEW met1 ( 41280 125615 ) ( 42720 125615 )
+    NEW met1 ( 41280 125245 0 ) ( 41280 125615 )
+    NEW met1 ( 42720 125985 ) ( 67440 125985 )
+    NEW met1 ( 67440 125985 ) M1M2_PR
+    NEW li1 ( 77520 19055 ) L1M1_PR_MR
+    NEW met1 ( 68400 19055 ) M1M2_PR
 + USE SIGNAL ;
 - net123 ( input123 X ) 
 + USE SIGNAL ;
@@ -17093,7 +17152,20 @@
 + USE SIGNAL ;
 - net143 ( input143 X ) 
 + USE SIGNAL ;
-- net144 ( input144 X ) 
+- net144 ( input144 X ) ( OR2X2 A ) 
+  + ROUTED met1 ( 78960 21275 ) ( 78960 22015 )
+    NEW met1 ( 37200 88985 ) ( 39120 88985 )
+    NEW met1 ( 37200 22015 ) ( 37200 22385 )
+    NEW met2 ( 37200 22385 ) ( 37200 88985 )
+    NEW met1 ( 37200 22015 ) ( 78960 22015 )
+    NEW met2 ( 39120 130610 ) ( 39840 130610 )
+    NEW met2 ( 39840 130610 ) ( 39840 131720 )
+    NEW met2 ( 39120 88985 ) ( 39120 130610 )
+    NEW li1 ( 78960 21275 ) L1M1_PR_MR
+    NEW met1 ( 37200 88985 ) M1M2_PR
+    NEW met1 ( 39120 88985 ) M1M2_PR
+    NEW met1 ( 37200 22385 ) M1M2_PR
+    NEW met1 ( 39840 131720 ) M1M2_PR
 + USE SIGNAL ;
 - net145 ( input145 X ) 
 + USE SIGNAL ;
@@ -17115,7 +17187,28 @@
 + USE SIGNAL ;
 - net154 ( input154 X ) 
 + USE SIGNAL ;
-- net155 ( input155 X ) 
+- net155 ( input155 X ) ( OR2X2 B ) 
+  + ROUTED met2 ( 40560 22385 ) ( 40560 25530 )
+    NEW met2 ( 40080 25530 ) ( 40560 25530 )
+    NEW met2 ( 40080 25530 ) ( 40080 42550 )
+    NEW met2 ( 39600 42550 ) ( 40080 42550 )
+    NEW met2 ( 39600 42550 ) ( 39600 45510 )
+    NEW met3 ( 39600 45510 ) ( 42000 45510 )
+    NEW met2 ( 42000 45510 ) ( 42000 60310 )
+    NEW met2 ( 42000 60310 ) ( 42480 60310 )
+    NEW met2 ( 42480 60310 ) ( 42480 64800 )
+    NEW met2 ( 42480 64800 ) ( 42960 64800 )
+    NEW met1 ( 40560 22385 ) ( 82800 22385 )
+    NEW met2 ( 42480 123210 ) ( 42960 123210 )
+    NEW met2 ( 42480 123210 ) ( 42480 132275 )
+    NEW met1 ( 41280 132275 ) ( 42480 132275 )
+    NEW met1 ( 41280 131905 0 ) ( 41280 132275 )
+    NEW met2 ( 42960 64800 ) ( 42960 123210 )
+    NEW li1 ( 82800 22385 ) L1M1_PR_MR
+    NEW met1 ( 40560 22385 ) M1M2_PR
+    NEW met2 ( 39600 45510 ) via2_FR
+    NEW met2 ( 42000 45510 ) via2_FR
+    NEW met1 ( 42480 132275 ) M1M2_PR
 + USE SIGNAL ;
 - net156 ( input156 X ) 
 + USE SIGNAL ;
@@ -17541,835 +17634,868 @@
 + USE SIGNAL ;
 - net367 ( input367 X ) 
 + USE SIGNAL ;
-- net368 ( output368 A ) ( _017_ HI ) 
-  + ROUTED met1 ( 8880 281015 ) ( 9360 281015 )
-    NEW met2 ( 9360 194435 ) ( 9360 281015 )
-    NEW met1 ( 9360 194435 ) ( 133200 194435 )
-    NEW met1 ( 9360 194435 ) M1M2_PR
-    NEW li1 ( 133200 194435 ) L1M1_PR_MR
-    NEW met1 ( 9360 281015 ) M1M2_PR
-    NEW li1 ( 8880 281015 ) L1M1_PR_MR
+- net368 ( ANTENNA_1 DIODE ) ( ANTENNA_0 DIODE ) ( output368 A ) ( _015_ HI ) 
+  + ROUTED met2 ( 10320 282495 ) ( 10320 283605 )
+    NEW met1 ( 8880 281755 ) ( 8880 282495 )
+    NEW met1 ( 8880 282495 ) ( 10320 282495 )
+    NEW met2 ( 121680 17205 ) ( 121680 36000 )
+    NEW met2 ( 120720 86400 ) ( 121200 86400 )
+    NEW met2 ( 121200 36000 ) ( 121200 86400 )
+    NEW met2 ( 121200 36000 ) ( 121680 36000 )
+    NEW met2 ( 120720 86400 ) ( 120720 283975 )
+    NEW met2 ( 176400 16095 ) ( 176400 17205 )
+    NEW met1 ( 176400 16095 ) ( 177360 16095 )
+    NEW met1 ( 121680 17205 ) ( 176400 17205 )
+    NEW met1 ( 10320 283605 ) ( 36000 283605 )
+    NEW met1 ( 36000 283605 ) ( 36000 283975 )
+    NEW met1 ( 36000 283975 ) ( 120720 283975 )
+    NEW met1 ( 121680 17205 ) M1M2_PR
+    NEW li1 ( 10320 282495 ) L1M1_PR_MR
+    NEW met1 ( 10320 282495 ) M1M2_PR
+    NEW met1 ( 10320 283605 ) M1M2_PR
+    NEW li1 ( 8880 281755 ) L1M1_PR_MR
+    NEW met1 ( 120720 283975 ) M1M2_PR
+    NEW li1 ( 176400 16095 ) L1M1_PR_MR
+    NEW met1 ( 176400 16095 ) M1M2_PR
+    NEW met1 ( 176400 17205 ) M1M2_PR
+    NEW li1 ( 177360 16095 ) L1M1_PR_MR
+    NEW met1 ( 10320 282495 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 176400 16095 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net369 ( ANTENNA_1 DIODE ) ( ANTENNA_0 DIODE ) ( output369 A ) ( _024_ HI ) 
-  + ROUTED met1 ( 205680 22015 ) ( 206640 22015 )
-    NEW met1 ( 70320 22015 ) ( 205680 22015 )
-    NEW met1 ( 68880 284345 ) ( 70320 284345 )
-    NEW met2 ( 70320 22015 ) ( 70320 284345 )
-    NEW li1 ( 205680 22015 ) L1M1_PR_MR
-    NEW li1 ( 206640 22015 ) L1M1_PR_MR
-    NEW met1 ( 70320 22015 ) M1M2_PR
+- net369 ( output369 A ) ( _022_ HI ) 
+  + ROUTED met1 ( 70320 236985 ) ( 275280 236985 )
+    NEW met2 ( 70320 236985 ) ( 70320 284345 )
+    NEW met1 ( 70320 236985 ) M1M2_PR
+    NEW li1 ( 275280 236985 ) L1M1_PR_MR
     NEW li1 ( 70320 284345 ) L1M1_PR_MR
     NEW met1 ( 70320 284345 ) M1M2_PR
-    NEW li1 ( 68880 284345 ) L1M1_PR_MR
     NEW met1 ( 70320 284345 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net370 ( ANTENNA_3 DIODE ) ( ANTENNA_2 DIODE ) ( output370 A ) ( _222_ LO ) 
-  + ROUTED met1 ( 106800 284345 ) ( 108240 284345 )
-    NEW met2 ( 108240 104525 ) ( 108240 284345 )
-    NEW met1 ( 108240 104525 ) ( 136800 104525 )
-    NEW met1 ( 136800 104155 ) ( 136800 104525 )
-    NEW met1 ( 136800 104155 ) ( 187200 104155 )
-    NEW met1 ( 187200 104155 ) ( 187200 104525 )
-    NEW met1 ( 253680 104525 ) ( 254640 104525 )
-    NEW met1 ( 187200 104525 ) ( 253680 104525 )
-    NEW met1 ( 108240 104525 ) M1M2_PR
-    NEW li1 ( 108240 284345 ) L1M1_PR_MR
-    NEW met1 ( 108240 284345 ) M1M2_PR
-    NEW li1 ( 106800 284345 ) L1M1_PR_MR
-    NEW li1 ( 253680 104525 ) L1M1_PR_MR
-    NEW li1 ( 254640 104525 ) L1M1_PR_MR
-    NEW met1 ( 108240 284345 ) RECT ( -355 -70 0 70 )
+- net370 ( output370 A ) ( _023_ HI ) 
+  + ROUTED met2 ( 91440 278055 ) ( 91440 281015 )
+    NEW met1 ( 66000 278055 ) ( 91440 278055 )
+    NEW met1 ( 91440 278055 ) M1M2_PR
+    NEW li1 ( 91440 281015 ) L1M1_PR_MR
+    NEW met1 ( 91440 281015 ) M1M2_PR
+    NEW li1 ( 66000 278055 ) L1M1_PR_MR
+    NEW met1 ( 91440 281015 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net371 ( ANTENNA_5 DIODE ) ( ANTENNA_4 DIODE ) ( output371 A ) ( _000_ HI ) 
-  + ROUTED met1 ( 104400 102675 ) ( 110160 102675 )
-    NEW met1 ( 110160 102675 ) ( 111120 102675 )
-    NEW met1 ( 102000 281015 ) ( 104400 281015 )
-    NEW met1 ( 100560 281015 ) ( 102000 281015 )
-    NEW met2 ( 104400 102675 ) ( 104400 281015 )
-    NEW li1 ( 110160 102675 ) L1M1_PR_MR
-    NEW met1 ( 104400 102675 ) M1M2_PR
-    NEW li1 ( 111120 102675 ) L1M1_PR_MR
+- net371 ( ANTENNA_3 DIODE ) ( ANTENNA_2 DIODE ) ( output371 A ) ( _000_ HI ) 
+  + ROUTED met1 ( 100560 281015 ) ( 102000 281015 )
+    NEW met2 ( 102000 68265 ) ( 102000 281015 )
+    NEW met1 ( 179280 68265 ) ( 180240 68265 )
+    NEW met1 ( 102000 68265 ) ( 179280 68265 )
+    NEW met1 ( 102000 68265 ) M1M2_PR
     NEW li1 ( 102000 281015 ) L1M1_PR_MR
-    NEW met1 ( 104400 281015 ) M1M2_PR
+    NEW met1 ( 102000 281015 ) M1M2_PR
     NEW li1 ( 100560 281015 ) L1M1_PR_MR
+    NEW li1 ( 179280 68265 ) L1M1_PR_MR
+    NEW li1 ( 180240 68265 ) L1M1_PR_MR
+    NEW met1 ( 102000 281015 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net372 ( ANTENNA_7 DIODE ) ( ANTENNA_6 DIODE ) ( output372 A ) ( _025_ LO ) 
-  + ROUTED met1 ( 213360 120435 ) ( 214320 120435 )
-    NEW met1 ( 105840 281015 ) ( 107280 281015 )
-    NEW met2 ( 107280 120435 ) ( 107280 281015 )
-    NEW met1 ( 107280 120435 ) ( 213360 120435 )
-    NEW met1 ( 107280 120435 ) M1M2_PR
-    NEW li1 ( 213360 120435 ) L1M1_PR_MR
-    NEW li1 ( 214320 120435 ) L1M1_PR_MR
-    NEW li1 ( 107280 281015 ) L1M1_PR_MR
-    NEW met1 ( 107280 281015 ) M1M2_PR
-    NEW li1 ( 105840 281015 ) L1M1_PR_MR
-    NEW met1 ( 107280 281015 ) RECT ( -355 -70 0 70 )
+- net372 ( output372 A ) ( _001_ HI ) 
+  + ROUTED met2 ( 74640 182595 ) ( 74640 281755 )
+    NEW met1 ( 74640 281755 ) ( 107280 281755 )
+    NEW li1 ( 107280 281755 ) L1M1_PR_MR
+    NEW li1 ( 74640 182595 ) L1M1_PR_MR
+    NEW met1 ( 74640 182595 ) M1M2_PR
+    NEW met1 ( 74640 281755 ) M1M2_PR
+    NEW met1 ( 74640 182595 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net373 ( output373 A ) ( _001_ HI ) 
-  + ROUTED met2 ( 114960 208865 ) ( 114960 281015 )
-    NEW met1 ( 114960 208865 ) ( 195120 208865 )
-    NEW met1 ( 114960 208865 ) M1M2_PR
-    NEW li1 ( 195120 208865 ) L1M1_PR_MR
+- net373 ( ANTENNA_5 DIODE ) ( ANTENNA_4 DIODE ) ( output373 A ) ( _024_ LO ) 
+  + ROUTED met1 ( 113040 281015 ) ( 114960 281015 )
+    NEW met1 ( 169680 58645 ) ( 170640 58645 )
+    NEW met2 ( 113040 58645 ) ( 113040 281015 )
+    NEW met1 ( 113040 58645 ) ( 169680 58645 )
+    NEW li1 ( 113040 281015 ) L1M1_PR_MR
+    NEW met1 ( 113040 281015 ) M1M2_PR
     NEW li1 ( 114960 281015 ) L1M1_PR_MR
-    NEW met1 ( 114960 281015 ) M1M2_PR
-    NEW met1 ( 114960 281015 ) RECT ( 0 -70 355 70 )
+    NEW li1 ( 169680 58645 ) L1M1_PR_MR
+    NEW li1 ( 170640 58645 ) L1M1_PR_MR
+    NEW met1 ( 113040 58645 ) M1M2_PR
+    NEW met1 ( 113040 281015 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net374 ( output374 A ) ( _026_ LO ) 
-  + ROUTED met2 ( 122160 171495 ) ( 122160 281015 )
-    NEW met1 ( 187200 171125 ) ( 187200 171495 )
-    NEW met1 ( 122160 171495 ) ( 187200 171495 )
-    NEW met1 ( 187200 171125 ) ( 290160 171125 )
-    NEW met1 ( 122160 171495 ) M1M2_PR
+- net374 ( output374 A ) ( _002_ HI ) 
+  + ROUTED met2 ( 122160 154475 ) ( 122160 281015 )
+    NEW met1 ( 122160 154475 ) ( 242160 154475 )
+    NEW met1 ( 122160 154475 ) M1M2_PR
     NEW li1 ( 122160 281015 ) L1M1_PR_MR
     NEW met1 ( 122160 281015 ) M1M2_PR
-    NEW li1 ( 290160 171125 ) L1M1_PR_MR
+    NEW li1 ( 242160 154475 ) L1M1_PR_MR
     NEW met1 ( 122160 281015 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net375 ( output375 A ) ( _002_ HI ) 
-  + ROUTED met2 ( 130800 278055 ) ( 130800 281015 )
-    NEW met1 ( 231600 278425 ) ( 231600 278795 )
-    NEW met1 ( 130800 278055 ) ( 136800 278055 )
-    NEW met1 ( 136800 278055 ) ( 136800 278795 )
-    NEW met1 ( 136800 278795 ) ( 231600 278795 )
-    NEW met1 ( 130800 278055 ) M1M2_PR
+- net375 ( ANTENNA_7 DIODE ) ( ANTENNA_6 DIODE ) ( output375 A ) ( _025_ LO ) 
+  + ROUTED met1 ( 129360 281015 ) ( 130800 281015 )
+    NEW met2 ( 130800 59385 ) ( 130800 281015 )
+    NEW met1 ( 139440 59385 ) ( 140400 59385 )
+    NEW met1 ( 130800 59385 ) ( 139440 59385 )
+    NEW met1 ( 130800 59385 ) M1M2_PR
     NEW li1 ( 130800 281015 ) L1M1_PR_MR
     NEW met1 ( 130800 281015 ) M1M2_PR
-    NEW li1 ( 231600 278425 ) L1M1_PR_MR
+    NEW li1 ( 129360 281015 ) L1M1_PR_MR
+    NEW li1 ( 139440 59385 ) L1M1_PR_MR
+    NEW li1 ( 140400 59385 ) L1M1_PR_MR
     NEW met1 ( 130800 281015 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net376 ( ANTENNA_9 DIODE ) ( ANTENNA_8 DIODE ) ( output376 A ) ( _027_ LO ) 
-  + ROUTED met1 ( 138960 79365 ) ( 175440 79365 )
-    NEW met1 ( 175440 79365 ) ( 176400 79365 )
-    NEW met1 ( 137520 281015 ) ( 138960 281015 )
-    NEW met2 ( 138960 79365 ) ( 138960 281015 )
-    NEW li1 ( 175440 79365 ) L1M1_PR_MR
-    NEW met1 ( 138960 79365 ) M1M2_PR
-    NEW li1 ( 176400 79365 ) L1M1_PR_MR
-    NEW li1 ( 138960 281015 ) L1M1_PR_MR
-    NEW met1 ( 138960 281015 ) M1M2_PR
+- net376 ( ANTENNA_9 DIODE ) ( ANTENNA_8 DIODE ) ( output376 A ) ( _003_ HI ) 
+  + ROUTED met1 ( 84240 141155 ) ( 85680 141155 )
+    NEW met1 ( 86400 141155 ) ( 86400 141525 )
+    NEW met1 ( 85680 141155 ) ( 86400 141155 )
+    NEW met1 ( 86400 141525 ) ( 137520 141525 )
+    NEW met1 ( 137520 281015 ) ( 138480 281015 )
+    NEW met2 ( 137520 141525 ) ( 137520 281015 )
+    NEW li1 ( 85680 141155 ) L1M1_PR_MR
+    NEW li1 ( 84240 141155 ) L1M1_PR_MR
+    NEW met1 ( 137520 141525 ) M1M2_PR
     NEW li1 ( 137520 281015 ) L1M1_PR_MR
-    NEW met1 ( 138960 281015 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 137520 281015 ) M1M2_PR
+    NEW li1 ( 138480 281015 ) L1M1_PR_MR
+    NEW met1 ( 137520 281015 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net377 ( output377 A ) ( _003_ HI ) 
-  + ROUTED met1 ( 153840 195915 ) ( 163920 195915 )
-    NEW met2 ( 163920 195915 ) ( 163920 284345 )
-    NEW li1 ( 153840 195915 ) L1M1_PR_MR
-    NEW met1 ( 163920 195915 ) M1M2_PR
-    NEW li1 ( 163920 284345 ) L1M1_PR_MR
-    NEW met1 ( 163920 284345 ) M1M2_PR
-    NEW met1 ( 163920 284345 ) RECT ( -355 -70 0 70 )
+- net377 ( output377 A ) ( _026_ LO ) 
+  + ROUTED met2 ( 163440 274355 ) ( 163440 284345 )
+    NEW met1 ( 35280 274355 ) ( 163440 274355 )
+    NEW li1 ( 35280 274355 ) L1M1_PR_MR
+    NEW met1 ( 163440 274355 ) M1M2_PR
+    NEW li1 ( 163440 284345 ) L1M1_PR_MR
+    NEW met1 ( 163440 284345 ) M1M2_PR
+    NEW met1 ( 163440 284345 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net378 ( output378 A ) ( _028_ LO ) 
-  + ROUTED met1 ( 154800 187035 ) ( 216720 187035 )
-    NEW met2 ( 154800 187035 ) ( 154800 281015 )
-    NEW li1 ( 216720 187035 ) L1M1_PR_MR
-    NEW met1 ( 154800 187035 ) M1M2_PR
-    NEW li1 ( 154800 281015 ) L1M1_PR_MR
-    NEW met1 ( 154800 281015 ) M1M2_PR
-    NEW met1 ( 154800 281015 ) RECT ( -355 -70 0 70 )
+- net378 ( ANTENNA_12 DIODE ) ( ANTENNA_11 DIODE ) ( ANTENNA_10 DIODE ) ( output378 A ) 
+( _004_ HI ) 
+  + ROUTED met2 ( 9840 65305 ) ( 9840 67525 )
+    NEW met1 ( 8400 65305 ) ( 9840 65305 )
+    NEW met1 ( 154320 281015 ) ( 155760 281015 )
+    NEW met1 ( 153360 281015 ) ( 154320 281015 )
+    NEW met2 ( 155760 67525 ) ( 155760 281015 )
+    NEW met1 ( 9840 67525 ) ( 155760 67525 )
+    NEW li1 ( 9840 65305 ) L1M1_PR_MR
+    NEW met1 ( 9840 65305 ) M1M2_PR
+    NEW met1 ( 9840 67525 ) M1M2_PR
+    NEW li1 ( 8400 65305 ) L1M1_PR_MR
+    NEW met1 ( 155760 67525 ) M1M2_PR
+    NEW li1 ( 155760 281015 ) L1M1_PR_MR
+    NEW met1 ( 155760 281015 ) M1M2_PR
+    NEW li1 ( 154320 281015 ) L1M1_PR_MR
+    NEW li1 ( 153360 281015 ) L1M1_PR_MR
+    NEW met1 ( 9840 65305 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 155760 281015 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net379 ( ANTENNA_11 DIODE ) ( ANTENNA_10 DIODE ) ( output379 A ) ( _018_ HI ) 
-  + ROUTED met1 ( 12720 281015 ) ( 13680 281015 )
-    NEW met1 ( 11280 281015 ) ( 12720 281015 )
-    NEW met2 ( 13680 59385 ) ( 13680 281015 )
-    NEW met1 ( 278640 58645 ) ( 278640 59385 )
-    NEW met1 ( 277680 59385 ) ( 278640 59385 )
-    NEW met1 ( 13680 59385 ) ( 277680 59385 )
-    NEW met1 ( 13680 59385 ) M1M2_PR
-    NEW li1 ( 12720 281015 ) L1M1_PR_MR
-    NEW met1 ( 13680 281015 ) M1M2_PR
-    NEW li1 ( 11280 281015 ) L1M1_PR_MR
-    NEW li1 ( 277680 59385 ) L1M1_PR_MR
-    NEW li1 ( 278640 58645 ) L1M1_PR_MR
+- net379 ( ANTENNA_15 DIODE ) ( ANTENNA_14 DIODE ) ( ANTENNA_13 DIODE ) ( output379 A ) 
+( _016_ HI ) 
+  + ROUTED met1 ( 12720 281755 ) ( 14160 281755 )
+    NEW met1 ( 11280 281755 ) ( 12720 281755 )
+    NEW met2 ( 70800 86400 ) ( 71760 86400 )
+    NEW met1 ( 14160 281755 ) ( 36000 281755 )
+    NEW met1 ( 42000 281755 ) ( 70800 281755 )
+    NEW met1 ( 42000 281755 ) ( 42000 282495 )
+    NEW met1 ( 38160 282495 ) ( 42000 282495 )
+    NEW met1 ( 38160 282125 ) ( 38160 282495 )
+    NEW met1 ( 36000 282125 ) ( 38160 282125 )
+    NEW met1 ( 36000 281755 ) ( 36000 282125 )
+    NEW met2 ( 70800 86400 ) ( 70800 281755 )
+    NEW met1 ( 76560 14985 ) ( 78480 14985 )
+    NEW met1 ( 76560 14985 ) ( 76560 15355 )
+    NEW met1 ( 71760 15355 ) ( 76560 15355 )
+    NEW met1 ( 78480 14985 ) ( 79440 14985 )
+    NEW met2 ( 71760 15355 ) ( 71760 86400 )
+    NEW li1 ( 14160 281755 ) L1M1_PR_MR
+    NEW li1 ( 12720 281755 ) L1M1_PR_MR
+    NEW li1 ( 11280 281755 ) L1M1_PR_MR
+    NEW met1 ( 70800 281755 ) M1M2_PR
+    NEW li1 ( 78480 14985 ) L1M1_PR_MR
+    NEW met1 ( 71760 15355 ) M1M2_PR
+    NEW li1 ( 79440 14985 ) L1M1_PR_MR
 + USE SIGNAL ;
-- net380 ( output380 A ) ( _004_ HI ) 
-  + ROUTED met2 ( 162480 247715 ) ( 162480 281015 )
-    NEW met1 ( 31440 247715 ) ( 162480 247715 )
-    NEW li1 ( 31440 247715 ) L1M1_PR_MR
-    NEW met1 ( 162480 247715 ) M1M2_PR
+- net380 ( output380 A ) ( _027_ LO ) 
+  + ROUTED met1 ( 187200 211455 ) ( 187200 211825 )
+    NEW met1 ( 162480 211825 ) ( 187200 211825 )
+    NEW met1 ( 187200 211455 ) ( 276240 211455 )
+    NEW met2 ( 162480 211825 ) ( 162480 281015 )
+    NEW met1 ( 162480 211825 ) M1M2_PR
+    NEW li1 ( 276240 211455 ) L1M1_PR_MR
     NEW li1 ( 162480 281015 ) L1M1_PR_MR
     NEW met1 ( 162480 281015 ) M1M2_PR
     NEW met1 ( 162480 281015 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net381 ( output381 A ) ( _005_ HI ) 
-  + ROUTED met1 ( 102960 154475 ) ( 170160 154475 )
-    NEW met2 ( 170160 154475 ) ( 170160 281015 )
-    NEW li1 ( 102960 154475 ) L1M1_PR_MR
-    NEW met1 ( 170160 154475 ) M1M2_PR
-    NEW li1 ( 170160 281015 ) L1M1_PR_MR
-    NEW met1 ( 170160 281015 ) M1M2_PR
-    NEW met1 ( 170160 281015 ) RECT ( -355 -70 0 70 )
+- net381 ( ANTENNA_17 DIODE ) ( ANTENNA_16 DIODE ) ( output381 A ) ( _005_ HI ) 
+  + ROUTED met1 ( 168720 281015 ) ( 169680 281015 )
+    NEW met2 ( 169680 47175 ) ( 169680 281015 )
+    NEW met2 ( 28080 45325 ) ( 28080 47175 )
+    NEW met1 ( 26640 45325 ) ( 28080 45325 )
+    NEW met1 ( 28080 47175 ) ( 169680 47175 )
+    NEW met1 ( 169680 47175 ) M1M2_PR
+    NEW li1 ( 169680 281015 ) L1M1_PR_MR
+    NEW met1 ( 169680 281015 ) M1M2_PR
+    NEW li1 ( 168720 281015 ) L1M1_PR_MR
+    NEW li1 ( 28080 45325 ) L1M1_PR_MR
+    NEW met1 ( 28080 45325 ) M1M2_PR
+    NEW met1 ( 28080 47175 ) M1M2_PR
+    NEW li1 ( 26640 45325 ) L1M1_PR_MR
+    NEW met1 ( 169680 281015 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 28080 45325 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net382 ( output382 A ) ( _006_ HI ) 
-  + ROUTED met1 ( 150000 265105 ) ( 176880 265105 )
-    NEW met2 ( 176880 265105 ) ( 176880 281015 )
-    NEW met1 ( 176880 281015 ) ( 181200 281015 )
-    NEW li1 ( 150000 265105 ) L1M1_PR_MR
-    NEW met1 ( 176880 265105 ) M1M2_PR
-    NEW met1 ( 176880 281015 ) M1M2_PR
-    NEW li1 ( 181200 281015 ) L1M1_PR_MR
+- net382 ( ANTENNA_19 DIODE ) ( ANTENNA_18 DIODE ) ( output382 A ) ( _028_ LO ) 
+  + ROUTED met1 ( 179280 281015 ) ( 180720 281015 )
+    NEW met2 ( 179280 58275 ) ( 179280 281015 )
+    NEW met1 ( 79920 58275 ) ( 81360 58275 )
+    NEW met1 ( 81360 58275 ) ( 179280 58275 )
+    NEW met1 ( 179280 58275 ) M1M2_PR
+    NEW li1 ( 179280 281015 ) L1M1_PR_MR
+    NEW met1 ( 179280 281015 ) M1M2_PR
+    NEW li1 ( 180720 281015 ) L1M1_PR_MR
+    NEW li1 ( 81360 58275 ) L1M1_PR_MR
+    NEW li1 ( 79920 58275 ) L1M1_PR_MR
+    NEW met1 ( 179280 281015 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net383 ( ANTENNA_14 DIODE ) ( ANTENNA_13 DIODE ) ( ANTENNA_12 DIODE ) ( output383 A ) 
-( _029_ LO ) 
-  + ROUTED met1 ( 202320 284345 ) ( 203760 284345 )
-    NEW met1 ( 202320 283605 ) ( 202320 284345 )
-    NEW met1 ( 203760 284345 ) ( 205200 284345 )
-    NEW met2 ( 202320 53835 ) ( 202320 283605 )
-    NEW met1 ( 14640 53835 ) ( 16080 53835 )
-    NEW met1 ( 16080 53835 ) ( 202320 53835 )
-    NEW met1 ( 202320 53835 ) M1M2_PR
-    NEW li1 ( 202320 283605 ) L1M1_PR_MR
-    NEW met1 ( 202320 283605 ) M1M2_PR
-    NEW li1 ( 203760 284345 ) L1M1_PR_MR
-    NEW li1 ( 205200 284345 ) L1M1_PR_MR
-    NEW li1 ( 16080 53835 ) L1M1_PR_MR
-    NEW li1 ( 14640 53835 ) L1M1_PR_MR
-    NEW met1 ( 202320 283605 ) RECT ( -355 -70 0 70 )
+- net383 ( output383 A ) ( _006_ HI ) 
+  + ROUTED met1 ( 204240 284345 ) ( 206640 284345 )
+    NEW met2 ( 206640 185185 ) ( 206640 284345 )
+    NEW li1 ( 206640 185185 ) L1M1_PR_MR
+    NEW met1 ( 206640 185185 ) M1M2_PR
+    NEW met1 ( 206640 284345 ) M1M2_PR
+    NEW li1 ( 204240 284345 ) L1M1_PR_MR
+    NEW met1 ( 206640 185185 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net384 ( ANTENNA_16 DIODE ) ( ANTENNA_15 DIODE ) ( output384 A ) ( _007_ HI ) 
-  + ROUTED met1 ( 192240 281015 ) ( 193680 281015 )
-    NEW met2 ( 192240 47915 ) ( 192240 281015 )
-    NEW met2 ( 151920 45325 ) ( 151920 47915 )
-    NEW met1 ( 150480 45325 ) ( 151920 45325 )
-    NEW met1 ( 151920 47915 ) ( 192240 47915 )
-    NEW met1 ( 192240 47915 ) M1M2_PR
-    NEW li1 ( 192240 281015 ) L1M1_PR_MR
-    NEW met1 ( 192240 281015 ) M1M2_PR
-    NEW li1 ( 193680 281015 ) L1M1_PR_MR
-    NEW li1 ( 151920 45325 ) L1M1_PR_MR
-    NEW met1 ( 151920 45325 ) M1M2_PR
-    NEW met1 ( 151920 47915 ) M1M2_PR
-    NEW li1 ( 150480 45325 ) L1M1_PR_MR
-    NEW met1 ( 192240 281015 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 151920 45325 ) RECT ( -355 -70 0 70 )
+- net384 ( ANTENNA_21 DIODE ) ( ANTENNA_20 DIODE ) ( output384 A ) ( _029_ LO ) 
+  + ROUTED met1 ( 192720 281015 ) ( 194160 281015 )
+    NEW met2 ( 194160 65305 ) ( 194160 281015 )
+    NEW met1 ( 281040 65305 ) ( 281520 65305 )
+    NEW met1 ( 194160 65305 ) ( 281040 65305 )
+    NEW met1 ( 194160 65305 ) M1M2_PR
+    NEW li1 ( 194160 281015 ) L1M1_PR_MR
+    NEW met1 ( 194160 281015 ) M1M2_PR
+    NEW li1 ( 192720 281015 ) L1M1_PR_MR
+    NEW li1 ( 281040 65305 ) L1M1_PR_MR
+    NEW li1 ( 281520 65305 ) L1M1_PR_MR
+    NEW met1 ( 194160 281015 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net385 ( ANTENNA_18 DIODE ) ( ANTENNA_17 DIODE ) ( output385 A ) ( _008_ HI ) 
-  + ROUTED met1 ( 199440 281015 ) ( 200880 281015 )
-    NEW met2 ( 199440 76035 ) ( 199440 281015 )
-    NEW met1 ( 183600 76035 ) ( 185040 76035 )
-    NEW met1 ( 185040 76035 ) ( 199440 76035 )
-    NEW met1 ( 199440 76035 ) M1M2_PR
+- net385 ( ANTENNA_23 DIODE ) ( ANTENNA_22 DIODE ) ( output385 A ) ( _007_ HI ) 
+  + ROUTED met1 ( 113520 111555 ) ( 114960 111555 )
+    NEW met1 ( 199440 281015 ) ( 200880 281015 )
+    NEW met2 ( 199440 111555 ) ( 199440 281015 )
+    NEW met1 ( 114960 111555 ) ( 199440 111555 )
+    NEW li1 ( 114960 111555 ) L1M1_PR_MR
+    NEW li1 ( 113520 111555 ) L1M1_PR_MR
+    NEW met1 ( 199440 111555 ) M1M2_PR
     NEW li1 ( 199440 281015 ) L1M1_PR_MR
     NEW met1 ( 199440 281015 ) M1M2_PR
     NEW li1 ( 200880 281015 ) L1M1_PR_MR
-    NEW li1 ( 185040 76035 ) L1M1_PR_MR
-    NEW li1 ( 183600 76035 ) L1M1_PR_MR
     NEW met1 ( 199440 281015 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net386 ( ANTENNA_20 DIODE ) ( ANTENNA_19 DIODE ) ( output386 A ) ( _030_ LO ) 
-  + ROUTED met1 ( 210480 125985 ) ( 234000 125985 )
-    NEW met1 ( 234000 125985 ) ( 234960 125985 )
-    NEW met1 ( 210000 281015 ) ( 210480 281015 )
-    NEW met1 ( 208560 281015 ) ( 210000 281015 )
-    NEW met2 ( 210480 125985 ) ( 210480 281015 )
-    NEW li1 ( 234000 125985 ) L1M1_PR_MR
-    NEW met1 ( 210480 125985 ) M1M2_PR
-    NEW li1 ( 234960 125985 ) L1M1_PR_MR
-    NEW li1 ( 210000 281015 ) L1M1_PR_MR
-    NEW met1 ( 210480 281015 ) M1M2_PR
-    NEW li1 ( 208560 281015 ) L1M1_PR_MR
+- net386 ( ANTENNA_25 DIODE ) ( ANTENNA_24 DIODE ) ( output386 A ) ( _030_ LO ) 
+  + ROUTED met1 ( 208080 281015 ) ( 209520 281015 )
+    NEW met2 ( 208080 147445 ) ( 208080 281015 )
+    NEW met1 ( 158160 147445 ) ( 159600 147445 )
+    NEW met1 ( 159600 147445 ) ( 208080 147445 )
+    NEW met1 ( 208080 147445 ) M1M2_PR
+    NEW li1 ( 208080 281015 ) L1M1_PR_MR
+    NEW met1 ( 208080 281015 ) M1M2_PR
+    NEW li1 ( 209520 281015 ) L1M1_PR_MR
+    NEW li1 ( 159600 147445 ) L1M1_PR_MR
+    NEW li1 ( 158160 147445 ) L1M1_PR_MR
+    NEW met1 ( 208080 281015 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net387 ( output387 A ) ( _009_ HI ) 
-  + ROUTED met2 ( 217680 243645 ) ( 217680 281015 )
-    NEW met1 ( 142800 243645 ) ( 217680 243645 )
-    NEW met1 ( 217680 243645 ) M1M2_PR
-    NEW li1 ( 217680 281015 ) L1M1_PR_MR
-    NEW met1 ( 217680 281015 ) M1M2_PR
-    NEW li1 ( 142800 243645 ) L1M1_PR_MR
-    NEW met1 ( 217680 281015 ) RECT ( -355 -70 0 70 )
+- net387 ( ANTENNA_27 DIODE ) ( ANTENNA_26 DIODE ) ( output387 A ) ( _008_ HI ) 
+  + ROUTED met1 ( 92400 141155 ) ( 93840 141155 )
+    NEW met1 ( 216240 281015 ) ( 217200 281015 )
+    NEW met2 ( 217200 141155 ) ( 217200 281015 )
+    NEW met1 ( 93840 141155 ) ( 217200 141155 )
+    NEW li1 ( 93840 141155 ) L1M1_PR_MR
+    NEW li1 ( 92400 141155 ) L1M1_PR_MR
+    NEW met1 ( 217200 141155 ) M1M2_PR
+    NEW li1 ( 217200 281015 ) L1M1_PR_MR
+    NEW met1 ( 217200 281015 ) M1M2_PR
+    NEW li1 ( 216240 281015 ) L1M1_PR_MR
+    NEW met1 ( 217200 281015 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net388 ( output388 A ) ( _010_ HI ) 
-  + ROUTED met1 ( 219600 281385 ) ( 225840 281385 )
-    NEW met2 ( 219600 234395 ) ( 219600 281385 )
-    NEW met1 ( 158640 234395 ) ( 219600 234395 )
-    NEW met1 ( 219600 234395 ) M1M2_PR
-    NEW met1 ( 219600 281385 ) M1M2_PR
-    NEW li1 ( 225840 281385 ) L1M1_PR_MR
-    NEW li1 ( 158640 234395 ) L1M1_PR_MR
+- net388 ( ANTENNA_29 DIODE ) ( ANTENNA_28 DIODE ) ( output388 A ) ( _031_ LO ) 
+  + ROUTED met1 ( 217680 81955 ) ( 223920 81955 )
+    NEW met1 ( 216240 81955 ) ( 217680 81955 )
+    NEW met1 ( 223920 281015 ) ( 225360 281015 )
+    NEW met2 ( 223920 81955 ) ( 223920 281015 )
+    NEW li1 ( 217680 81955 ) L1M1_PR_MR
+    NEW met1 ( 223920 81955 ) M1M2_PR
+    NEW li1 ( 216240 81955 ) L1M1_PR_MR
+    NEW li1 ( 223920 281015 ) L1M1_PR_MR
+    NEW met1 ( 223920 281015 ) M1M2_PR
+    NEW li1 ( 225360 281015 ) L1M1_PR_MR
+    NEW met1 ( 223920 281015 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net389 ( ANTENNA_22 DIODE ) ( ANTENNA_21 DIODE ) ( output389 A ) ( _011_ HI ) 
-  + ROUTED met1 ( 232560 281015 ) ( 233520 281015 )
-    NEW met2 ( 233520 70485 ) ( 233520 281015 )
-    NEW met2 ( 172080 41995 ) ( 172080 70485 )
-    NEW met1 ( 170640 41995 ) ( 172080 41995 )
-    NEW met1 ( 172080 70485 ) ( 233520 70485 )
-    NEW met1 ( 233520 70485 ) M1M2_PR
+- net389 ( ANTENNA_31 DIODE ) ( ANTENNA_30 DIODE ) ( output389 A ) ( _009_ HI ) 
+  + ROUTED met1 ( 204720 111555 ) ( 231600 111555 )
+    NEW met1 ( 203280 111555 ) ( 204720 111555 )
+    NEW met2 ( 231600 111555 ) ( 231600 136800 )
+    NEW met2 ( 231600 136800 ) ( 232080 136800 )
+    NEW met1 ( 232080 281015 ) ( 233520 281015 )
+    NEW met2 ( 232080 136800 ) ( 232080 281015 )
+    NEW li1 ( 204720 111555 ) L1M1_PR_MR
+    NEW met1 ( 231600 111555 ) M1M2_PR
+    NEW li1 ( 203280 111555 ) L1M1_PR_MR
+    NEW li1 ( 232080 281015 ) L1M1_PR_MR
+    NEW met1 ( 232080 281015 ) M1M2_PR
     NEW li1 ( 233520 281015 ) L1M1_PR_MR
-    NEW met1 ( 233520 281015 ) M1M2_PR
-    NEW li1 ( 232560 281015 ) L1M1_PR_MR
-    NEW li1 ( 172080 41995 ) L1M1_PR_MR
-    NEW met1 ( 172080 41995 ) M1M2_PR
-    NEW met1 ( 172080 70485 ) M1M2_PR
-    NEW li1 ( 170640 41995 ) L1M1_PR_MR
-    NEW met1 ( 233520 281015 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 172080 41995 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 232080 281015 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net390 ( output390 A ) ( _219_ LO ) 
-  + ROUTED met2 ( 25200 273985 ) ( 25200 281015 )
-    NEW met1 ( 22800 281015 ) ( 25200 281015 )
-    NEW met1 ( 25200 273985 ) ( 128400 273985 )
-    NEW met1 ( 25200 273985 ) M1M2_PR
-    NEW met1 ( 25200 281015 ) M1M2_PR
+- net390 ( output390 A ) ( _215_ LO ) 
+  + ROUTED met1 ( 22800 281015 ) ( 23760 281015 )
+    NEW met2 ( 23760 160395 ) ( 23760 281015 )
+    NEW met1 ( 23760 160395 ) ( 92880 160395 )
+    NEW met1 ( 23760 281015 ) M1M2_PR
     NEW li1 ( 22800 281015 ) L1M1_PR_MR
-    NEW li1 ( 128400 273985 ) L1M1_PR_MR
+    NEW li1 ( 92880 160395 ) L1M1_PR_MR
+    NEW met1 ( 23760 160395 ) M1M2_PR
 + USE SIGNAL ;
-- net391 ( output391 A ) ( _031_ LO ) 
-  + ROUTED met2 ( 241200 237725 ) ( 241200 281015 )
-    NEW met1 ( 117840 237725 ) ( 241200 237725 )
-    NEW li1 ( 117840 237725 ) L1M1_PR_MR
-    NEW met1 ( 241200 237725 ) M1M2_PR
+- net391 ( output391 A ) ( _032_ LO ) 
+  + ROUTED met2 ( 241200 273985 ) ( 241200 281015 )
+    NEW met1 ( 105840 273985 ) ( 241200 273985 )
+    NEW li1 ( 105840 273985 ) L1M1_PR_MR
+    NEW met1 ( 241200 273985 ) M1M2_PR
     NEW li1 ( 241200 281015 ) L1M1_PR_MR
     NEW met1 ( 241200 281015 ) M1M2_PR
     NEW met1 ( 241200 281015 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net392 ( output392 A ) ( _012_ HI ) 
-  + ROUTED met1 ( 224880 202575 ) ( 234480 202575 )
-    NEW met2 ( 234480 202575 ) ( 234480 281385 )
-    NEW met1 ( 234480 281385 ) ( 249360 281385 )
-    NEW li1 ( 224880 202575 ) L1M1_PR_MR
-    NEW met1 ( 234480 202575 ) M1M2_PR
+- net392 ( output392 A ) ( _010_ HI ) 
+  + ROUTED met2 ( 249360 256965 ) ( 249360 281015 )
+    NEW met1 ( 140400 256965 ) ( 249360 256965 )
+    NEW li1 ( 140400 256965 ) L1M1_PR_MR
+    NEW met1 ( 249360 256965 ) M1M2_PR
+    NEW li1 ( 249360 281015 ) L1M1_PR_MR
+    NEW met1 ( 249360 281015 ) M1M2_PR
+    NEW met1 ( 249360 281015 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net393 ( output393 A ) ( _011_ HI ) 
+  + ROUTED met1 ( 102480 210345 ) ( 102480 210715 )
+    NEW met1 ( 102480 210715 ) ( 103440 210715 )
+    NEW met1 ( 103440 210345 ) ( 103440 210715 )
+    NEW met1 ( 80880 210345 ) ( 80880 210715 )
+    NEW met1 ( 55440 210715 ) ( 80880 210715 )
+    NEW met1 ( 80880 210345 ) ( 102480 210345 )
+    NEW met1 ( 162000 210345 ) ( 162000 210715 )
+    NEW met1 ( 162000 210715 ) ( 187200 210715 )
+    NEW met1 ( 187200 210345 ) ( 187200 210715 )
+    NEW met1 ( 103440 210345 ) ( 162000 210345 )
+    NEW met1 ( 187200 210345 ) ( 260400 210345 )
+    NEW met2 ( 260400 210345 ) ( 260400 281015 )
+    NEW li1 ( 55440 210715 ) L1M1_PR_MR
+    NEW met1 ( 260400 210345 ) M1M2_PR
+    NEW li1 ( 260400 281015 ) L1M1_PR_MR
+    NEW met1 ( 260400 281015 ) M1M2_PR
+    NEW met1 ( 260400 281015 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net394 ( output394 A ) ( _012_ HI ) 
+  + ROUTED met2 ( 220560 170385 ) ( 220560 281755 )
+    NEW met1 ( 122640 170385 ) ( 220560 170385 )
+    NEW met1 ( 220560 281755 ) ( 237600 281755 )
+    NEW met1 ( 248400 281755 ) ( 265200 281755 )
+    NEW met1 ( 248400 281755 ) ( 248400 282125 )
+    NEW met1 ( 237600 282125 ) ( 248400 282125 )
+    NEW met1 ( 237600 281755 ) ( 237600 282125 )
+    NEW li1 ( 122640 170385 ) L1M1_PR_MR
+    NEW met1 ( 220560 170385 ) M1M2_PR
+    NEW met1 ( 220560 281755 ) M1M2_PR
+    NEW li1 ( 265200 281755 ) L1M1_PR_MR
++ USE SIGNAL ;
+- net395 ( ANTENNA_33 DIODE ) ( ANTENNA_32 DIODE ) ( output395 A ) ( _033_ LO ) 
+  + ROUTED met1 ( 271440 281015 ) ( 272400 281015 )
+    NEW met2 ( 272400 61975 ) ( 272400 281015 )
+    NEW met1 ( 38160 61975 ) ( 39600 61975 )
+    NEW met1 ( 39600 61975 ) ( 272400 61975 )
+    NEW met1 ( 272400 61975 ) M1M2_PR
+    NEW li1 ( 272400 281015 ) L1M1_PR_MR
+    NEW met1 ( 272400 281015 ) M1M2_PR
+    NEW li1 ( 271440 281015 ) L1M1_PR_MR
+    NEW li1 ( 39600 61975 ) L1M1_PR_MR
+    NEW li1 ( 38160 61975 ) L1M1_PR_MR
+    NEW met1 ( 272400 281015 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net396 ( ANTENNA_35 DIODE ) ( ANTENNA_34 DIODE ) ( output396 A ) ( _013_ HI ) 
+  + ROUTED met1 ( 188880 88985 ) ( 234960 88985 )
+    NEW met1 ( 187440 87875 ) ( 188400 87875 )
+    NEW li1 ( 188400 87875 ) ( 188400 88615 )
+    NEW met1 ( 188400 88615 ) ( 188880 88615 )
+    NEW met1 ( 188880 88615 ) ( 188880 88985 )
+    NEW met2 ( 234960 88985 ) ( 234960 237600 )
+    NEW met2 ( 234480 237600 ) ( 234960 237600 )
+    NEW met2 ( 234480 237600 ) ( 234480 281385 )
+    NEW met1 ( 259440 281385 ) ( 278640 281385 )
+    NEW met1 ( 259440 281015 ) ( 259440 281385 )
+    NEW met1 ( 249840 281015 ) ( 259440 281015 )
+    NEW met1 ( 249840 280645 ) ( 249840 281015 )
+    NEW met1 ( 248400 280645 ) ( 249840 280645 )
+    NEW met1 ( 248400 280645 ) ( 248400 281015 )
+    NEW met1 ( 247920 281015 ) ( 248400 281015 )
+    NEW met1 ( 247920 281015 ) ( 247920 281385 )
+    NEW met1 ( 278640 281385 ) ( 280080 281385 )
+    NEW met1 ( 234480 281385 ) ( 247920 281385 )
+    NEW li1 ( 188880 88985 ) L1M1_PR_MR
+    NEW met1 ( 234960 88985 ) M1M2_PR
+    NEW li1 ( 187440 87875 ) L1M1_PR_MR
+    NEW li1 ( 188400 87875 ) L1M1_PR_MR
+    NEW li1 ( 188400 88615 ) L1M1_PR_MR
     NEW met1 ( 234480 281385 ) M1M2_PR
-    NEW li1 ( 249360 281385 ) L1M1_PR_MR
+    NEW li1 ( 278640 281385 ) L1M1_PR_MR
+    NEW li1 ( 280080 281385 ) L1M1_PR_MR
 + USE SIGNAL ;
-- net393 ( ANTENNA_25 DIODE ) ( ANTENNA_24 DIODE ) ( ANTENNA_23 DIODE ) ( output393 A ) 
-( _013_ HI ) 
-  + ROUTED met1 ( 246960 17205 ) ( 246960 18685 )
-    NEW met1 ( 246960 18685 ) ( 256560 18685 )
-    NEW met1 ( 256560 18685 ) ( 256560 19055 )
-    NEW met1 ( 256560 281015 ) ( 258480 281015 )
-    NEW met1 ( 258480 281015 ) ( 259920 281015 )
-    NEW met1 ( 259920 281385 ) ( 261360 281385 )
-    NEW met1 ( 259920 281015 ) ( 259920 281385 )
-    NEW met2 ( 256560 19055 ) ( 256560 281015 )
-    NEW met1 ( 60720 17205 ) ( 62160 17205 )
-    NEW met1 ( 62160 17205 ) ( 246960 17205 )
-    NEW met1 ( 256560 19055 ) M1M2_PR
-    NEW li1 ( 258480 281015 ) L1M1_PR_MR
-    NEW met1 ( 256560 281015 ) M1M2_PR
-    NEW li1 ( 259920 281015 ) L1M1_PR_MR
-    NEW li1 ( 261360 281385 ) L1M1_PR_MR
-    NEW li1 ( 62160 17205 ) L1M1_PR_MR
-    NEW li1 ( 60720 17205 ) L1M1_PR_MR
-+ USE SIGNAL ;
-- net394 ( ANTENNA_26 DIODE ) ( output394 A ) ( _032_ LO ) 
-  + ROUTED met2 ( 25200 185925 ) ( 25200 187035 )
-    NEW met1 ( 25200 185925 ) ( 264720 185925 )
-    NEW met1 ( 263760 281015 ) ( 264720 281015 )
-    NEW met2 ( 264720 185925 ) ( 264720 281015 )
-    NEW li1 ( 25200 187035 ) L1M1_PR_MR
-    NEW met1 ( 25200 187035 ) M1M2_PR
-    NEW met1 ( 25200 185925 ) M1M2_PR
-    NEW met1 ( 264720 185925 ) M1M2_PR
-    NEW li1 ( 264720 281015 ) L1M1_PR_MR
-    NEW met1 ( 264720 281015 ) M1M2_PR
-    NEW li1 ( 263760 281015 ) L1M1_PR_MR
-    NEW met1 ( 25200 187035 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 264720 281015 ) RECT ( -355 -70 0 70 )
-+ USE SIGNAL ;
-- net395 ( output395 A ) ( _014_ HI ) 
-  + ROUTED met2 ( 272880 256965 ) ( 272880 281015 )
-    NEW met1 ( 219600 256965 ) ( 272880 256965 )
-    NEW li1 ( 219600 256965 ) L1M1_PR_MR
-    NEW met1 ( 272880 256965 ) M1M2_PR
-    NEW li1 ( 272880 281015 ) L1M1_PR_MR
-    NEW met1 ( 272880 281015 ) M1M2_PR
-    NEW met1 ( 272880 281015 ) RECT ( -355 -70 0 70 )
-+ USE SIGNAL ;
-- net396 ( output396 A ) ( _015_ HI ) 
-  + ROUTED met1 ( 201360 230325 ) ( 234960 230325 )
-    NEW met2 ( 234960 230325 ) ( 234960 237600 )
-    NEW met2 ( 234960 237600 ) ( 235440 237600 )
-    NEW met2 ( 235440 237600 ) ( 235440 281755 )
-    NEW met1 ( 235440 281755 ) ( 280560 281755 )
-    NEW li1 ( 201360 230325 ) L1M1_PR_MR
-    NEW met1 ( 234960 230325 ) M1M2_PR
-    NEW met1 ( 235440 281755 ) M1M2_PR
-    NEW li1 ( 280560 281755 ) L1M1_PR_MR
-+ USE SIGNAL ;
-- net397 ( ANTENNA_28 DIODE ) ( ANTENNA_27 DIODE ) ( output397 A ) ( _033_ LO ) 
-  + ROUTED met1 ( 221040 80845 ) ( 222480 80845 )
-    NEW met1 ( 222480 80845 ) ( 286800 80845 )
-    NEW met1 ( 286800 276945 ) ( 286800 277685 )
-    NEW met2 ( 286800 80845 ) ( 286800 276945 )
-    NEW met1 ( 286800 277685 ) ( 288240 277685 )
-    NEW li1 ( 222480 80845 ) L1M1_PR_MR
-    NEW li1 ( 221040 80845 ) L1M1_PR_MR
+- net397 ( ANTENNA_38 DIODE ) ( ANTENNA_37 DIODE ) ( ANTENNA_36 DIODE ) ( output397 A ) 
+( _014_ HI ) 
+  + ROUTED met1 ( 288240 277685 ) ( 289680 277685 )
+    NEW met1 ( 287280 277685 ) ( 287760 277685 )
+    NEW met2 ( 287760 68635 ) ( 287760 277685 )
+    NEW met1 ( 287760 277685 ) ( 288240 277685 )
+    NEW met1 ( 64800 68635 ) ( 287760 68635 )
+    NEW met1 ( 20880 64935 ) ( 22320 64935 )
+    NEW met1 ( 23280 68265 ) ( 64800 68265 )
+    NEW met1 ( 64800 68265 ) ( 64800 68635 )
+    NEW met1 ( 22320 64565 ) ( 23280 64565 )
+    NEW met1 ( 22320 64565 ) ( 22320 64935 )
+    NEW met2 ( 23280 64565 ) ( 23280 68265 )
     NEW li1 ( 288240 277685 ) L1M1_PR_MR
-    NEW met1 ( 286800 80845 ) M1M2_PR
-    NEW li1 ( 286800 276945 ) L1M1_PR_MR
-    NEW met1 ( 286800 276945 ) M1M2_PR
-    NEW met1 ( 286800 276945 ) RECT ( -355 -70 0 70 )
+    NEW li1 ( 289680 277685 ) L1M1_PR_MR
+    NEW met1 ( 287760 68635 ) M1M2_PR
+    NEW met1 ( 287760 277685 ) M1M2_PR
+    NEW li1 ( 287280 277685 ) L1M1_PR_MR
+    NEW li1 ( 20880 64935 ) L1M1_PR_MR
+    NEW met1 ( 23280 68265 ) M1M2_PR
+    NEW met1 ( 23280 64565 ) M1M2_PR
+    NEW li1 ( 22320 64565 ) L1M1_PR_MR
+    NEW met1 ( 288240 277685 ) RECT ( 0 -70 115 70 )
+    NEW met1 ( 22320 64565 ) RECT ( -595 -70 0 70 )
 + USE SIGNAL ;
-- net398 ( ANTENNA_30 DIODE ) ( ANTENNA_29 DIODE ) ( output398 A ) ( _016_ HI ) 
-  + ROUTED met1 ( 288240 274355 ) ( 289200 274355 )
-    NEW met2 ( 289200 49025 ) ( 289200 274355 )
-    NEW met2 ( 184080 45325 ) ( 184080 48655 )
-    NEW met1 ( 182640 45325 ) ( 184080 45325 )
-    NEW met1 ( 288000 49025 ) ( 289200 49025 )
-    NEW met1 ( 184080 48655 ) ( 237600 48655 )
-    NEW met1 ( 237600 47915 ) ( 237600 48655 )
-    NEW met1 ( 237600 47915 ) ( 269520 47915 )
-    NEW met1 ( 269520 47175 ) ( 269520 47915 )
-    NEW met1 ( 269520 47175 ) ( 280560 47175 )
-    NEW met1 ( 280560 47175 ) ( 280560 48655 )
-    NEW met1 ( 280560 48655 ) ( 288000 48655 )
-    NEW met1 ( 288000 48655 ) ( 288000 49025 )
-    NEW met1 ( 289200 49025 ) M1M2_PR
+- net398 ( ANTENNA_41 DIODE ) ( ANTENNA_40 DIODE ) ( ANTENNA_39 DIODE ) ( output398 A ) 
+( _034_ LO ) 
+  + ROUTED met1 ( 97200 84545 ) ( 97680 84545 )
+    NEW li1 ( 97680 83805 ) ( 97680 84545 )
+    NEW met2 ( 290640 83805 ) ( 290640 237600 )
+    NEW met2 ( 291120 237600 ) ( 291120 273615 )
+    NEW met2 ( 290640 237600 ) ( 291120 237600 )
+    NEW met1 ( 289200 273615 ) ( 289200 274355 )
+    NEW met1 ( 289200 273615 ) ( 291120 273615 )
+    NEW met1 ( 288240 273615 ) ( 289200 273615 )
+    NEW met1 ( 95760 83805 ) ( 290640 83805 )
+    NEW li1 ( 95760 83805 ) L1M1_PR_MR
+    NEW li1 ( 97200 84545 ) L1M1_PR_MR
+    NEW li1 ( 97680 84545 ) L1M1_PR_MR
+    NEW li1 ( 97680 83805 ) L1M1_PR_MR
+    NEW met1 ( 290640 83805 ) M1M2_PR
+    NEW li1 ( 291120 273615 ) L1M1_PR_MR
+    NEW met1 ( 291120 273615 ) M1M2_PR
     NEW li1 ( 289200 274355 ) L1M1_PR_MR
-    NEW met1 ( 289200 274355 ) M1M2_PR
-    NEW li1 ( 288240 274355 ) L1M1_PR_MR
-    NEW li1 ( 184080 45325 ) L1M1_PR_MR
-    NEW met1 ( 184080 45325 ) M1M2_PR
-    NEW met1 ( 184080 48655 ) M1M2_PR
-    NEW li1 ( 182640 45325 ) L1M1_PR_MR
-    NEW met1 ( 289200 274355 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 184080 45325 ) RECT ( -355 -70 0 70 )
+    NEW li1 ( 288240 273615 ) L1M1_PR_MR
+    NEW met1 ( 97680 83805 ) RECT ( -595 -70 0 70 )
+    NEW met1 ( 291120 273615 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net399 ( ANTENNA_32 DIODE ) ( ANTENNA_31 DIODE ) ( output399 A ) ( _019_ HI ) 
-  + ROUTED met1 ( 111600 87875 ) ( 112560 87875 )
-    NEW met1 ( 26160 281015 ) ( 28080 281015 )
-    NEW met1 ( 26160 87875 ) ( 111600 87875 )
-    NEW met2 ( 26160 87875 ) ( 26160 281015 )
-    NEW li1 ( 111600 87875 ) L1M1_PR_MR
-    NEW li1 ( 112560 87875 ) L1M1_PR_MR
-    NEW li1 ( 26160 281015 ) L1M1_PR_MR
-    NEW met1 ( 26160 281015 ) M1M2_PR
+- net399 ( ANTENNA_43 DIODE ) ( ANTENNA_42 DIODE ) ( output399 A ) ( _017_ HI ) 
+  + ROUTED met1 ( 26640 281015 ) ( 28080 281015 )
+    NEW met1 ( 231600 139305 ) ( 232080 139305 )
+    NEW met2 ( 231600 139305 ) ( 231600 140785 )
+    NEW met1 ( 233040 138565 ) ( 233040 139305 )
+    NEW met1 ( 232080 139305 ) ( 233040 139305 )
+    NEW met2 ( 28080 140785 ) ( 28080 281015 )
+    NEW met1 ( 28080 140785 ) ( 231600 140785 )
     NEW li1 ( 28080 281015 ) L1M1_PR_MR
-    NEW met1 ( 26160 87875 ) M1M2_PR
-    NEW met1 ( 26160 281015 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 28080 281015 ) M1M2_PR
+    NEW li1 ( 26640 281015 ) L1M1_PR_MR
+    NEW li1 ( 232080 139305 ) L1M1_PR_MR
+    NEW met1 ( 231600 139305 ) M1M2_PR
+    NEW met1 ( 231600 140785 ) M1M2_PR
+    NEW li1 ( 233040 138565 ) L1M1_PR_MR
+    NEW met1 ( 28080 140785 ) M1M2_PR
+    NEW met1 ( 28080 281015 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net400 ( output400 A ) ( _020_ HI ) 
-  + ROUTED met2 ( 62640 255855 ) ( 62640 281385 )
-    NEW met1 ( 36240 281385 ) ( 62640 281385 )
-    NEW li1 ( 62640 255855 ) L1M1_PR_MR
-    NEW met1 ( 62640 255855 ) M1M2_PR
-    NEW met1 ( 62640 281385 ) M1M2_PR
-    NEW li1 ( 36240 281385 ) L1M1_PR_MR
-    NEW met1 ( 62640 255855 ) RECT ( -355 -70 0 70 )
+- net400 ( output400 A ) ( _018_ HI ) 
+  + ROUTED met1 ( 87120 230325 ) ( 87120 230695 )
+    NEW met1 ( 87120 230325 ) ( 122640 230325 )
+    NEW met1 ( 86400 230695 ) ( 87120 230695 )
+    NEW met1 ( 86400 230325 ) ( 86400 230695 )
+    NEW met1 ( 36240 230325 ) ( 86400 230325 )
+    NEW met2 ( 36240 230325 ) ( 36240 281015 )
+    NEW li1 ( 122640 230325 ) L1M1_PR_MR
+    NEW met1 ( 36240 230325 ) M1M2_PR
+    NEW li1 ( 36240 281015 ) L1M1_PR_MR
+    NEW met1 ( 36240 281015 ) M1M2_PR
+    NEW met1 ( 36240 281015 ) RECT ( 0 -70 355 70 )
 + USE SIGNAL ;
-- net401 ( ANTENNA_34 DIODE ) ( ANTENNA_33 DIODE ) ( output401 A ) ( _220_ LO ) 
-  + ROUTED met2 ( 229200 87505 ) ( 229200 90465 )
-    NEW met1 ( 230160 90465 ) ( 230160 91205 )
-    NEW met1 ( 229200 90465 ) ( 230160 90465 )
-    NEW met2 ( 42480 136800 ) ( 42960 136800 )
-    NEW met1 ( 41520 281015 ) ( 42960 281015 )
-    NEW met2 ( 42960 136800 ) ( 42960 281015 )
-    NEW met1 ( 42960 87505 ) ( 229200 87505 )
-    NEW met2 ( 42480 96570 ) ( 42960 96570 )
-    NEW met2 ( 42960 87505 ) ( 42960 96570 )
-    NEW met2 ( 42480 96570 ) ( 42480 136800 )
-    NEW li1 ( 229200 90465 ) L1M1_PR_MR
-    NEW met1 ( 229200 90465 ) M1M2_PR
-    NEW met1 ( 229200 87505 ) M1M2_PR
-    NEW li1 ( 230160 91205 ) L1M1_PR_MR
+- net401 ( output401 A ) ( _216_ LO ) 
+  + ROUTED met1 ( 42960 207015 ) ( 93360 207015 )
+    NEW met2 ( 42960 207015 ) ( 42960 281015 )
+    NEW li1 ( 93360 207015 ) L1M1_PR_MR
+    NEW met1 ( 42960 207015 ) M1M2_PR
     NEW li1 ( 42960 281015 ) L1M1_PR_MR
     NEW met1 ( 42960 281015 ) M1M2_PR
-    NEW li1 ( 41520 281015 ) L1M1_PR_MR
-    NEW met1 ( 42960 87505 ) M1M2_PR
-    NEW met1 ( 229200 90465 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 42960 281015 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 42960 281015 ) RECT ( 0 -70 355 70 )
 + USE SIGNAL ;
-- net402 ( output402 A ) ( _021_ HI ) 
-  + ROUTED met2 ( 52080 163725 ) ( 52080 281015 )
-    NEW met1 ( 52080 163725 ) ( 198000 163725 )
-    NEW li1 ( 198000 163725 ) L1M1_PR_MR
-    NEW met1 ( 52080 163725 ) M1M2_PR
+- net402 ( ANTENNA_45 DIODE ) ( ANTENNA_44 DIODE ) ( output402 A ) ( _019_ HI ) 
+  + ROUTED met1 ( 206640 130425 ) ( 207600 130425 )
+    NEW met1 ( 50640 281015 ) ( 52080 281015 )
+    NEW met2 ( 50640 130425 ) ( 50640 281015 )
+    NEW met1 ( 50640 130425 ) ( 206640 130425 )
+    NEW li1 ( 206640 130425 ) L1M1_PR_MR
+    NEW li1 ( 207600 130425 ) L1M1_PR_MR
+    NEW li1 ( 50640 281015 ) L1M1_PR_MR
+    NEW met1 ( 50640 281015 ) M1M2_PR
     NEW li1 ( 52080 281015 ) L1M1_PR_MR
-    NEW met1 ( 52080 281015 ) M1M2_PR
-    NEW met1 ( 52080 281015 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 50640 130425 ) M1M2_PR
+    NEW met1 ( 50640 281015 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net403 ( output403 A ) ( _022_ HI ) 
-  + ROUTED met2 ( 59760 254375 ) ( 59760 281015 )
-    NEW met1 ( 59760 254375 ) ( 160080 254375 )
-    NEW met1 ( 59760 254375 ) M1M2_PR
+- net403 ( output403 A ) ( _020_ HI ) 
+  + ROUTED met1 ( 59760 281015 ) ( 60720 281015 )
+    NEW li1 ( 60720 281015 ) ( 60720 282125 )
+    NEW met1 ( 60720 282125 ) ( 117840 282125 )
+    NEW li1 ( 117840 282125 ) L1M1_PR_MR
     NEW li1 ( 59760 281015 ) L1M1_PR_MR
-    NEW met1 ( 59760 281015 ) M1M2_PR
-    NEW li1 ( 160080 254375 ) L1M1_PR_MR
-    NEW met1 ( 59760 281015 ) RECT ( -355 -70 0 70 )
+    NEW li1 ( 60720 281015 ) L1M1_PR_MR
+    NEW li1 ( 60720 282125 ) L1M1_PR_MR
 + USE SIGNAL ;
-- net404 ( ANTENNA_36 DIODE ) ( ANTENNA_35 DIODE ) ( output404 A ) ( _023_ HI ) 
-  + ROUTED met1 ( 66480 281015 ) ( 67920 281015 )
-    NEW met2 ( 67920 137455 ) ( 67920 281015 )
-    NEW met1 ( 67920 137455 ) ( 136800 137455 )
-    NEW met1 ( 139920 137085 ) ( 140400 137085 )
-    NEW met1 ( 136800 137085 ) ( 136800 137455 )
-    NEW met1 ( 136800 137085 ) ( 139920 137085 )
-    NEW met1 ( 67920 137455 ) M1M2_PR
-    NEW li1 ( 67920 281015 ) L1M1_PR_MR
-    NEW met1 ( 67920 281015 ) M1M2_PR
-    NEW li1 ( 66480 281015 ) L1M1_PR_MR
-    NEW li1 ( 140400 137085 ) L1M1_PR_MR
-    NEW li1 ( 139920 137085 ) L1M1_PR_MR
-    NEW met1 ( 67920 281015 ) RECT ( -355 -70 0 70 )
+- net404 ( ANTENNA_47 DIODE ) ( ANTENNA_46 DIODE ) ( output404 A ) ( _021_ HI ) 
+  + ROUTED met1 ( 66000 281015 ) ( 67440 281015 )
+    NEW met2 ( 66000 122655 ) ( 66000 281015 )
+    NEW met1 ( 35280 122285 ) ( 36720 122285 )
+    NEW met1 ( 36720 122285 ) ( 36720 122655 )
+    NEW met1 ( 36720 122655 ) ( 66000 122655 )
+    NEW met1 ( 66000 122655 ) M1M2_PR
+    NEW li1 ( 66000 281015 ) L1M1_PR_MR
+    NEW met1 ( 66000 281015 ) M1M2_PR
+    NEW li1 ( 67440 281015 ) L1M1_PR_MR
+    NEW li1 ( 36720 122655 ) L1M1_PR_MR
+    NEW li1 ( 35280 122285 ) L1M1_PR_MR
+    NEW met1 ( 66000 281015 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net405 ( output405 A ) ( _221_ LO ) 
-  + ROUTED met1 ( 75600 281015 ) ( 76080 281015 )
-    NEW met1 ( 76080 44955 ) ( 246960 44955 )
-    NEW met2 ( 76080 44955 ) ( 76080 281015 )
-    NEW met1 ( 76080 44955 ) M1M2_PR
-    NEW met1 ( 76080 281015 ) M1M2_PR
+- net405 ( output405 A ) ( _217_ LO ) 
+  + ROUTED met1 ( 75600 111555 ) ( 108720 111555 )
+    NEW met2 ( 75600 111555 ) ( 75600 281015 )
+    NEW li1 ( 108720 111555 ) L1M1_PR_MR
+    NEW met1 ( 75600 111555 ) M1M2_PR
     NEW li1 ( 75600 281015 ) L1M1_PR_MR
-    NEW li1 ( 246960 44955 ) L1M1_PR_MR
+    NEW met1 ( 75600 281015 ) M1M2_PR
+    NEW met1 ( 75600 281015 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net406 ( ANTENNA_38 DIODE ) ( ANTENNA_37 DIODE ) ( output406 A ) ( _034_ LO ) 
-  + ROUTED met1 ( 205680 113775 ) ( 206640 113775 )
-    NEW met1 ( 8880 276945 ) ( 8880 277685 )
-    NEW met1 ( 8880 276945 ) ( 9840 276945 )
-    NEW met2 ( 9840 114145 ) ( 9840 276945 )
-    NEW met1 ( 187200 113775 ) ( 205680 113775 )
-    NEW met1 ( 187200 113775 ) ( 187200 114145 )
-    NEW met1 ( 9840 114145 ) ( 187200 114145 )
-    NEW met1 ( 9840 114145 ) M1M2_PR
-    NEW li1 ( 205680 113775 ) L1M1_PR_MR
-    NEW li1 ( 206640 113775 ) L1M1_PR_MR
-    NEW li1 ( 9840 276945 ) L1M1_PR_MR
-    NEW met1 ( 9840 276945 ) M1M2_PR
+- net406 ( output406 A ) ( _035_ LO ) 
+  + ROUTED met1 ( 8880 277685 ) ( 9360 277685 )
+    NEW met2 ( 9360 151515 ) ( 9360 277685 )
+    NEW met1 ( 9360 151515 ) ( 82800 151515 )
+    NEW met1 ( 9360 151515 ) M1M2_PR
+    NEW met1 ( 9360 277685 ) M1M2_PR
     NEW li1 ( 8880 277685 ) L1M1_PR_MR
-    NEW met1 ( 9840 276945 ) RECT ( -355 -70 0 70 )
+    NEW li1 ( 82800 151515 ) L1M1_PR_MR
 + USE SIGNAL ;
-- net407 ( ANTENNA_40 DIODE ) ( ANTENNA_39 DIODE ) ( output407 A ) ( _041_ LO ) 
-  + ROUTED met1 ( 210480 42735 ) ( 210960 42735 )
-    NEW met2 ( 210960 41995 ) ( 210960 42735 )
-    NEW met2 ( 210960 42735 ) ( 210960 134495 )
-    NEW met1 ( 84240 134495 ) ( 210960 134495 )
-    NEW met1 ( 84240 281015 ) ( 86160 281015 )
-    NEW met2 ( 84240 134495 ) ( 84240 281015 )
-    NEW met1 ( 210960 134495 ) M1M2_PR
-    NEW li1 ( 210480 42735 ) L1M1_PR_MR
-    NEW met1 ( 210960 42735 ) M1M2_PR
-    NEW li1 ( 210960 41995 ) L1M1_PR_MR
-    NEW met1 ( 210960 41995 ) M1M2_PR
-    NEW met1 ( 84240 134495 ) M1M2_PR
-    NEW li1 ( 84240 281015 ) L1M1_PR_MR
-    NEW met1 ( 84240 281015 ) M1M2_PR
-    NEW li1 ( 86160 281015 ) L1M1_PR_MR
-    NEW met1 ( 210960 41995 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 84240 281015 ) RECT ( -355 -70 0 70 )
+- net407 ( ANTENNA_49 DIODE ) ( ANTENNA_48 DIODE ) ( output407 A ) ( _042_ LO ) 
+  + ROUTED met1 ( 84720 281385 ) ( 86160 281385 )
+    NEW met1 ( 86160 281385 ) ( 112560 281385 )
+    NEW met1 ( 112560 39035 ) ( 113520 39035 )
+    NEW met1 ( 113520 39035 ) ( 114480 39035 )
+    NEW met2 ( 112560 39035 ) ( 112560 281385 )
+    NEW met1 ( 112560 281385 ) M1M2_PR
+    NEW li1 ( 86160 281385 ) L1M1_PR_MR
+    NEW li1 ( 84720 281385 ) L1M1_PR_MR
+    NEW li1 ( 113520 39035 ) L1M1_PR_MR
+    NEW met1 ( 112560 39035 ) M1M2_PR
+    NEW li1 ( 114480 39035 ) L1M1_PR_MR
 + USE SIGNAL ;
-- net408 ( ANTENNA_42 DIODE ) ( ANTENNA_41 DIODE ) ( output408 A ) ( BUFX2 Y ) 
-  + ROUTED met1 ( 92400 281015 ) ( 93360 281015 )
-    NEW met2 ( 93360 180745 ) ( 93360 281015 )
-    NEW met1 ( 86400 180745 ) ( 93360 180745 )
-    NEW met1 ( 62640 180375 ) ( 86400 180375 )
-    NEW met1 ( 86400 180375 ) ( 86400 180745 )
-    NEW met1 ( 41760 45695 0 ) ( 62640 45695 )
-    NEW met1 ( 38160 45695 ) ( 38640 45695 )
-    NEW li1 ( 38640 45695 ) ( 38640 46065 )
-    NEW li1 ( 38640 46065 ) ( 39600 46065 )
-    NEW li1 ( 39600 45695 ) ( 39600 46065 )
-    NEW li1 ( 39600 45695 ) ( 41040 45695 )
-    NEW li1 ( 41040 45695 ) ( 41040 46065 )
-    NEW li1 ( 41040 46065 ) ( 42480 46065 )
-    NEW li1 ( 42480 45695 ) ( 42480 46065 )
-    NEW met2 ( 62640 45695 ) ( 62640 180375 )
-    NEW met1 ( 93360 180745 ) M1M2_PR
-    NEW li1 ( 93360 281015 ) L1M1_PR_MR
-    NEW met1 ( 93360 281015 ) M1M2_PR
-    NEW li1 ( 92400 281015 ) L1M1_PR_MR
-    NEW met1 ( 62640 180375 ) M1M2_PR
-    NEW met1 ( 62640 45695 ) M1M2_PR
-    NEW li1 ( 38160 45695 ) L1M1_PR_MR
-    NEW li1 ( 38640 45695 ) L1M1_PR_MR
-    NEW li1 ( 42480 45695 ) L1M1_PR_MR
-    NEW met1 ( 93360 281015 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 42480 45695 ) RECT ( -595 -70 0 70 )
+- net408 ( output408 A ) ( _043_ LO ) 
+  + ROUTED met2 ( 95280 194065 ) ( 95280 281015 )
+    NEW met1 ( 149520 194065 ) ( 149520 194435 )
+    NEW met1 ( 149520 194435 ) ( 154800 194435 )
+    NEW met1 ( 95280 194065 ) ( 149520 194065 )
+    NEW met1 ( 95280 194065 ) M1M2_PR
+    NEW li1 ( 95280 281015 ) L1M1_PR_MR
+    NEW met1 ( 95280 281015 ) M1M2_PR
+    NEW li1 ( 154800 194435 ) L1M1_PR_MR
+    NEW met1 ( 95280 281015 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net409 ( ANTENNA_44 DIODE ) ( ANTENNA_43 DIODE ) ( output409 A ) ( _042_ LO ) 
-  + ROUTED met1 ( 100560 277685 ) ( 101520 277685 )
-    NEW met1 ( 100560 276945 ) ( 100560 277685 )
-    NEW met2 ( 100560 79365 ) ( 100560 276945 )
-    NEW met1 ( 81840 35335 ) ( 83280 35335 )
-    NEW met2 ( 83280 35335 ) ( 83280 79365 )
-    NEW met1 ( 83280 79365 ) ( 100560 79365 )
-    NEW met1 ( 100560 79365 ) M1M2_PR
-    NEW li1 ( 100560 276945 ) L1M1_PR_MR
-    NEW met1 ( 100560 276945 ) M1M2_PR
-    NEW li1 ( 101520 277685 ) L1M1_PR_MR
-    NEW li1 ( 83280 35335 ) L1M1_PR_MR
-    NEW met1 ( 83280 35335 ) M1M2_PR
-    NEW li1 ( 81840 35335 ) L1M1_PR_MR
-    NEW met1 ( 83280 79365 ) M1M2_PR
-    NEW met1 ( 100560 276945 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 83280 35335 ) RECT ( -355 -70 0 70 )
+- net409 ( output409 A ) ( _044_ LO ) 
+  + ROUTED met2 ( 92880 255115 ) ( 92880 277685 )
+    NEW met1 ( 92880 277685 ) ( 102000 277685 )
+    NEW met1 ( 80400 255115 ) ( 92880 255115 )
+    NEW met1 ( 92880 255115 ) M1M2_PR
+    NEW met1 ( 92880 277685 ) M1M2_PR
+    NEW li1 ( 102000 277685 ) L1M1_PR_MR
+    NEW li1 ( 80400 255115 ) L1M1_PR_MR
 + USE SIGNAL ;
-- net410 ( ANTENNA_46 DIODE ) ( ANTENNA_45 DIODE ) ( output410 A ) ( INV Y ) 
-  + ROUTED met1 ( 109680 281015 ) ( 110640 281015 )
-    NEW met2 ( 110640 50505 ) ( 110640 281015 )
-    NEW met1 ( 38160 50505 ) ( 39120 50505 0 )
-    NEW met1 ( 39120 50505 0 ) ( 110640 50505 )
-    NEW met1 ( 110640 50505 ) M1M2_PR
-    NEW li1 ( 110640 281015 ) L1M1_PR_MR
-    NEW met1 ( 110640 281015 ) M1M2_PR
-    NEW li1 ( 109680 281015 ) L1M1_PR_MR
-    NEW li1 ( 38160 50505 ) L1M1_PR_MR
-    NEW met1 ( 110640 281015 ) RECT ( -355 -70 0 70 )
+- net410 ( ANTENNA_51 DIODE ) ( ANTENNA_50 DIODE ) ( output410 A ) ( _045_ LO ) 
+  + ROUTED met1 ( 109200 281015 ) ( 111120 281015 )
+    NEW met2 ( 109200 100825 ) ( 109200 281015 )
+    NEW met1 ( 109200 100825 ) ( 136800 100825 )
+    NEW met1 ( 136800 101195 ) ( 168720 101195 )
+    NEW met1 ( 136800 100825 ) ( 136800 101195 )
+    NEW met1 ( 168720 101195 ) ( 169680 101195 )
+    NEW met1 ( 109200 100825 ) M1M2_PR
+    NEW li1 ( 109200 281015 ) L1M1_PR_MR
+    NEW met1 ( 109200 281015 ) M1M2_PR
+    NEW li1 ( 111120 281015 ) L1M1_PR_MR
+    NEW li1 ( 168720 101195 ) L1M1_PR_MR
+    NEW li1 ( 169680 101195 ) L1M1_PR_MR
+    NEW met1 ( 109200 281015 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net411 ( ANTENNA_48 DIODE ) ( ANTENNA_47 DIODE ) ( output411 A ) ( _043_ LO ) 
-  + ROUTED met1 ( 121200 139305 ) ( 121680 139305 )
-    NEW met1 ( 117840 277685 ) ( 121680 277685 )
-    NEW met1 ( 116400 277685 ) ( 117840 277685 )
-    NEW met2 ( 121680 139305 ) ( 121680 277685 )
-    NEW li1 ( 121680 139305 ) L1M1_PR_MR
-    NEW met1 ( 121680 139305 ) M1M2_PR
-    NEW li1 ( 121200 139305 ) L1M1_PR_MR
-    NEW li1 ( 117840 277685 ) L1M1_PR_MR
-    NEW met1 ( 121680 277685 ) M1M2_PR
-    NEW li1 ( 116400 277685 ) L1M1_PR_MR
-    NEW met1 ( 121680 139305 ) RECT ( -355 -70 0 70 )
+- net411 ( ANTENNA_53 DIODE ) ( ANTENNA_52 DIODE ) ( output411 A ) ( AOI22X1 Y ) 
+  + ROUTED met1 ( 115920 277685 ) ( 117360 277685 )
+    NEW met2 ( 115920 276945 ) ( 115920 277685 )
+    NEW met2 ( 115920 49395 ) ( 115920 276945 )
+    NEW met2 ( 40080 43845 ) ( 40080 49395 )
+    NEW met1 ( 38160 46065 ) ( 39600 46065 )
+    NEW met2 ( 39600 46065 ) ( 39600 46250 )
+    NEW met2 ( 39600 46250 ) ( 40080 46250 )
+    NEW met1 ( 40080 49395 ) ( 115920 49395 )
+    NEW met1 ( 115920 49395 ) M1M2_PR
+    NEW li1 ( 115920 276945 ) L1M1_PR_MR
+    NEW met1 ( 115920 276945 ) M1M2_PR
+    NEW li1 ( 117360 277685 ) L1M1_PR_MR
+    NEW met1 ( 115920 277685 ) M1M2_PR
+    NEW met1 ( 40080 43845 ) M1M2_PR
+    NEW met1 ( 40080 49395 ) M1M2_PR
+    NEW li1 ( 38160 46065 ) L1M1_PR_MR
+    NEW met1 ( 39600 46065 ) M1M2_PR
+    NEW met1 ( 115920 276945 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net412 ( ANTENNA_50 DIODE ) ( ANTENNA_49 DIODE ) ( output412 A ) ( INVX1 Y ) 
-  + ROUTED met1 ( 124080 277685 ) ( 125040 277685 )
-    NEW met2 ( 125040 60865 ) ( 125040 277685 )
-    NEW met2 ( 39120 59015 ) ( 39120 60865 )
-    NEW met1 ( 38160 59015 ) ( 39120 59015 0 )
-    NEW met1 ( 39120 60865 ) ( 125040 60865 )
-    NEW met1 ( 125040 60865 ) M1M2_PR
-    NEW li1 ( 125040 277685 ) L1M1_PR_MR
-    NEW met1 ( 125040 277685 ) M1M2_PR
-    NEW li1 ( 124080 277685 ) L1M1_PR_MR
-    NEW met1 ( 39120 59015 ) M1M2_PR
-    NEW met1 ( 39120 60865 ) M1M2_PR
-    NEW li1 ( 38160 59015 ) L1M1_PR_MR
-    NEW met1 ( 125040 277685 ) RECT ( -355 -70 0 70 )
+- net412 ( ANTENNA_55 DIODE ) ( ANTENNA_54 DIODE ) ( output412 A ) ( _046_ LO ) 
+  + ROUTED met1 ( 123600 277685 ) ( 125520 277685 )
+    NEW met2 ( 123600 276945 ) ( 123600 277685 )
+    NEW met2 ( 123600 76035 ) ( 123600 276945 )
+    NEW met1 ( 140880 75295 ) ( 140880 76035 )
+    NEW met1 ( 139920 76035 ) ( 140880 76035 )
+    NEW met1 ( 123600 76035 ) ( 139920 76035 )
+    NEW met1 ( 123600 76035 ) M1M2_PR
+    NEW li1 ( 123600 276945 ) L1M1_PR_MR
+    NEW met1 ( 123600 276945 ) M1M2_PR
+    NEW li1 ( 125520 277685 ) L1M1_PR_MR
+    NEW met1 ( 123600 277685 ) M1M2_PR
+    NEW li1 ( 139920 76035 ) L1M1_PR_MR
+    NEW li1 ( 140880 75295 ) L1M1_PR_MR
+    NEW met1 ( 123600 276945 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net413 ( output413 A ) ( _044_ LO ) 
-  + ROUTED met2 ( 134640 264365 ) ( 134640 281015 )
-    NEW met1 ( 134640 264365 ) ( 257520 264365 )
-    NEW met1 ( 134640 264365 ) M1M2_PR
-    NEW li1 ( 134640 281015 ) L1M1_PR_MR
-    NEW met1 ( 134640 281015 ) M1M2_PR
-    NEW li1 ( 257520 264365 ) L1M1_PR_MR
-    NEW met1 ( 134640 281015 ) RECT ( -355 -70 0 70 )
+- net413 ( ANTENNA_57 DIODE ) ( ANTENNA_56 DIODE ) ( output413 A ) ( BUFX2 Y ) 
+  + ROUTED met1 ( 133200 281015 ) ( 134160 281015 )
+    NEW met2 ( 134160 54205 ) ( 134160 281015 )
+    NEW met1 ( 41760 52355 0 ) ( 48240 52355 )
+    NEW met2 ( 48240 52355 ) ( 48240 54205 )
+    NEW met1 ( 38160 52725 ) ( 39840 52725 )
+    NEW met1 ( 39840 52355 ) ( 39840 52725 )
+    NEW met1 ( 39840 52355 ) ( 41760 52355 0 )
+    NEW met1 ( 48240 54205 ) ( 134160 54205 )
+    NEW met1 ( 134160 54205 ) M1M2_PR
+    NEW li1 ( 134160 281015 ) L1M1_PR_MR
+    NEW met1 ( 134160 281015 ) M1M2_PR
+    NEW li1 ( 133200 281015 ) L1M1_PR_MR
+    NEW met1 ( 48240 52355 ) M1M2_PR
+    NEW met1 ( 48240 54205 ) M1M2_PR
+    NEW li1 ( 38160 52725 ) L1M1_PR_MR
+    NEW met1 ( 134160 281015 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net414 ( ANTENNA_52 DIODE ) ( ANTENNA_51 DIODE ) ( output414 A ) ( INVX2 Y ) 
-  + ROUTED met1 ( 140880 281015 ) ( 142320 281015 )
-    NEW met2 ( 140880 65675 ) ( 140880 281015 )
-    NEW met1 ( 38160 65675 ) ( 39120 65675 0 )
-    NEW met1 ( 39120 65675 0 ) ( 140880 65675 )
-    NEW met1 ( 140880 65675 ) M1M2_PR
+- net414 ( ANTENNA_59 DIODE ) ( ANTENNA_58 DIODE ) ( output414 A ) ( _047_ LO ) 
+  + ROUTED met1 ( 140880 281015 ) ( 142800 281015 )
+    NEW met2 ( 140880 147075 ) ( 140880 281015 )
+    NEW met1 ( 286800 147075 ) ( 287760 147075 )
+    NEW met1 ( 140880 147075 ) ( 286800 147075 )
+    NEW met1 ( 140880 147075 ) M1M2_PR
     NEW li1 ( 140880 281015 ) L1M1_PR_MR
     NEW met1 ( 140880 281015 ) M1M2_PR
-    NEW li1 ( 142320 281015 ) L1M1_PR_MR
-    NEW li1 ( 38160 65675 ) L1M1_PR_MR
+    NEW li1 ( 142800 281015 ) L1M1_PR_MR
+    NEW li1 ( 286800 147075 ) L1M1_PR_MR
+    NEW li1 ( 287760 147075 ) L1M1_PR_MR
     NEW met1 ( 140880 281015 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net415 ( ANTENNA_54 DIODE ) ( ANTENNA_53 DIODE ) ( output415 A ) ( _045_ LO ) 
+- net415 ( ANTENNA_61 DIODE ) ( ANTENNA_60 DIODE ) ( output415 A ) ( BUFX4 Y ) 
   + ROUTED met1 ( 147120 281015 ) ( 148080 281015 )
-    NEW met2 ( 148080 67525 ) ( 148080 281015 )
-    NEW met1 ( 64800 67525 ) ( 148080 67525 )
-    NEW met1 ( 16560 67895 ) ( 64800 67895 )
-    NEW met1 ( 64800 67525 ) ( 64800 67895 )
-    NEW met1 ( 15120 67895 ) ( 16560 67895 )
-    NEW met1 ( 148080 67525 ) M1M2_PR
+    NEW met2 ( 148080 62715 ) ( 148080 281015 )
+    NEW met2 ( 42960 59015 ) ( 42960 62715 )
+    NEW met1 ( 38160 59385 ) ( 38640 59385 )
+    NEW met2 ( 38640 59385 ) ( 38640 60495 )
+    NEW met1 ( 38640 60495 ) ( 42960 60495 )
+    NEW met1 ( 42960 62715 ) ( 148080 62715 )
+    NEW met1 ( 148080 62715 ) M1M2_PR
     NEW li1 ( 148080 281015 ) L1M1_PR_MR
     NEW met1 ( 148080 281015 ) M1M2_PR
     NEW li1 ( 147120 281015 ) L1M1_PR_MR
-    NEW li1 ( 16560 67895 ) L1M1_PR_MR
-    NEW li1 ( 15120 67895 ) L1M1_PR_MR
+    NEW met1 ( 42960 59015 ) M1M2_PR
+    NEW met1 ( 42960 62715 ) M1M2_PR
+    NEW li1 ( 38160 59385 ) L1M1_PR_MR
+    NEW met1 ( 38640 59385 ) M1M2_PR
+    NEW met1 ( 38640 60495 ) M1M2_PR
+    NEW met1 ( 42960 60495 ) M1M2_PR
     NEW met1 ( 148080 281015 ) RECT ( -355 -70 0 70 )
+    NEW met2 ( 42960 60495 ) RECT ( -70 -485 70 0 )
 + USE SIGNAL ;
-- net416 ( ANTENNA_56 DIODE ) ( ANTENNA_55 DIODE ) ( output416 A ) ( INVX4 Y ) 
-  + ROUTED met1 ( 86400 72335 ) ( 86400 72705 )
-    NEW met1 ( 86400 72335 ) ( 156720 72335 )
-    NEW met1 ( 156720 281015 ) ( 158160 281015 )
-    NEW met2 ( 156720 72335 ) ( 156720 281015 )
-    NEW met1 ( 41040 72335 0 ) ( 41040 72705 )
-    NEW met2 ( 38160 71965 ) ( 38160 72150 )
-    NEW met3 ( 38160 72150 ) ( 41040 72150 )
-    NEW met2 ( 41040 72150 ) ( 41040 72335 )
-    NEW met1 ( 41040 72705 ) ( 86400 72705 )
-    NEW met1 ( 156720 72335 ) M1M2_PR
-    NEW li1 ( 156720 281015 ) L1M1_PR_MR
-    NEW met1 ( 156720 281015 ) M1M2_PR
-    NEW li1 ( 158160 281015 ) L1M1_PR_MR
-    NEW li1 ( 38160 71965 ) L1M1_PR_MR
-    NEW met1 ( 38160 71965 ) M1M2_PR
-    NEW met2 ( 38160 72150 ) via2_FR
-    NEW met2 ( 41040 72150 ) via2_FR
-    NEW met1 ( 41040 72335 ) M1M2_PR
-    NEW met1 ( 156720 281015 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 38160 71965 ) RECT ( -355 -70 0 70 )
+- net416 ( ANTENNA_63 DIODE ) ( ANTENNA_62 DIODE ) ( output416 A ) ( _048_ LO ) 
+  + ROUTED met1 ( 235920 111925 ) ( 236880 111925 )
+    NEW met1 ( 159120 111925 ) ( 235920 111925 )
+    NEW met1 ( 158640 281015 ) ( 159120 281015 )
+    NEW met1 ( 157200 281015 ) ( 158640 281015 )
+    NEW met2 ( 159120 111925 ) ( 159120 281015 )
+    NEW li1 ( 235920 111925 ) L1M1_PR_MR
+    NEW li1 ( 236880 111925 ) L1M1_PR_MR
+    NEW met1 ( 159120 111925 ) M1M2_PR
+    NEW li1 ( 158640 281015 ) L1M1_PR_MR
+    NEW met1 ( 159120 281015 ) M1M2_PR
+    NEW li1 ( 157200 281015 ) L1M1_PR_MR
 + USE SIGNAL ;
-- net417 ( ANTENNA_58 DIODE ) ( ANTENNA_57 DIODE ) ( output417 A ) ( _035_ LO ) 
-  + ROUTED met1 ( 15120 281015 ) ( 16560 281015 )
-    NEW met2 ( 15120 140415 ) ( 15120 281015 )
-    NEW met1 ( 54960 140415 ) ( 55920 140415 )
-    NEW met1 ( 15120 140415 ) ( 54960 140415 )
-    NEW met1 ( 15120 140415 ) M1M2_PR
-    NEW li1 ( 15120 281015 ) L1M1_PR_MR
-    NEW met1 ( 15120 281015 ) M1M2_PR
-    NEW li1 ( 16560 281015 ) L1M1_PR_MR
-    NEW li1 ( 54960 140415 ) L1M1_PR_MR
-    NEW li1 ( 55920 140415 ) L1M1_PR_MR
-    NEW met1 ( 15120 281015 ) RECT ( -355 -70 0 70 )
+- net417 ( ANTENNA_66 DIODE ) ( ANTENNA_65 DIODE ) ( ANTENNA_64 DIODE ) ( output417 A ) 
+( _036_ LO ) 
+  + ROUTED met1 ( 231120 32745 ) ( 231600 32745 )
+    NEW met1 ( 16560 281385 ) ( 18000 281385 )
+    NEW met1 ( 15120 281385 ) ( 16560 281385 )
+    NEW met2 ( 231120 32745 ) ( 231120 36000 )
+    NEW met2 ( 231120 36000 ) ( 232080 36000 )
+    NEW met2 ( 232080 36000 ) ( 232080 48285 )
+    NEW met1 ( 38640 285455 ) ( 76080 285455 )
+    NEW met2 ( 38640 281385 ) ( 38640 285455 )
+    NEW met1 ( 18000 281385 ) ( 38640 281385 )
+    NEW met2 ( 76080 48285 ) ( 76080 285455 )
+    NEW met1 ( 76080 48285 ) ( 232080 48285 )
+    NEW li1 ( 231120 32745 ) L1M1_PR_MR
+    NEW met1 ( 231120 32745 ) M1M2_PR
+    NEW li1 ( 231600 32745 ) L1M1_PR_MR
+    NEW li1 ( 18000 281385 ) L1M1_PR_MR
+    NEW li1 ( 16560 281385 ) L1M1_PR_MR
+    NEW li1 ( 15120 281385 ) L1M1_PR_MR
+    NEW met1 ( 232080 48285 ) M1M2_PR
+    NEW met1 ( 76080 285455 ) M1M2_PR
+    NEW met1 ( 38640 285455 ) M1M2_PR
+    NEW met1 ( 38640 281385 ) M1M2_PR
+    NEW met1 ( 76080 48285 ) M1M2_PR
+    NEW met1 ( 231120 32745 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net418 ( output418 A ) ( _046_ LO ) 
-  + ROUTED met2 ( 211920 275095 ) ( 211920 281755 )
-    NEW met1 ( 166320 281755 ) ( 211920 281755 )
-    NEW li1 ( 211920 275095 ) L1M1_PR_MR
-    NEW met1 ( 211920 275095 ) M1M2_PR
-    NEW met1 ( 211920 281755 ) M1M2_PR
-    NEW li1 ( 166320 281755 ) L1M1_PR_MR
-    NEW met1 ( 211920 275095 ) RECT ( -355 -70 0 70 )
+- net418 ( ANTENNA_68 DIODE ) ( ANTENNA_67 DIODE ) ( output418 A ) ( CLKBUF1 Y ) 
+  + ROUTED met1 ( 164880 281015 ) ( 165360 281015 )
+    NEW met1 ( 165360 281015 ) ( 165840 281015 )
+    NEW met2 ( 165360 69005 ) ( 165360 281015 )
+    NEW met2 ( 37680 66045 ) ( 37680 67155 )
+    NEW met1 ( 37680 67155 ) ( 49680 67155 )
+    NEW met1 ( 49680 69005 ) ( 165360 69005 )
+    NEW met1 ( 48965 63825 0 ) ( 49680 63825 )
+    NEW met2 ( 49680 63825 ) ( 49680 69005 )
+    NEW met1 ( 165360 69005 ) M1M2_PR
+    NEW li1 ( 164880 281015 ) L1M1_PR_MR
+    NEW met1 ( 165360 281015 ) M1M2_PR
+    NEW li1 ( 165840 281015 ) L1M1_PR_MR
+    NEW met1 ( 49680 69005 ) M1M2_PR
+    NEW li1 ( 37680 66045 ) L1M1_PR_MR
+    NEW met1 ( 37680 66045 ) M1M2_PR
+    NEW met1 ( 37680 67155 ) M1M2_PR
+    NEW met1 ( 49680 67155 ) M1M2_PR
+    NEW met1 ( 49680 63825 ) M1M2_PR
+    NEW met1 ( 37680 66045 ) RECT ( -355 -70 0 70 )
+    NEW met2 ( 49680 67155 ) RECT ( -70 -485 70 0 )
 + USE SIGNAL ;
-- net419 ( ANTENNA_60 DIODE ) ( ANTENNA_59 DIODE ) ( output419 A ) ( _047_ LO ) 
-  + ROUTED met1 ( 271440 124875 ) ( 272400 124875 )
-    NEW met1 ( 174000 124875 ) ( 271440 124875 )
-    NEW met1 ( 172560 281015 ) ( 174000 281015 )
-    NEW met2 ( 174000 124875 ) ( 174000 281015 )
-    NEW met1 ( 174000 124875 ) M1M2_PR
-    NEW li1 ( 271440 124875 ) L1M1_PR_MR
-    NEW li1 ( 272400 124875 ) L1M1_PR_MR
-    NEW li1 ( 174000 281015 ) L1M1_PR_MR
-    NEW met1 ( 174000 281015 ) M1M2_PR
-    NEW li1 ( 172560 281015 ) L1M1_PR_MR
-    NEW met1 ( 174000 281015 ) RECT ( -355 -70 0 70 )
+- net419 ( output419 A ) ( _049_ LO ) 
+  + ROUTED met1 ( 159600 205905 ) ( 169200 205905 )
+    NEW met1 ( 169200 281385 ) ( 174000 281385 )
+    NEW met2 ( 169200 205905 ) ( 169200 281385 )
+    NEW li1 ( 159600 205905 ) L1M1_PR_MR
+    NEW met1 ( 169200 205905 ) M1M2_PR
+    NEW met1 ( 169200 281385 ) M1M2_PR
+    NEW li1 ( 174000 281385 ) L1M1_PR_MR
 + USE SIGNAL ;
-- net420 ( ANTENNA_62 DIODE ) ( ANTENNA_61 DIODE ) ( output420 A ) ( _048_ LO ) 
-  + ROUTED met1 ( 134160 111185 ) ( 135600 111185 )
-    NEW li1 ( 136080 111185 ) ( 136080 112295 )
-    NEW met1 ( 135600 111185 ) ( 136080 111185 )
-    NEW met1 ( 136080 112295 ) ( 184560 112295 )
-    NEW met1 ( 183600 281015 ) ( 184560 281015 )
-    NEW met2 ( 184560 112295 ) ( 184560 281015 )
-    NEW li1 ( 135600 111185 ) L1M1_PR_MR
-    NEW li1 ( 134160 111185 ) L1M1_PR_MR
-    NEW li1 ( 136080 112295 ) L1M1_PR_MR
-    NEW li1 ( 136080 111185 ) L1M1_PR_MR
-    NEW met1 ( 184560 112295 ) M1M2_PR
+- net420 ( ANTENNA_70 DIODE ) ( ANTENNA_69 DIODE ) ( output420 A ) ( INV Y ) 
+  + ROUTED met1 ( 183120 281015 ) ( 184560 281015 )
+    NEW met2 ( 183120 70485 ) ( 183120 281015 )
+    NEW met1 ( 38160 70485 ) ( 39120 70485 0 )
+    NEW met1 ( 39120 70485 0 ) ( 183120 70485 )
+    NEW met1 ( 183120 70485 ) M1M2_PR
+    NEW li1 ( 183120 281015 ) L1M1_PR_MR
+    NEW met1 ( 183120 281015 ) M1M2_PR
     NEW li1 ( 184560 281015 ) L1M1_PR_MR
-    NEW met1 ( 184560 281015 ) M1M2_PR
-    NEW li1 ( 183600 281015 ) L1M1_PR_MR
-    NEW met1 ( 184560 281015 ) RECT ( -355 -70 0 70 )
+    NEW li1 ( 38160 70485 ) L1M1_PR_MR
+    NEW met1 ( 183120 281015 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net421 ( ANTENNA_65 DIODE ) ( ANTENNA_64 DIODE ) ( ANTENNA_63 DIODE ) ( output421 A ) 
-( MUX2X1 Y ) 
+- net421 ( ANTENNA_72 DIODE ) ( ANTENNA_71 DIODE ) ( output421 A ) ( _050_ LO ) 
   + ROUTED met1 ( 187440 281015 ) ( 188400 281015 )
-    NEW met1 ( 188400 281015 ) ( 189840 281015 )
-    NEW met2 ( 187440 78995 ) ( 187440 281015 )
-    NEW met1 ( 38160 78995 ) ( 38640 78995 )
-    NEW met1 ( 39600 78995 ) ( 43200 78995 0 )
-    NEW met1 ( 43200 78995 0 ) ( 187440 78995 )
-    NEW met1 ( 38640 79365 ) ( 39600 79365 )
-    NEW met1 ( 38640 78995 ) ( 38640 79365 )
-    NEW met1 ( 39600 78995 ) ( 39600 79365 )
-    NEW met1 ( 187440 78995 ) M1M2_PR
-    NEW li1 ( 187440 281015 ) L1M1_PR_MR
-    NEW met1 ( 187440 281015 ) M1M2_PR
+    NEW met2 ( 188400 120805 ) ( 188400 281015 )
+    NEW met1 ( 138960 120805 ) ( 140400 120805 )
+    NEW met1 ( 140400 120805 ) ( 188400 120805 )
+    NEW met1 ( 188400 120805 ) M1M2_PR
     NEW li1 ( 188400 281015 ) L1M1_PR_MR
-    NEW li1 ( 189840 281015 ) L1M1_PR_MR
-    NEW li1 ( 38160 78995 ) L1M1_PR_MR
-    NEW met1 ( 187440 281015 ) RECT ( 0 -70 355 70 )
+    NEW met1 ( 188400 281015 ) M1M2_PR
+    NEW li1 ( 187440 281015 ) L1M1_PR_MR
+    NEW li1 ( 140400 120805 ) L1M1_PR_MR
+    NEW li1 ( 138960 120805 ) L1M1_PR_MR
+    NEW met1 ( 188400 281015 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net422 ( ANTENNA_67 DIODE ) ( ANTENNA_66 DIODE ) ( output422 A ) ( _049_ LO ) 
+- net422 ( ANTENNA_74 DIODE ) ( ANTENNA_73 DIODE ) ( output422 A ) ( INVX1 Y ) 
   + ROUTED met1 ( 195120 277685 ) ( 196080 277685 )
-    NEW met2 ( 196080 19425 ) ( 196080 277685 )
-    NEW met1 ( 176880 15725 ) ( 177840 15725 )
-    NEW met2 ( 177840 15725 ) ( 177840 19425 )
-    NEW met1 ( 178320 15355 ) ( 178320 15725 )
-    NEW met1 ( 177840 15725 ) ( 178320 15725 )
-    NEW met1 ( 177840 19425 ) ( 196080 19425 )
-    NEW met1 ( 196080 19425 ) M1M2_PR
+    NEW met2 ( 196080 77145 ) ( 196080 277685 )
+    NEW met1 ( 38160 77145 ) ( 39120 77145 0 )
+    NEW met1 ( 39120 77145 0 ) ( 196080 77145 )
+    NEW met1 ( 196080 77145 ) M1M2_PR
     NEW li1 ( 196080 277685 ) L1M1_PR_MR
     NEW met1 ( 196080 277685 ) M1M2_PR
     NEW li1 ( 195120 277685 ) L1M1_PR_MR
-    NEW li1 ( 176880 15725 ) L1M1_PR_MR
-    NEW met1 ( 177840 15725 ) M1M2_PR
-    NEW met1 ( 177840 19425 ) M1M2_PR
-    NEW li1 ( 178320 15355 ) L1M1_PR_MR
+    NEW li1 ( 38160 77145 ) L1M1_PR_MR
     NEW met1 ( 196080 277685 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net423 ( output423 A ) ( _050_ LO ) 
-  + ROUTED met1 ( 204240 277685 ) ( 204720 277685 )
-    NEW met2 ( 204240 174085 ) ( 204240 277685 )
-    NEW met1 ( 154800 174085 ) ( 204240 174085 )
-    NEW met1 ( 204240 174085 ) M1M2_PR
-    NEW met1 ( 204240 277685 ) M1M2_PR
+- net423 ( output423 A ) ( _051_ LO ) 
+  + ROUTED met1 ( 204720 179265 ) ( 221040 179265 )
+    NEW met2 ( 204720 179265 ) ( 204720 277685 )
+    NEW li1 ( 221040 179265 ) L1M1_PR_MR
+    NEW met1 ( 204720 179265 ) M1M2_PR
     NEW li1 ( 204720 277685 ) L1M1_PR_MR
-    NEW li1 ( 154800 174085 ) L1M1_PR_MR
+    NEW met1 ( 204720 277685 ) M1M2_PR
+    NEW met1 ( 204720 277685 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net424 ( ANTENNA_69 DIODE ) ( ANTENNA_68 DIODE ) ( output424 A ) ( NAND2X1 Y ) 
-  + ROUTED met1 ( 212400 281015 ) ( 213360 281015 )
-    NEW met2 ( 213360 85655 ) ( 213360 281015 )
-    NEW met1 ( 86400 85655 ) ( 86400 86025 )
-    NEW met1 ( 86400 85655 ) ( 213360 85655 )
-    NEW met1 ( 41040 85655 0 ) ( 41040 86025 )
-    NEW met1 ( 38160 86025 ) ( 39600 86025 )
-    NEW li1 ( 39600 85655 ) ( 39600 86025 )
-    NEW li1 ( 39600 85655 ) ( 41040 85655 )
-    NEW li1 ( 41040 85655 ) ( 41040 86025 )
-    NEW met1 ( 41040 86025 ) ( 86400 86025 )
-    NEW met1 ( 213360 85655 ) M1M2_PR
+- net424 ( ANTENNA_76 DIODE ) ( ANTENNA_75 DIODE ) ( output424 A ) ( INVX2 Y ) 
+  + ROUTED met1 ( 211920 281015 ) ( 213360 281015 )
+    NEW met2 ( 211920 85655 ) ( 211920 281015 )
+    NEW met1 ( 38160 85655 ) ( 39120 85655 0 )
+    NEW met1 ( 39120 85655 0 ) ( 211920 85655 )
+    NEW met1 ( 211920 85655 ) M1M2_PR
+    NEW li1 ( 211920 281015 ) L1M1_PR_MR
+    NEW met1 ( 211920 281015 ) M1M2_PR
     NEW li1 ( 213360 281015 ) L1M1_PR_MR
-    NEW met1 ( 213360 281015 ) M1M2_PR
-    NEW li1 ( 212400 281015 ) L1M1_PR_MR
-    NEW li1 ( 38160 86025 ) L1M1_PR_MR
-    NEW li1 ( 39600 86025 ) L1M1_PR_MR
-    NEW li1 ( 41040 86025 ) L1M1_PR_MR
-    NEW met1 ( 213360 281015 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 41040 86025 ) RECT ( 0 -70 595 70 )
+    NEW li1 ( 38160 85655 ) L1M1_PR_MR
+    NEW met1 ( 211920 281015 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net425 ( ANTENNA_72 DIODE ) ( ANTENNA_71 DIODE ) ( ANTENNA_70 DIODE ) ( output425 A ) 
-( _051_ LO ) 
-  + ROUTED met2 ( 11760 59385 ) ( 11760 61605 )
-    NEW met1 ( 10320 59385 ) ( 11760 59385 )
-    NEW met1 ( 220080 281015 ) ( 221040 281015 )
-    NEW met1 ( 221040 281015 ) ( 222480 281015 )
-    NEW met2 ( 221040 61605 ) ( 221040 281015 )
-    NEW met1 ( 11760 61605 ) ( 221040 61605 )
-    NEW li1 ( 11760 59385 ) L1M1_PR_MR
-    NEW met1 ( 11760 59385 ) M1M2_PR
-    NEW met1 ( 11760 61605 ) M1M2_PR
-    NEW li1 ( 10320 59385 ) L1M1_PR_MR
-    NEW met1 ( 221040 61605 ) M1M2_PR
+- net425 ( ANTENNA_78 DIODE ) ( ANTENNA_77 DIODE ) ( output425 A ) ( _052_ LO ) 
+  + ROUTED met1 ( 219600 281015 ) ( 221040 281015 )
+    NEW met2 ( 219600 67525 ) ( 219600 281015 )
+    NEW met1 ( 162960 67525 ) ( 164400 67525 )
+    NEW met1 ( 164400 67525 ) ( 219600 67525 )
+    NEW met1 ( 219600 67525 ) M1M2_PR
+    NEW li1 ( 219600 281015 ) L1M1_PR_MR
+    NEW met1 ( 219600 281015 ) M1M2_PR
     NEW li1 ( 221040 281015 ) L1M1_PR_MR
-    NEW met1 ( 221040 281015 ) M1M2_PR
-    NEW li1 ( 220080 281015 ) L1M1_PR_MR
-    NEW li1 ( 222480 281015 ) L1M1_PR_MR
-    NEW met1 ( 11760 59385 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 221040 281015 ) RECT ( -355 -70 0 70 )
+    NEW li1 ( 164400 67525 ) L1M1_PR_MR
+    NEW li1 ( 162960 67525 ) L1M1_PR_MR
+    NEW met1 ( 219600 281015 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net426 ( ANTENNA_75 DIODE ) ( ANTENNA_74 DIODE ) ( ANTENNA_73 DIODE ) ( output426 A ) 
-( _052_ LO ) 
-  + ROUTED met1 ( 227760 276945 ) ( 227760 277685 )
-    NEW met1 ( 227760 276945 ) ( 229200 276945 )
-    NEW met1 ( 226800 276945 ) ( 227760 276945 )
-    NEW met2 ( 229200 98235 ) ( 229200 276945 )
-    NEW met1 ( 60720 98235 ) ( 62160 98235 )
-    NEW met1 ( 62160 98235 ) ( 229200 98235 )
-    NEW met1 ( 229200 98235 ) M1M2_PR
-    NEW li1 ( 229200 276945 ) L1M1_PR_MR
-    NEW met1 ( 229200 276945 ) M1M2_PR
-    NEW li1 ( 227760 277685 ) L1M1_PR_MR
+- net426 ( ANTENNA_80 DIODE ) ( ANTENNA_79 DIODE ) ( output426 A ) ( INVX4 Y ) 
+  + ROUTED met1 ( 226800 276945 ) ( 227280 276945 )
+    NEW met1 ( 227280 277685 ) ( 227760 277685 )
+    NEW met2 ( 227280 276945 ) ( 227280 277685 )
+    NEW met2 ( 227280 92315 ) ( 227280 276945 )
+    NEW met1 ( 86400 92315 ) ( 86400 92685 )
+    NEW met1 ( 86400 92315 ) ( 227280 92315 )
+    NEW met1 ( 41040 92315 0 ) ( 41040 92685 )
+    NEW met1 ( 38160 92685 ) ( 39600 92685 )
+    NEW li1 ( 39600 92315 ) ( 39600 92685 )
+    NEW li1 ( 39600 92315 ) ( 41040 92315 )
+    NEW li1 ( 41040 92315 ) ( 41040 92685 )
+    NEW met1 ( 41040 92685 ) ( 86400 92685 )
+    NEW met1 ( 227280 92315 ) M1M2_PR
     NEW li1 ( 226800 276945 ) L1M1_PR_MR
-    NEW li1 ( 62160 98235 ) L1M1_PR_MR
-    NEW li1 ( 60720 98235 ) L1M1_PR_MR
-    NEW met1 ( 229200 276945 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 227280 276945 ) M1M2_PR
+    NEW li1 ( 227760 277685 ) L1M1_PR_MR
+    NEW met1 ( 227280 277685 ) M1M2_PR
+    NEW li1 ( 38160 92685 ) L1M1_PR_MR
+    NEW li1 ( 39600 92685 ) L1M1_PR_MR
+    NEW li1 ( 41040 92685 ) L1M1_PR_MR
+    NEW met1 ( 41040 92685 ) RECT ( 0 -70 595 70 )
 + USE SIGNAL ;
-- net427 ( ANTENNA_77 DIODE ) ( ANTENNA_76 DIODE ) ( output427 A ) ( _053_ LO ) 
-  + ROUTED met1 ( 200400 58645 ) ( 223920 58645 )
-    NEW met2 ( 223920 58645 ) ( 223920 60495 )
-    NEW met1 ( 223920 60495 ) ( 226800 60495 )
-    NEW met1 ( 198960 58645 ) ( 200400 58645 )
-    NEW met1 ( 226800 278055 ) ( 234000 278055 )
-    NEW met1 ( 234000 278055 ) ( 235440 278055 )
-    NEW met2 ( 226800 60495 ) ( 226800 278055 )
-    NEW li1 ( 200400 58645 ) L1M1_PR_MR
-    NEW met1 ( 223920 58645 ) M1M2_PR
-    NEW met1 ( 223920 60495 ) M1M2_PR
-    NEW met1 ( 226800 60495 ) M1M2_PR
-    NEW li1 ( 198960 58645 ) L1M1_PR_MR
-    NEW li1 ( 234000 278055 ) L1M1_PR_MR
-    NEW met1 ( 226800 278055 ) M1M2_PR
-    NEW li1 ( 235440 278055 ) L1M1_PR_MR
+- net427 ( ANTENNA_82 DIODE ) ( ANTENNA_81 DIODE ) ( output427 A ) ( _053_ LO ) 
+  + ROUTED met1 ( 210960 68265 ) ( 235440 68265 )
+    NEW met1 ( 209520 68265 ) ( 210960 68265 )
+    NEW met1 ( 234480 277685 ) ( 235440 277685 )
+    NEW met2 ( 235440 68265 ) ( 235440 277685 )
+    NEW li1 ( 210960 68265 ) L1M1_PR_MR
+    NEW met1 ( 235440 68265 ) M1M2_PR
+    NEW li1 ( 209520 68265 ) L1M1_PR_MR
+    NEW li1 ( 235440 277685 ) L1M1_PR_MR
+    NEW met1 ( 235440 277685 ) M1M2_PR
+    NEW li1 ( 234480 277685 ) L1M1_PR_MR
+    NEW met1 ( 235440 277685 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net428 ( ANTENNA_79 DIODE ) ( ANTENNA_78 DIODE ) ( output428 A ) ( AND2X1 Y ) 
+- net428 ( ANTENNA_84 DIODE ) ( ANTENNA_83 DIODE ) ( output428 A ) ( AND2X1 Y ) 
   + ROUTED met1 ( 22800 277685 ) ( 23280 277685 )
     NEW met1 ( 21360 277685 ) ( 22800 277685 )
-    NEW met1 ( 23760 26085 ) ( 37680 26085 )
-    NEW met2 ( 23760 26085 ) ( 23760 64800 )
-    NEW met2 ( 23280 64800 ) ( 23760 64800 )
+    NEW met1 ( 24240 26085 ) ( 37680 26085 )
     NEW met1 ( 43200 25715 0 ) ( 43200 26085 )
     NEW met1 ( 42480 26085 ) ( 43200 26085 )
     NEW li1 ( 42480 25715 ) ( 42480 26085 )
@@ -18380,2579 +18506,2570 @@
     NEW li1 ( 38640 25715 ) ( 39600 25715 )
     NEW li1 ( 38640 25715 ) ( 38640 26085 )
     NEW met1 ( 37680 26085 ) ( 38640 26085 )
-    NEW met2 ( 23280 64800 ) ( 23280 277685 )
+    NEW met2 ( 24240 26085 ) ( 24240 115200 )
+    NEW met2 ( 23280 115200 ) ( 24240 115200 )
+    NEW met2 ( 23280 115200 ) ( 23280 277685 )
     NEW li1 ( 22800 277685 ) L1M1_PR_MR
     NEW met1 ( 23280 277685 ) M1M2_PR
     NEW li1 ( 21360 277685 ) L1M1_PR_MR
     NEW li1 ( 37680 26085 ) L1M1_PR_MR
-    NEW met1 ( 23760 26085 ) M1M2_PR
+    NEW met1 ( 24240 26085 ) M1M2_PR
     NEW li1 ( 42480 26085 ) L1M1_PR_MR
     NEW li1 ( 38640 26085 ) L1M1_PR_MR
 + USE SIGNAL ;
-- net429 ( ANTENNA_81 DIODE ) ( ANTENNA_80 DIODE ) ( output429 A ) ( NAND3X1 Y ) 
-  + ROUTED met1 ( 243120 281015 ) ( 244560 281015 )
-    NEW met2 ( 243120 92315 ) ( 243120 281015 )
-    NEW met1 ( 41040 92315 0 ) ( 41040 92685 )
-    NEW met1 ( 41040 92685 ) ( 42000 92685 )
-    NEW li1 ( 42000 92685 ) ( 42480 92685 )
-    NEW li1 ( 42480 92315 ) ( 42480 92685 )
-    NEW li1 ( 42480 92315 ) ( 42960 92315 )
-    NEW met1 ( 38160 90465 ) ( 41040 90465 )
-    NEW met2 ( 41040 90465 ) ( 41040 92315 )
-    NEW met1 ( 42960 92315 ) ( 243120 92315 )
-    NEW met1 ( 243120 92315 ) M1M2_PR
+- net429 ( ANTENNA_86 DIODE ) ( ANTENNA_85 DIODE ) ( output429 A ) ( INVX8 Y ) 
+  + ROUTED met1 ( 86400 98975 ) ( 86400 99345 )
+    NEW met1 ( 86400 98975 ) ( 243120 98975 )
+    NEW met1 ( 243120 281015 ) ( 244560 281015 )
+    NEW met2 ( 243120 98975 ) ( 243120 281015 )
+    NEW met1 ( 43920 98975 0 ) ( 43920 99345 )
+    NEW met1 ( 38160 99345 ) ( 39600 99345 )
+    NEW met2 ( 39600 99345 ) ( 39600 99530 )
+    NEW met3 ( 39600 99530 ) ( 43920 99530 )
+    NEW met2 ( 43920 99345 ) ( 43920 99530 )
+    NEW met1 ( 43920 99345 ) ( 86400 99345 )
+    NEW met1 ( 243120 98975 ) M1M2_PR
     NEW li1 ( 243120 281015 ) L1M1_PR_MR
     NEW met1 ( 243120 281015 ) M1M2_PR
     NEW li1 ( 244560 281015 ) L1M1_PR_MR
-    NEW li1 ( 42000 92685 ) L1M1_PR_MR
-    NEW li1 ( 42960 92315 ) L1M1_PR_MR
-    NEW li1 ( 38160 90465 ) L1M1_PR_MR
-    NEW met1 ( 41040 90465 ) M1M2_PR
-    NEW met1 ( 41040 92315 ) M1M2_PR
-    NEW met1 ( 243120 281015 ) RECT ( -355 -70 0 70 )
-+ USE SIGNAL ;
-- net430 ( ANTENNA_83 DIODE ) ( ANTENNA_82 DIODE ) ( output430 A ) ( _054_ LO ) 
-  + ROUTED met1 ( 233520 47545 ) ( 234960 47545 )
-    NEW met1 ( 234960 47545 ) ( 251280 47545 )
-    NEW met1 ( 251280 281015 ) ( 252720 281015 )
-    NEW met2 ( 251280 47545 ) ( 251280 281015 )
-    NEW li1 ( 234960 47545 ) L1M1_PR_MR
-    NEW li1 ( 233520 47545 ) L1M1_PR_MR
-    NEW met1 ( 251280 47545 ) M1M2_PR
-    NEW li1 ( 251280 281015 ) L1M1_PR_MR
-    NEW met1 ( 251280 281015 ) M1M2_PR
-    NEW li1 ( 252720 281015 ) L1M1_PR_MR
-    NEW met1 ( 251280 281015 ) RECT ( -355 -70 0 70 )
-+ USE SIGNAL ;
-- net431 ( output431 A ) ( _055_ LO ) 
-  + ROUTED met1 ( 131280 160765 ) ( 259920 160765 )
-    NEW met2 ( 259920 160765 ) ( 259920 277685 )
-    NEW li1 ( 131280 160765 ) L1M1_PR_MR
-    NEW met1 ( 259920 160765 ) M1M2_PR
-    NEW li1 ( 259920 277685 ) L1M1_PR_MR
-    NEW met1 ( 259920 277685 ) M1M2_PR
-    NEW met1 ( 259920 277685 ) RECT ( -355 -70 0 70 )
-+ USE SIGNAL ;
-- net432 ( ANTENNA_86 DIODE ) ( ANTENNA_85 DIODE ) ( ANTENNA_84 DIODE ) ( output432 A ) 
-( OR2X1 Y ) 
-  + ROUTED met1 ( 268560 281015 ) ( 270000 281015 )
-    NEW met1 ( 267600 281015 ) ( 268560 281015 )
-    NEW met2 ( 270000 99345 ) ( 270000 281015 )
-    NEW met1 ( 43200 98975 0 ) ( 43200 99345 )
-    NEW met1 ( 38160 99345 ) ( 41040 99345 )
-    NEW li1 ( 41040 98975 ) ( 41040 99345 )
-    NEW li1 ( 41040 98975 ) ( 42000 98975 )
-    NEW met1 ( 42000 98975 ) ( 43200 98975 0 )
-    NEW met1 ( 43200 99345 ) ( 270000 99345 )
-    NEW met1 ( 270000 99345 ) M1M2_PR
-    NEW li1 ( 270000 281015 ) L1M1_PR_MR
-    NEW met1 ( 270000 281015 ) M1M2_PR
-    NEW li1 ( 268560 281015 ) L1M1_PR_MR
-    NEW li1 ( 267600 281015 ) L1M1_PR_MR
     NEW li1 ( 38160 99345 ) L1M1_PR_MR
-    NEW li1 ( 41040 99345 ) L1M1_PR_MR
-    NEW li1 ( 42000 98975 ) L1M1_PR_MR
-    NEW met1 ( 270000 281015 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 39600 99345 ) M1M2_PR
+    NEW met2 ( 39600 99530 ) via2_FR
+    NEW met2 ( 43920 99530 ) via2_FR
+    NEW met1 ( 43920 99345 ) M1M2_PR
+    NEW met1 ( 243120 281015 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 43920 99345 ) RECT ( 0 -70 595 70 )
 + USE SIGNAL ;
-- net433 ( ANTENNA_87 DIODE ) ( output433 A ) ( _056_ LO ) 
-  + ROUTED met2 ( 274800 252155 ) ( 274800 281015 )
-    NEW met1 ( 274800 281015 ) ( 276240 281015 )
-    NEW met1 ( 20880 252155 ) ( 274800 252155 )
-    NEW li1 ( 20880 252155 ) L1M1_PR_MR
-    NEW li1 ( 274800 281015 ) L1M1_PR_MR
-    NEW met1 ( 274800 281015 ) M1M2_PR
-    NEW met1 ( 274800 252155 ) M1M2_PR
+- net430 ( output430 A ) ( _054_ LO ) 
+  + ROUTED met1 ( 186000 178155 ) ( 248400 178155 )
+    NEW met1 ( 248400 281385 ) ( 253200 281385 )
+    NEW met2 ( 248400 178155 ) ( 248400 281385 )
+    NEW li1 ( 186000 178155 ) L1M1_PR_MR
+    NEW met1 ( 248400 178155 ) M1M2_PR
+    NEW met1 ( 248400 281385 ) M1M2_PR
+    NEW li1 ( 253200 281385 ) L1M1_PR_MR
++ USE SIGNAL ;
+- net431 ( ANTENNA_89 DIODE ) ( ANTENNA_88 DIODE ) ( ANTENNA_87 DIODE ) ( output431 A ) 
+( _055_ LO ) 
+  + ROUTED met1 ( 87120 64935 ) ( 88560 64935 )
+    NEW met1 ( 88560 64935 ) ( 258960 64935 )
+    NEW met1 ( 258480 276945 ) ( 258960 276945 )
+    NEW met1 ( 258960 277685 ) ( 259440 277685 )
+    NEW met1 ( 258960 276945 ) ( 258960 277685 )
+    NEW met1 ( 259440 277685 ) ( 260880 277685 )
+    NEW met2 ( 258960 64935 ) ( 258960 276945 )
+    NEW li1 ( 88560 64935 ) L1M1_PR_MR
+    NEW li1 ( 87120 64935 ) L1M1_PR_MR
+    NEW met1 ( 258960 64935 ) M1M2_PR
+    NEW li1 ( 258480 276945 ) L1M1_PR_MR
+    NEW met1 ( 258960 276945 ) M1M2_PR
+    NEW li1 ( 259440 277685 ) L1M1_PR_MR
+    NEW li1 ( 260880 277685 ) L1M1_PR_MR
++ USE SIGNAL ;
+- net432 ( ANTENNA_92 DIODE ) ( ANTENNA_91 DIODE ) ( ANTENNA_90 DIODE ) ( output432 A ) 
+( _056_ LO ) 
+  + ROUTED met2 ( 9840 95645 ) ( 9840 97125 )
+    NEW met1 ( 8880 97125 ) ( 8880 97865 )
+    NEW met1 ( 8880 97125 ) ( 9840 97125 )
+    NEW met1 ( 267120 281015 ) ( 268560 281015 )
+    NEW met1 ( 268560 281015 ) ( 270000 281015 )
+    NEW met2 ( 267120 95645 ) ( 267120 281015 )
+    NEW met1 ( 9840 95645 ) ( 267120 95645 )
+    NEW li1 ( 9840 97125 ) L1M1_PR_MR
+    NEW met1 ( 9840 97125 ) M1M2_PR
+    NEW met1 ( 9840 95645 ) M1M2_PR
+    NEW li1 ( 8880 97865 ) L1M1_PR_MR
+    NEW met1 ( 267120 95645 ) M1M2_PR
+    NEW li1 ( 267120 281015 ) L1M1_PR_MR
+    NEW met1 ( 267120 281015 ) M1M2_PR
+    NEW li1 ( 268560 281015 ) L1M1_PR_MR
+    NEW li1 ( 270000 281015 ) L1M1_PR_MR
+    NEW met1 ( 9840 97125 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 267120 281015 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net433 ( ANTENNA_95 DIODE ) ( ANTENNA_94 DIODE ) ( ANTENNA_93 DIODE ) ( output433 A ) 
+( MUX2X1 Y ) 
+  + ROUTED met1 ( 276240 281015 ) ( 277680 281015 )
+    NEW met1 ( 275280 281015 ) ( 276240 281015 )
+    NEW met2 ( 277680 103785 ) ( 277680 281015 )
+    NEW met2 ( 43920 102675 ) ( 43920 103785 )
+    NEW met1 ( 37680 102675 ) ( 43920 102675 )
+    NEW met2 ( 37680 102675 ) ( 37680 103785 )
+    NEW met2 ( 46800 102675 ) ( 46800 103785 )
+    NEW met1 ( 43920 102675 ) ( 46800 102675 )
+    NEW met1 ( 46800 103785 ) ( 277680 103785 )
+    NEW met1 ( 277680 103785 ) M1M2_PR
+    NEW li1 ( 277680 281015 ) L1M1_PR_MR
+    NEW met1 ( 277680 281015 ) M1M2_PR
     NEW li1 ( 276240 281015 ) L1M1_PR_MR
-    NEW met1 ( 274800 281015 ) RECT ( -355 -70 0 70 )
+    NEW li1 ( 275280 281015 ) L1M1_PR_MR
+    NEW met1 ( 43920 103785 ) M1M2_PR
+    NEW met1 ( 43920 102675 ) M1M2_PR
+    NEW met1 ( 37680 102675 ) M1M2_PR
+    NEW li1 ( 37680 103785 ) L1M1_PR_MR
+    NEW met1 ( 37680 103785 ) M1M2_PR
+    NEW met1 ( 46800 103785 ) M1M2_PR
+    NEW met1 ( 46800 102675 ) M1M2_PR
+    NEW met1 ( 277680 281015 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 37680 103785 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net434 ( ANTENNA_88 DIODE ) ( output434 A ) ( _057_ LO ) 
-  + ROUTED met1 ( 133680 167425 ) ( 281520 167425 )
-    NEW met1 ( 282960 276945 ) ( 282960 277685 )
-    NEW met1 ( 281520 276945 ) ( 282960 276945 )
-    NEW met2 ( 281520 167425 ) ( 281520 276945 )
-    NEW li1 ( 133680 167425 ) L1M1_PR_MR
-    NEW met1 ( 281520 167425 ) M1M2_PR
-    NEW li1 ( 281520 276945 ) L1M1_PR_MR
-    NEW met1 ( 281520 276945 ) M1M2_PR
+- net434 ( ANTENNA_98 DIODE ) ( ANTENNA_97 DIODE ) ( ANTENNA_96 DIODE ) ( output434 A ) 
+( _057_ LO ) 
+  + ROUTED met1 ( 282960 277685 ) ( 284400 277685 )
+    NEW met1 ( 284400 276945 ) ( 284400 277685 )
+    NEW met1 ( 282000 277685 ) ( 282960 277685 )
+    NEW met2 ( 284400 69375 ) ( 284400 276945 )
+    NEW met1 ( 22800 68635 ) ( 36240 68635 )
+    NEW met1 ( 36240 68635 ) ( 36240 69375 )
+    NEW met1 ( 21360 68635 ) ( 22800 68635 )
+    NEW met1 ( 36240 69375 ) ( 284400 69375 )
+    NEW met1 ( 284400 69375 ) M1M2_PR
+    NEW li1 ( 284400 276945 ) L1M1_PR_MR
+    NEW met1 ( 284400 276945 ) M1M2_PR
     NEW li1 ( 282960 277685 ) L1M1_PR_MR
-    NEW met1 ( 281520 276945 ) RECT ( -355 -70 0 70 )
+    NEW li1 ( 282000 277685 ) L1M1_PR_MR
+    NEW li1 ( 22800 68635 ) L1M1_PR_MR
+    NEW li1 ( 21360 68635 ) L1M1_PR_MR
+    NEW met1 ( 284400 276945 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net435 ( ANTENNA_91 DIODE ) ( ANTENNA_90 DIODE ) ( ANTENNA_89 DIODE ) ( output435 A ) 
-( OR2X2 Y ) 
-  + ROUTED met1 ( 289200 270285 ) ( 289200 271025 )
-    NEW met1 ( 289200 270285 ) ( 290640 270285 )
-    NEW met1 ( 288240 270285 ) ( 289200 270285 )
-    NEW met2 ( 290640 107855 ) ( 290640 270285 )
-    NEW met1 ( 43200 105635 0 ) ( 43920 105635 )
-    NEW met2 ( 43920 105635 ) ( 43920 107855 )
-    NEW met1 ( 38160 106005 ) ( 41280 106005 )
-    NEW met1 ( 41280 105635 ) ( 41280 106005 )
-    NEW met1 ( 41280 105635 ) ( 43200 105635 0 )
-    NEW met1 ( 43920 107855 ) ( 290640 107855 )
-    NEW met1 ( 290640 107855 ) M1M2_PR
-    NEW li1 ( 290640 270285 ) L1M1_PR_MR
-    NEW met1 ( 290640 270285 ) M1M2_PR
+- net435 ( ANTENNA_100 DIODE ) ( ANTENNA_99 DIODE ) ( output435 A ) ( _058_ LO ) 
+  + ROUTED met1 ( 230640 14615 ) ( 232080 14615 )
+    NEW met2 ( 291120 15355 ) ( 291120 35335 )
+    NEW met1 ( 291120 35335 ) ( 292560 35335 )
+    NEW met1 ( 292560 35335 ) ( 292560 35705 )
+    NEW met1 ( 289200 271025 ) ( 292560 271025 )
+    NEW met1 ( 288240 271025 ) ( 289200 271025 )
+    NEW met2 ( 292560 35705 ) ( 292560 271025 )
+    NEW met1 ( 247920 14615 ) ( 247920 15355 )
+    NEW met1 ( 232080 14615 ) ( 247920 14615 )
+    NEW met1 ( 247920 15355 ) ( 291120 15355 )
+    NEW li1 ( 232080 14615 ) L1M1_PR_MR
+    NEW li1 ( 230640 14615 ) L1M1_PR_MR
+    NEW met1 ( 291120 15355 ) M1M2_PR
+    NEW met1 ( 291120 35335 ) M1M2_PR
+    NEW met1 ( 292560 35705 ) M1M2_PR
     NEW li1 ( 289200 271025 ) L1M1_PR_MR
-    NEW li1 ( 288240 270285 ) L1M1_PR_MR
-    NEW met1 ( 43920 105635 ) M1M2_PR
-    NEW met1 ( 43920 107855 ) M1M2_PR
-    NEW li1 ( 38160 106005 ) L1M1_PR_MR
-    NEW met1 ( 290640 270285 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 292560 271025 ) M1M2_PR
+    NEW li1 ( 288240 271025 ) L1M1_PR_MR
 + USE SIGNAL ;
-- net436 ( ANTENNA_94 DIODE ) ( ANTENNA_93 DIODE ) ( ANTENNA_92 DIODE ) ( output436 A ) 
-( _058_ LO ) 
-  + ROUTED met1 ( 288240 266955 ) ( 288720 266955 )
-    NEW met1 ( 289200 266955 ) ( 289200 267695 )
-    NEW met1 ( 288720 266955 ) ( 289200 266955 )
-    NEW met1 ( 289200 266955 ) ( 290640 266955 )
-    NEW met2 ( 288720 67155 ) ( 288720 266955 )
-    NEW met1 ( 39120 67525 ) ( 57840 67525 )
-    NEW met1 ( 57840 67155 ) ( 57840 67525 )
-    NEW met1 ( 37680 67525 ) ( 39120 67525 )
-    NEW met1 ( 57840 67155 ) ( 288720 67155 )
-    NEW met1 ( 288720 67155 ) M1M2_PR
+- net436 ( ANTENNA_102 DIODE ) ( ANTENNA_101 DIODE ) ( output436 A ) ( NAND2X1 Y ) 
+  + ROUTED met1 ( 289200 266955 ) ( 289200 267695 )
+    NEW met1 ( 288240 266955 ) ( 289200 266955 )
+    NEW met2 ( 288240 112295 ) ( 288240 266955 )
+    NEW met1 ( 86400 112295 ) ( 86400 112665 )
+    NEW met1 ( 86400 112295 ) ( 288240 112295 )
+    NEW met1 ( 41040 112295 0 ) ( 41040 112665 )
+    NEW met1 ( 38160 110445 ) ( 40560 110445 )
+    NEW li1 ( 40560 110445 ) ( 40560 112295 )
+    NEW li1 ( 40560 112295 ) ( 41040 112295 )
+    NEW li1 ( 41040 112295 ) ( 41040 112665 )
+    NEW met1 ( 41040 112665 ) ( 86400 112665 )
+    NEW met1 ( 288240 112295 ) M1M2_PR
     NEW li1 ( 288240 266955 ) L1M1_PR_MR
-    NEW met1 ( 288720 266955 ) M1M2_PR
+    NEW met1 ( 288240 266955 ) M1M2_PR
     NEW li1 ( 289200 267695 ) L1M1_PR_MR
-    NEW li1 ( 290640 266955 ) L1M1_PR_MR
-    NEW li1 ( 39120 67525 ) L1M1_PR_MR
-    NEW li1 ( 37680 67525 ) L1M1_PR_MR
+    NEW li1 ( 38160 110445 ) L1M1_PR_MR
+    NEW li1 ( 40560 110445 ) L1M1_PR_MR
+    NEW li1 ( 41040 112665 ) L1M1_PR_MR
+    NEW met1 ( 288240 266955 ) RECT ( 0 -70 355 70 )
+    NEW met1 ( 41040 112665 ) RECT ( 0 -70 595 70 )
 + USE SIGNAL ;
-- net437 ( ANTENNA_96 DIODE ) ( ANTENNA_95 DIODE ) ( output437 A ) ( _036_ LO ) 
-  + ROUTED met1 ( 93840 134865 ) ( 94800 134865 )
-    NEW met1 ( 30480 281015 ) ( 31920 281015 )
-    NEW met2 ( 31920 134865 ) ( 31920 281015 )
-    NEW met1 ( 31920 134865 ) ( 93840 134865 )
-    NEW met1 ( 31920 134865 ) M1M2_PR
-    NEW li1 ( 93840 134865 ) L1M1_PR_MR
-    NEW li1 ( 94800 134865 ) L1M1_PR_MR
+- net437 ( ANTENNA_104 DIODE ) ( ANTENNA_103 DIODE ) ( output437 A ) ( _037_ LO ) 
+  + ROUTED met1 ( 119760 106005 ) ( 120240 106005 )
+    NEW met2 ( 119760 106005 ) ( 119760 107485 )
+    NEW met1 ( 120240 106005 ) ( 121200 106005 )
+    NEW met1 ( 30000 281015 ) ( 31920 281015 )
+    NEW met1 ( 30000 107485 ) ( 119760 107485 )
+    NEW met2 ( 30000 107485 ) ( 30000 281015 )
+    NEW li1 ( 120240 106005 ) L1M1_PR_MR
+    NEW met1 ( 119760 106005 ) M1M2_PR
+    NEW met1 ( 119760 107485 ) M1M2_PR
+    NEW li1 ( 121200 106005 ) L1M1_PR_MR
+    NEW li1 ( 30000 281015 ) L1M1_PR_MR
+    NEW met1 ( 30000 281015 ) M1M2_PR
     NEW li1 ( 31920 281015 ) L1M1_PR_MR
-    NEW met1 ( 31920 281015 ) M1M2_PR
-    NEW li1 ( 30480 281015 ) L1M1_PR_MR
-    NEW met1 ( 31920 281015 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 30000 107485 ) M1M2_PR
+    NEW met1 ( 30000 281015 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net438 ( ANTENNA_98 DIODE ) ( ANTENNA_97 DIODE ) ( output438 A ) ( _037_ LO ) 
-  + ROUTED met1 ( 36720 277685 ) ( 38640 277685 )
-    NEW met1 ( 36720 276945 ) ( 36720 277685 )
-    NEW met2 ( 183120 72705 ) ( 183120 74185 )
-    NEW met1 ( 183120 72705 ) ( 184080 72705 )
-    NEW met1 ( 36720 74185 ) ( 183120 74185 )
-    NEW met2 ( 36720 74185 ) ( 36720 276945 )
-    NEW li1 ( 36720 276945 ) L1M1_PR_MR
-    NEW met1 ( 36720 276945 ) M1M2_PR
+- net438 ( ANTENNA_106 DIODE ) ( ANTENNA_105 DIODE ) ( output438 A ) ( _038_ LO ) 
+  + ROUTED met1 ( 136800 113775 ) ( 136800 114145 )
+    NEW met1 ( 136800 114145 ) ( 187200 114145 )
+    NEW met1 ( 187200 113775 ) ( 187200 114145 )
+    NEW met1 ( 266640 113775 ) ( 267600 113775 )
+    NEW met1 ( 187200 113775 ) ( 266640 113775 )
+    NEW met1 ( 37200 277685 ) ( 38640 277685 )
+    NEW met1 ( 37200 276945 ) ( 37200 277685 )
+    NEW met1 ( 37200 113775 ) ( 136800 113775 )
+    NEW met2 ( 37200 113775 ) ( 37200 276945 )
+    NEW li1 ( 266640 113775 ) L1M1_PR_MR
+    NEW li1 ( 267600 113775 ) L1M1_PR_MR
+    NEW li1 ( 37200 276945 ) L1M1_PR_MR
+    NEW met1 ( 37200 276945 ) M1M2_PR
     NEW li1 ( 38640 277685 ) L1M1_PR_MR
-    NEW li1 ( 183120 72705 ) L1M1_PR_MR
-    NEW met1 ( 183120 72705 ) M1M2_PR
-    NEW met1 ( 183120 74185 ) M1M2_PR
-    NEW li1 ( 184080 72705 ) L1M1_PR_MR
-    NEW met1 ( 36720 74185 ) M1M2_PR
-    NEW met1 ( 36720 276945 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 183120 72705 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 37200 113775 ) M1M2_PR
+    NEW met1 ( 37200 276945 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net439 ( ANTENNA_100 DIODE ) ( ANTENNA_99 DIODE ) ( output439 A ) ( AND2X2 Y ) 
+- net439 ( ANTENNA_108 DIODE ) ( ANTENNA_107 DIODE ) ( output439 A ) ( AND2X2 Y ) 
   + ROUTED met1 ( 44880 277685 ) ( 46320 277685 )
     NEW met1 ( 44880 276945 ) ( 44880 277685 )
-    NEW met1 ( 43200 32375 0 ) ( 44880 32375 )
-    NEW met1 ( 38160 32375 ) ( 38640 32375 )
-    NEW li1 ( 38640 32375 ) ( 39600 32375 )
-    NEW li1 ( 39600 32375 ) ( 39600 32745 )
-    NEW li1 ( 39600 32745 ) ( 41040 32745 )
-    NEW li1 ( 41040 32375 ) ( 41040 32745 )
-    NEW li1 ( 41040 32375 ) ( 42480 32375 )
-    NEW li1 ( 42480 32375 ) ( 42480 32745 )
-    NEW met1 ( 42480 32745 ) ( 43200 32745 )
-    NEW met1 ( 43200 32375 0 ) ( 43200 32745 )
-    NEW met2 ( 44880 32375 ) ( 44880 276945 )
+    NEW met1 ( 43200 32375 0 ) ( 45360 32375 )
+    NEW met1 ( 38160 32375 ) ( 39840 32375 )
+    NEW met1 ( 39840 32375 ) ( 39840 32745 )
+    NEW met1 ( 39840 32745 ) ( 41280 32745 )
+    NEW met1 ( 41280 32375 ) ( 41280 32745 )
+    NEW met1 ( 41280 32375 ) ( 43200 32375 0 )
+    NEW met2 ( 44880 165600 ) ( 44880 276945 )
+    NEW met2 ( 44880 165600 ) ( 45360 165600 )
+    NEW met2 ( 45360 32375 ) ( 45360 165600 )
     NEW li1 ( 44880 276945 ) L1M1_PR_MR
     NEW met1 ( 44880 276945 ) M1M2_PR
     NEW li1 ( 46320 277685 ) L1M1_PR_MR
-    NEW met1 ( 44880 32375 ) M1M2_PR
+    NEW met1 ( 45360 32375 ) M1M2_PR
     NEW li1 ( 38160 32375 ) L1M1_PR_MR
-    NEW li1 ( 38640 32375 ) L1M1_PR_MR
-    NEW li1 ( 42480 32745 ) L1M1_PR_MR
     NEW met1 ( 44880 276945 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net440 ( ANTENNA_102 DIODE ) ( ANTENNA_101 DIODE ) ( output440 A ) ( _038_ LO ) 
-  + ROUTED met1 ( 54000 281015 ) ( 55920 281015 )
-    NEW met1 ( 176880 84915 ) ( 177840 84915 )
-    NEW met1 ( 55440 84915 ) ( 176880 84915 )
-    NEW met2 ( 54000 129600 ) ( 54000 281015 )
-    NEW met2 ( 54000 129600 ) ( 55440 129600 )
-    NEW met2 ( 55440 84915 ) ( 55440 129600 )
-    NEW li1 ( 54000 281015 ) L1M1_PR_MR
-    NEW met1 ( 54000 281015 ) M1M2_PR
+- net440 ( ANTENNA_110 DIODE ) ( ANTENNA_109 DIODE ) ( output440 A ) ( _039_ LO ) 
+  + ROUTED met1 ( 191280 87505 ) ( 192240 87505 )
+    NEW met1 ( 55920 281015 ) ( 56880 281015 )
+    NEW met1 ( 54480 281015 ) ( 55920 281015 )
+    NEW met1 ( 56880 87505 ) ( 191280 87505 )
+    NEW met2 ( 56880 87505 ) ( 56880 281015 )
+    NEW li1 ( 191280 87505 ) L1M1_PR_MR
+    NEW li1 ( 192240 87505 ) L1M1_PR_MR
     NEW li1 ( 55920 281015 ) L1M1_PR_MR
-    NEW li1 ( 176880 84915 ) L1M1_PR_MR
-    NEW li1 ( 177840 84915 ) L1M1_PR_MR
-    NEW met1 ( 55440 84915 ) M1M2_PR
-    NEW met1 ( 54000 281015 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 56880 281015 ) M1M2_PR
+    NEW li1 ( 54480 281015 ) L1M1_PR_MR
+    NEW met1 ( 56880 87505 ) M1M2_PR
 + USE SIGNAL ;
-- net441 ( output441 A ) ( _039_ LO ) 
-  + ROUTED met1 ( 63600 191105 ) ( 136800 191105 )
-    NEW met1 ( 136800 191105 ) ( 136800 191475 )
-    NEW met1 ( 136800 191475 ) ( 187200 191475 )
-    NEW met1 ( 187200 191105 ) ( 187200 191475 )
-    NEW met1 ( 187200 191105 ) ( 273360 191105 )
-    NEW met2 ( 63600 191105 ) ( 63600 281015 )
-    NEW met1 ( 63600 191105 ) M1M2_PR
-    NEW li1 ( 273360 191105 ) L1M1_PR_MR
+- net441 ( ANTENNA_112 DIODE ) ( ANTENNA_111 DIODE ) ( output441 A ) ( _040_ LO ) 
+  + ROUTED met1 ( 216240 127095 ) ( 217200 127095 )
+    NEW met1 ( 61680 281015 ) ( 63600 281015 )
+    NEW met2 ( 61680 127095 ) ( 61680 281015 )
+    NEW met1 ( 61680 127095 ) ( 216240 127095 )
+    NEW li1 ( 216240 127095 ) L1M1_PR_MR
+    NEW li1 ( 217200 127095 ) L1M1_PR_MR
+    NEW li1 ( 61680 281015 ) L1M1_PR_MR
+    NEW met1 ( 61680 281015 ) M1M2_PR
     NEW li1 ( 63600 281015 ) L1M1_PR_MR
-    NEW met1 ( 63600 281015 ) M1M2_PR
-    NEW met1 ( 63600 281015 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 61680 127095 ) M1M2_PR
+    NEW met1 ( 61680 281015 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net442 ( output442 A ) ( _040_ LO ) 
-  + ROUTED met1 ( 86400 211085 ) ( 86400 211455 )
-    NEW met1 ( 70800 211455 ) ( 86400 211455 )
-    NEW met1 ( 86400 211085 ) ( 241200 211085 )
-    NEW met1 ( 70320 277685 ) ( 70800 277685 )
-    NEW met2 ( 70800 211455 ) ( 70800 277685 )
-    NEW met1 ( 70800 211455 ) M1M2_PR
-    NEW li1 ( 241200 211085 ) L1M1_PR_MR
-    NEW met1 ( 70800 277685 ) M1M2_PR
+- net442 ( ANTENNA_114 DIODE ) ( ANTENNA_113 DIODE ) ( output442 A ) ( _041_ LO ) 
+  + ROUTED met1 ( 68400 277685 ) ( 70320 277685 )
+    NEW met1 ( 68400 276945 ) ( 68400 277685 )
+    NEW met2 ( 68400 74185 ) ( 68400 276945 )
+    NEW met1 ( 153840 72705 ) ( 154320 72705 )
+    NEW met2 ( 153840 72705 ) ( 153840 74185 )
+    NEW met1 ( 154320 72705 ) ( 155280 72705 )
+    NEW met1 ( 68400 74185 ) ( 153840 74185 )
+    NEW met1 ( 68400 74185 ) M1M2_PR
+    NEW li1 ( 68400 276945 ) L1M1_PR_MR
+    NEW met1 ( 68400 276945 ) M1M2_PR
     NEW li1 ( 70320 277685 ) L1M1_PR_MR
+    NEW li1 ( 154320 72705 ) L1M1_PR_MR
+    NEW met1 ( 153840 72705 ) M1M2_PR
+    NEW met1 ( 153840 74185 ) M1M2_PR
+    NEW li1 ( 155280 72705 ) L1M1_PR_MR
+    NEW met1 ( 68400 276945 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net443 ( ANTENNA_104 DIODE ) ( ANTENNA_103 DIODE ) ( output443 A ) ( AOI21X1 Y ) 
-  + ROUTED met1 ( 68880 281385 ) ( 77520 281385 )
+- net443 ( ANTENNA_116 DIODE ) ( ANTENNA_115 DIODE ) ( output443 A ) ( AOI21X1 Y ) 
+  + ROUTED met1 ( 39120 281385 ) ( 77520 281385 )
     NEW met1 ( 77520 281385 ) ( 78960 281385 )
-    NEW met1 ( 42960 65305 ) ( 68880 65305 )
+    NEW met2 ( 38640 64800 ) ( 39120 64800 )
+    NEW met2 ( 39120 165600 ) ( 39120 281385 )
+    NEW met2 ( 38640 165600 ) ( 39120 165600 )
+    NEW met2 ( 38640 64800 ) ( 38640 165600 )
     NEW met1 ( 38160 39035 ) ( 39120 39035 0 )
-    NEW met2 ( 39120 39035 ) ( 39120 40515 )
-    NEW met1 ( 39120 40515 ) ( 42960 40515 )
-    NEW met2 ( 42960 40515 ) ( 42960 65305 )
-    NEW met2 ( 68880 65305 ) ( 68880 281385 )
-    NEW met1 ( 68880 65305 ) M1M2_PR
+    NEW met2 ( 39120 39035 ) ( 39120 64800 )
     NEW li1 ( 77520 281385 ) L1M1_PR_MR
-    NEW met1 ( 68880 281385 ) M1M2_PR
+    NEW met1 ( 39120 281385 ) M1M2_PR
     NEW li1 ( 78960 281385 ) L1M1_PR_MR
-    NEW met1 ( 42960 65305 ) M1M2_PR
-    NEW li1 ( 38160 39035 ) L1M1_PR_MR
     NEW met1 ( 39120 39035 ) M1M2_PR
-    NEW met1 ( 39120 40515 ) M1M2_PR
-    NEW met1 ( 42960 40515 ) M1M2_PR
-    NEW met2 ( 42960 40515 ) RECT ( -70 -485 70 0 )
+    NEW li1 ( 38160 39035 ) L1M1_PR_MR
 + USE SIGNAL ;
 - net444 ( output444 A ) ( _059_ LO ) 
-  + ROUTED met2 ( 122640 48285 ) ( 122640 58645 )
-    NEW met2 ( 69840 32005 ) ( 69840 48285 )
-    NEW met1 ( 69840 48285 ) ( 122640 48285 )
-    NEW met2 ( 155280 58645 ) ( 155280 60495 )
-    NEW met1 ( 122640 58645 ) ( 155280 58645 )
-    NEW met1 ( 122640 48285 ) M1M2_PR
-    NEW met1 ( 122640 58645 ) M1M2_PR
+  + ROUTED met2 ( 69840 32005 ) ( 69840 50875 )
+    NEW met1 ( 69840 50875 ) ( 95280 50875 )
+    NEW met2 ( 95280 50875 ) ( 95280 147075 )
+    NEW li1 ( 95280 147075 ) L1M1_PR_MR
+    NEW met1 ( 95280 147075 ) M1M2_PR
     NEW li1 ( 69840 32005 ) L1M1_PR_MR
     NEW met1 ( 69840 32005 ) M1M2_PR
-    NEW met1 ( 69840 48285 ) M1M2_PR
-    NEW met1 ( 155280 58645 ) M1M2_PR
-    NEW li1 ( 155280 60495 ) L1M1_PR_MR
-    NEW met1 ( 155280 60495 ) M1M2_PR
+    NEW met1 ( 69840 50875 ) M1M2_PR
+    NEW met1 ( 95280 50875 ) M1M2_PR
+    NEW met1 ( 95280 147075 ) RECT ( -355 -70 0 70 )
     NEW met1 ( 69840 32005 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 155280 60495 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net445 ( ANTENNA_105 DIODE ) ( output445 A ) ( _158_ LO ) 
-  + ROUTED met2 ( 102000 59015 ) ( 102000 60495 )
-    NEW met1 ( 253200 32005 ) ( 254160 32005 )
-    NEW met1 ( 102000 59015 ) ( 254160 59015 )
-    NEW met2 ( 254160 32005 ) ( 254160 59015 )
-    NEW met1 ( 102000 59015 ) M1M2_PR
-    NEW li1 ( 102000 60495 ) L1M1_PR_MR
-    NEW met1 ( 102000 60495 ) M1M2_PR
+- net445 ( ANTENNA_118 DIODE ) ( ANTENNA_117 DIODE ) ( output445 A ) ( _154_ LO ) 
+  + ROUTED met2 ( 91440 212195 ) ( 91440 213675 )
+    NEW met1 ( 90000 213675 ) ( 91440 213675 )
+    NEW met1 ( 248880 32745 ) ( 252720 32745 )
+    NEW met1 ( 254160 32005 ) ( 254160 32745 )
+    NEW met1 ( 252720 32745 ) ( 254160 32745 )
+    NEW met1 ( 91440 212195 ) ( 248880 212195 )
+    NEW met2 ( 248880 32745 ) ( 248880 212195 )
+    NEW li1 ( 91440 213675 ) L1M1_PR_MR
+    NEW met1 ( 91440 213675 ) M1M2_PR
+    NEW met1 ( 91440 212195 ) M1M2_PR
+    NEW li1 ( 90000 213675 ) L1M1_PR_MR
+    NEW li1 ( 252720 32745 ) L1M1_PR_MR
+    NEW met1 ( 248880 32745 ) M1M2_PR
     NEW li1 ( 254160 32005 ) L1M1_PR_MR
-    NEW met1 ( 254160 32005 ) M1M2_PR
-    NEW li1 ( 253200 32005 ) L1M1_PR_MR
-    NEW met1 ( 254160 59015 ) M1M2_PR
-    NEW met1 ( 102000 60495 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 254160 32005 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 248880 212195 ) M1M2_PR
+    NEW met1 ( 91440 213675 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net446 ( output446 A ) ( _159_ LO ) 
-  + ROUTED met1 ( 250800 35335 ) ( 251760 35335 )
-    NEW met2 ( 137040 159285 ) ( 137040 160395 )
-    NEW met1 ( 137040 159285 ) ( 250800 159285 )
-    NEW met2 ( 250800 35335 ) ( 250800 159285 )
-    NEW li1 ( 251760 35335 ) L1M1_PR_MR
-    NEW met1 ( 250800 35335 ) M1M2_PR
-    NEW met1 ( 137040 159285 ) M1M2_PR
-    NEW li1 ( 137040 160395 ) L1M1_PR_MR
-    NEW met1 ( 137040 160395 ) M1M2_PR
-    NEW met1 ( 250800 159285 ) M1M2_PR
-    NEW met1 ( 137040 160395 ) RECT ( 0 -70 355 70 )
+- net446 ( ANTENNA_120 DIODE ) ( ANTENNA_119 DIODE ) ( output446 A ) ( _155_ LO ) 
+  + ROUTED met1 ( 16560 191105 ) ( 18000 191105 )
+    NEW met1 ( 250320 35335 ) ( 251280 35335 )
+    NEW met1 ( 18000 191105 ) ( 251280 191105 )
+    NEW met2 ( 251280 35335 ) ( 251280 191105 )
+    NEW li1 ( 18000 191105 ) L1M1_PR_MR
+    NEW li1 ( 16560 191105 ) L1M1_PR_MR
+    NEW li1 ( 251280 35335 ) L1M1_PR_MR
+    NEW met1 ( 251280 35335 ) M1M2_PR
+    NEW li1 ( 250320 35335 ) L1M1_PR_MR
+    NEW met1 ( 251280 191105 ) M1M2_PR
+    NEW met1 ( 251280 35335 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net447 ( ANTENNA_107 DIODE ) ( ANTENNA_106 DIODE ) ( output447 A ) ( _160_ LO ) 
-  + ROUTED met1 ( 21840 151515 ) ( 23280 151515 )
-    NEW met1 ( 257040 32005 ) ( 258000 32005 )
-    NEW met1 ( 23280 151515 ) ( 258000 151515 )
-    NEW met2 ( 258000 32005 ) ( 258000 151515 )
-    NEW li1 ( 23280 151515 ) L1M1_PR_MR
-    NEW li1 ( 21840 151515 ) L1M1_PR_MR
-    NEW li1 ( 258000 32005 ) L1M1_PR_MR
-    NEW met1 ( 258000 32005 ) M1M2_PR
-    NEW li1 ( 257040 32005 ) L1M1_PR_MR
-    NEW met1 ( 258000 151515 ) M1M2_PR
-    NEW met1 ( 258000 32005 ) RECT ( -355 -70 0 70 )
+- net447 ( output447 A ) ( _156_ LO ) 
+  + ROUTED met1 ( 250320 31635 ) ( 258480 31635 )
+    NEW met1 ( 98160 124505 ) ( 250320 124505 )
+    NEW met2 ( 250320 31635 ) ( 250320 124505 )
+    NEW li1 ( 98160 124505 ) L1M1_PR_MR
+    NEW li1 ( 258480 31635 ) L1M1_PR_MR
+    NEW met1 ( 250320 31635 ) M1M2_PR
+    NEW met1 ( 250320 124505 ) M1M2_PR
 + USE SIGNAL ;
-- net448 ( output448 A ) ( _161_ LO ) 
-  + ROUTED met2 ( 275760 21275 ) ( 275760 31265 )
-    NEW met1 ( 262320 31265 ) ( 275760 31265 )
-    NEW li1 ( 275760 21275 ) L1M1_PR_MR
-    NEW met1 ( 275760 21275 ) M1M2_PR
-    NEW met1 ( 275760 31265 ) M1M2_PR
-    NEW li1 ( 262320 31265 ) L1M1_PR_MR
-    NEW met1 ( 275760 21275 ) RECT ( -355 -70 0 70 )
+- net448 ( output448 A ) ( _157_ LO ) 
+  + ROUTED met1 ( 261360 32005 ) ( 262320 32005 )
+    NEW met1 ( 177840 44215 ) ( 177840 44585 )
+    NEW met1 ( 177840 44215 ) ( 261360 44215 )
+    NEW met2 ( 261360 32005 ) ( 261360 44215 )
+    NEW li1 ( 262320 32005 ) L1M1_PR_MR
+    NEW met1 ( 261360 32005 ) M1M2_PR
+    NEW li1 ( 177840 44585 ) L1M1_PR_MR
+    NEW met1 ( 261360 44215 ) M1M2_PR
 + USE SIGNAL ;
-- net449 ( output449 A ) ( _162_ LO ) 
-  + ROUTED met1 ( 260400 35335 ) ( 260880 35335 )
-    NEW met1 ( 194640 151885 ) ( 260880 151885 )
-    NEW met2 ( 260880 35335 ) ( 260880 151885 )
-    NEW li1 ( 194640 151885 ) L1M1_PR_MR
-    NEW li1 ( 260400 35335 ) L1M1_PR_MR
-    NEW met1 ( 260880 35335 ) M1M2_PR
-    NEW met1 ( 260880 151885 ) M1M2_PR
+- net449 ( ANTENNA_122 DIODE ) ( ANTENNA_121 DIODE ) ( output449 A ) ( _158_ LO ) 
+  + ROUTED met2 ( 176880 209235 ) ( 176880 211085 )
+    NEW met1 ( 175440 211085 ) ( 176880 211085 )
+    NEW met1 ( 258960 35335 ) ( 259920 35335 )
+    NEW met1 ( 258960 35335 ) ( 258960 35705 )
+    NEW met1 ( 176880 209235 ) ( 258480 209235 )
+    NEW met2 ( 258480 61050 ) ( 258960 61050 )
+    NEW met2 ( 258960 35705 ) ( 258960 61050 )
+    NEW met2 ( 258480 61050 ) ( 258480 209235 )
+    NEW li1 ( 176880 211085 ) L1M1_PR_MR
+    NEW met1 ( 176880 211085 ) M1M2_PR
+    NEW met1 ( 176880 209235 ) M1M2_PR
+    NEW li1 ( 175440 211085 ) L1M1_PR_MR
+    NEW li1 ( 258960 35705 ) L1M1_PR_MR
+    NEW met1 ( 258960 35705 ) M1M2_PR
+    NEW li1 ( 259920 35335 ) L1M1_PR_MR
+    NEW met1 ( 258480 209235 ) M1M2_PR
+    NEW met1 ( 176880 211085 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 258960 35705 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net450 ( ANTENNA_109 DIODE ) ( ANTENNA_108 DIODE ) ( output450 A ) ( _163_ LO ) 
-  + ROUTED met1 ( 106800 177785 ) ( 108720 177785 )
-    NEW met2 ( 108720 169275 ) ( 108720 177785 )
-    NEW met1 ( 105360 177785 ) ( 106800 177785 )
-    NEW met1 ( 258960 38665 ) ( 258960 39405 )
-    NEW met1 ( 257520 39405 ) ( 258960 39405 )
-    NEW met1 ( 108720 169275 ) ( 257520 169275 )
-    NEW met2 ( 257520 39405 ) ( 257520 169275 )
-    NEW li1 ( 106800 177785 ) L1M1_PR_MR
-    NEW met1 ( 108720 177785 ) M1M2_PR
-    NEW met1 ( 108720 169275 ) M1M2_PR
-    NEW li1 ( 105360 177785 ) L1M1_PR_MR
-    NEW li1 ( 257520 39405 ) L1M1_PR_MR
-    NEW met1 ( 257520 39405 ) M1M2_PR
-    NEW li1 ( 258960 38665 ) L1M1_PR_MR
-    NEW met1 ( 257520 169275 ) M1M2_PR
-    NEW met1 ( 257520 39405 ) RECT ( -355 -70 0 70 )
+- net450 ( output450 A ) ( _159_ LO ) 
+  + ROUTED met1 ( 249360 38665 ) ( 259440 38665 )
+    NEW met1 ( 148560 144485 ) ( 249360 144485 )
+    NEW met2 ( 249360 38665 ) ( 249360 144485 )
+    NEW li1 ( 148560 144485 ) L1M1_PR_MR
+    NEW li1 ( 259440 38665 ) L1M1_PR_MR
+    NEW met1 ( 249360 38665 ) M1M2_PR
+    NEW met1 ( 249360 144485 ) M1M2_PR
 + USE SIGNAL ;
-- net451 ( output451 A ) ( _164_ LO ) 
-  + ROUTED met1 ( 194160 114145 ) ( 255600 114145 )
-    NEW met1 ( 255600 61975 ) ( 264240 61975 )
-    NEW met2 ( 255600 61975 ) ( 255600 114145 )
-    NEW met2 ( 264240 35335 ) ( 264240 61975 )
-    NEW li1 ( 194160 114145 ) L1M1_PR_MR
-    NEW li1 ( 264240 35335 ) L1M1_PR_MR
-    NEW met1 ( 264240 35335 ) M1M2_PR
-    NEW met1 ( 255600 114145 ) M1M2_PR
-    NEW met1 ( 255600 61975 ) M1M2_PR
-    NEW met1 ( 264240 61975 ) M1M2_PR
-    NEW met1 ( 264240 35335 ) RECT ( -355 -70 0 70 )
+- net451 ( output451 A ) ( _160_ LO ) 
+  + ROUTED met1 ( 271920 28675 ) ( 277200 28675 )
+    NEW met2 ( 271920 28675 ) ( 271920 34595 )
+    NEW met1 ( 264240 34595 ) ( 271920 34595 )
+    NEW li1 ( 277200 28675 ) L1M1_PR_MR
+    NEW met1 ( 271920 28675 ) M1M2_PR
+    NEW met1 ( 271920 34595 ) M1M2_PR
+    NEW li1 ( 264240 34595 ) L1M1_PR_MR
 + USE SIGNAL ;
-- net452 ( output452 A ) ( _165_ LO ) 
-  + ROUTED met1 ( 196560 58275 ) ( 196560 58645 )
-    NEW met1 ( 169680 58645 ) ( 196560 58645 )
-    NEW met2 ( 263280 38665 ) ( 263280 58275 )
-    NEW met1 ( 196560 58275 ) ( 263280 58275 )
-    NEW li1 ( 169680 58645 ) L1M1_PR_MR
-    NEW li1 ( 263280 38665 ) L1M1_PR_MR
-    NEW met1 ( 263280 38665 ) M1M2_PR
-    NEW met1 ( 263280 58275 ) M1M2_PR
-    NEW met1 ( 263280 38665 ) RECT ( -355 -70 0 70 )
+- net452 ( output452 A ) ( _161_ LO ) 
+  + ROUTED met2 ( 138000 11655 ) ( 138000 14615 )
+    NEW met1 ( 138000 11655 ) ( 264240 11655 )
+    NEW met1 ( 263280 37925 ) ( 264240 37925 )
+    NEW met2 ( 264240 11655 ) ( 264240 37925 )
+    NEW met1 ( 138000 11655 ) M1M2_PR
+    NEW li1 ( 138000 14615 ) L1M1_PR_MR
+    NEW met1 ( 138000 14615 ) M1M2_PR
+    NEW met1 ( 264240 11655 ) M1M2_PR
+    NEW met1 ( 264240 37925 ) M1M2_PR
+    NEW li1 ( 263280 37925 ) L1M1_PR_MR
+    NEW met1 ( 138000 14615 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net453 ( output453 A ) ( _166_ LO ) 
-  + ROUTED met1 ( 141360 170755 ) ( 141360 171125 )
-    NEW met1 ( 141360 170755 ) ( 267120 170755 )
-    NEW met2 ( 267120 38665 ) ( 267120 170755 )
-    NEW li1 ( 141360 171125 ) L1M1_PR_MR
+- net453 ( output453 A ) ( _162_ LO ) 
+  + ROUTED met1 ( 267120 91205 ) ( 289200 91205 )
+    NEW met2 ( 267120 38665 ) ( 267120 91205 )
+    NEW li1 ( 289200 91205 ) L1M1_PR_MR
+    NEW met1 ( 267120 91205 ) M1M2_PR
     NEW li1 ( 267120 38665 ) L1M1_PR_MR
     NEW met1 ( 267120 38665 ) M1M2_PR
-    NEW met1 ( 267120 170755 ) M1M2_PR
     NEW met1 ( 267120 38665 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net454 ( output454 A ) ( _167_ LO ) 
-  + ROUTED met1 ( 29520 160395 ) ( 36000 160395 )
-    NEW met1 ( 36000 160395 ) ( 36000 161135 )
-    NEW li1 ( 162960 160395 ) ( 162960 161135 )
-    NEW met1 ( 36000 161135 ) ( 162960 161135 )
-    NEW met1 ( 162960 160395 ) ( 266640 160395 )
-    NEW met2 ( 266640 41995 ) ( 266640 160395 )
-    NEW li1 ( 29520 160395 ) L1M1_PR_MR
-    NEW li1 ( 162960 161135 ) L1M1_PR_MR
-    NEW li1 ( 162960 160395 ) L1M1_PR_MR
-    NEW li1 ( 266640 41995 ) L1M1_PR_MR
-    NEW met1 ( 266640 41995 ) M1M2_PR
-    NEW met1 ( 266640 160395 ) M1M2_PR
-    NEW met1 ( 266640 41995 ) RECT ( -355 -70 0 70 )
+- net454 ( ANTENNA_123 DIODE ) ( output454 A ) ( _163_ LO ) 
+  + ROUTED met1 ( 242160 42365 ) ( 264720 42365 )
+    NEW met1 ( 266160 41995 ) ( 266160 42365 )
+    NEW met1 ( 264720 42365 ) ( 266160 42365 )
+    NEW met2 ( 242160 42365 ) ( 242160 115255 )
+    NEW met2 ( 43920 115255 ) ( 43920 120435 )
+    NEW met1 ( 22800 120435 ) ( 43920 120435 )
+    NEW met1 ( 43920 115255 ) ( 242160 115255 )
+    NEW met1 ( 242160 115255 ) M1M2_PR
+    NEW li1 ( 264720 42365 ) L1M1_PR_MR
+    NEW met1 ( 242160 42365 ) M1M2_PR
+    NEW li1 ( 266160 41995 ) L1M1_PR_MR
+    NEW met1 ( 43920 115255 ) M1M2_PR
+    NEW met1 ( 43920 120435 ) M1M2_PR
+    NEW li1 ( 22800 120435 ) L1M1_PR_MR
 + USE SIGNAL ;
-- net455 ( ANTENNA_111 DIODE ) ( ANTENNA_110 DIODE ) ( output455 A ) ( _068_ LO ) 
-  + ROUTED met1 ( 87120 32005 ) ( 88560 32005 )
-    NEW met2 ( 88560 32005 ) ( 88560 47545 )
-    NEW met1 ( 88560 47545 ) ( 162960 47545 )
-    NEW met2 ( 162960 47545 ) ( 162960 177785 )
-    NEW met1 ( 263280 177785 ) ( 264240 177785 )
-    NEW met1 ( 162960 177785 ) ( 263280 177785 )
+- net455 ( ANTENNA_125 DIODE ) ( ANTENNA_124 DIODE ) ( output455 A ) ( _066_ LO ) 
+  + ROUTED met2 ( 258480 212565 ) ( 258480 213675 )
+    NEW met1 ( 258480 213675 ) ( 259440 213675 )
+    NEW met1 ( 88560 212565 ) ( 258480 212565 )
+    NEW met1 ( 87120 32005 ) ( 88560 32005 )
+    NEW met2 ( 88560 32005 ) ( 88560 212565 )
+    NEW met1 ( 88560 212565 ) M1M2_PR
+    NEW li1 ( 258480 213675 ) L1M1_PR_MR
+    NEW met1 ( 258480 213675 ) M1M2_PR
+    NEW met1 ( 258480 212565 ) M1M2_PR
+    NEW li1 ( 259440 213675 ) L1M1_PR_MR
     NEW li1 ( 88560 32005 ) L1M1_PR_MR
     NEW met1 ( 88560 32005 ) M1M2_PR
     NEW li1 ( 87120 32005 ) L1M1_PR_MR
-    NEW met1 ( 88560 47545 ) M1M2_PR
-    NEW met1 ( 162960 47545 ) M1M2_PR
-    NEW met1 ( 162960 177785 ) M1M2_PR
-    NEW li1 ( 263280 177785 ) L1M1_PR_MR
-    NEW li1 ( 264240 177785 ) L1M1_PR_MR
+    NEW met1 ( 258480 213675 ) RECT ( -355 -70 0 70 )
     NEW met1 ( 88560 32005 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net456 ( output456 A ) ( _168_ LO ) 
-  + ROUTED met1 ( 258960 14245 ) ( 264240 14245 )
-    NEW met2 ( 264240 14245 ) ( 264240 15170 )
-    NEW met2 ( 264240 15170 ) ( 264720 15170 )
-    NEW met1 ( 264720 41625 ) ( 270480 41625 )
-    NEW met2 ( 264720 15170 ) ( 264720 41625 )
-    NEW li1 ( 258960 14245 ) L1M1_PR_MR
-    NEW met1 ( 264240 14245 ) M1M2_PR
-    NEW met1 ( 264720 41625 ) M1M2_PR
-    NEW li1 ( 270480 41625 ) L1M1_PR_MR
+- net456 ( ANTENNA_127 DIODE ) ( ANTENNA_126 DIODE ) ( output456 A ) ( _164_ LO ) 
+  + ROUTED met1 ( 269040 41995 ) ( 270480 41995 )
+    NEW met2 ( 270000 187200 ) ( 270480 187200 )
+    NEW met2 ( 270480 41995 ) ( 270480 187200 )
+    NEW met1 ( 270000 270285 ) ( 276720 270285 )
+    NEW met1 ( 276720 271025 ) ( 277680 271025 )
+    NEW met1 ( 276720 270285 ) ( 276720 271025 )
+    NEW met2 ( 270000 187200 ) ( 270000 270285 )
+    NEW li1 ( 270480 41995 ) L1M1_PR_MR
+    NEW met1 ( 270480 41995 ) M1M2_PR
+    NEW li1 ( 269040 41995 ) L1M1_PR_MR
+    NEW li1 ( 276720 270285 ) L1M1_PR_MR
+    NEW met1 ( 270000 270285 ) M1M2_PR
+    NEW li1 ( 277680 271025 ) L1M1_PR_MR
+    NEW met1 ( 270480 41995 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net457 ( output457 A ) ( _169_ LO ) 
-  + ROUTED met1 ( 184560 45325 ) ( 184560 45695 )
-    NEW met1 ( 154800 45695 ) ( 184560 45695 )
-    NEW met2 ( 154800 45695 ) ( 154800 113775 )
-    NEW met1 ( 184560 45325 ) ( 273360 45325 )
-    NEW li1 ( 154800 113775 ) L1M1_PR_MR
-    NEW met1 ( 154800 113775 ) M1M2_PR
-    NEW met1 ( 154800 45695 ) M1M2_PR
-    NEW li1 ( 273360 45325 ) L1M1_PR_MR
-    NEW met1 ( 154800 113775 ) RECT ( -355 -70 0 70 )
+- net457 ( ANTENNA_128 DIODE ) ( output457 A ) ( _165_ LO ) 
+  + ROUTED met1 ( 237600 44955 ) ( 271440 44955 )
+    NEW met1 ( 237600 44955 ) ( 237600 45325 )
+    NEW met1 ( 271440 44955 ) ( 272880 44955 )
+    NEW met1 ( 115200 45325 ) ( 237600 45325 )
+    NEW met1 ( 115200 45325 ) ( 115200 45695 )
+    NEW met2 ( 55920 45695 ) ( 55920 111185 )
+    NEW met1 ( 55920 45695 ) ( 115200 45695 )
+    NEW li1 ( 271440 44955 ) L1M1_PR_MR
+    NEW li1 ( 272880 44955 ) L1M1_PR_MR
+    NEW li1 ( 55920 111185 ) L1M1_PR_MR
+    NEW met1 ( 55920 111185 ) M1M2_PR
+    NEW met1 ( 55920 45695 ) M1M2_PR
+    NEW met1 ( 55920 111185 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net458 ( output458 A ) ( _170_ LO ) 
-  + ROUTED met2 ( 272400 48655 ) ( 272400 73815 )
-    NEW met1 ( 263280 73815 ) ( 272400 73815 )
-    NEW li1 ( 272400 48655 ) L1M1_PR_MR
-    NEW met1 ( 272400 48655 ) M1M2_PR
-    NEW met1 ( 272400 73815 ) M1M2_PR
-    NEW li1 ( 263280 73815 ) L1M1_PR_MR
-    NEW met1 ( 272400 48655 ) RECT ( -355 -70 0 70 )
+- net458 ( ANTENNA_131 DIODE ) ( ANTENNA_130 DIODE ) ( ANTENNA_129 DIODE ) ( output458 A ) 
+( _166_ LO ) 
+  + ROUTED met1 ( 121200 274725 ) ( 122640 274725 )
+    NEW met1 ( 270960 48655 ) ( 271920 48655 )
+    NEW met1 ( 271920 48655 ) ( 273360 48655 )
+    NEW met1 ( 122640 274725 ) ( 271920 274725 )
+    NEW met2 ( 271920 48655 ) ( 271920 274725 )
+    NEW li1 ( 122640 274725 ) L1M1_PR_MR
+    NEW li1 ( 121200 274725 ) L1M1_PR_MR
+    NEW li1 ( 271920 48655 ) L1M1_PR_MR
+    NEW met1 ( 271920 48655 ) M1M2_PR
+    NEW li1 ( 270960 48655 ) L1M1_PR_MR
+    NEW li1 ( 273360 48655 ) L1M1_PR_MR
+    NEW met1 ( 271920 274725 ) M1M2_PR
+    NEW met1 ( 271920 48655 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net459 ( output459 A ) ( _171_ LO ) 
-  + ROUTED met2 ( 175440 41995 ) ( 175440 44215 )
-    NEW met1 ( 277200 44215 ) ( 277200 44585 )
-    NEW met1 ( 175440 44215 ) ( 277200 44215 )
-    NEW li1 ( 175440 41995 ) L1M1_PR_MR
-    NEW met1 ( 175440 41995 ) M1M2_PR
-    NEW met1 ( 175440 44215 ) M1M2_PR
-    NEW li1 ( 277200 44585 ) L1M1_PR_MR
-    NEW met1 ( 175440 41995 ) RECT ( -355 -70 0 70 )
+- net459 ( ANTENNA_132 DIODE ) ( output459 A ) ( _167_ LO ) 
+  + ROUTED met1 ( 136800 44585 ) ( 136800 44955 )
+    NEW met1 ( 136800 44955 ) ( 187200 44955 )
+    NEW met1 ( 187200 44585 ) ( 187200 44955 )
+    NEW met1 ( 275280 44585 ) ( 276720 44585 )
+    NEW met1 ( 187200 44585 ) ( 275280 44585 )
+    NEW met2 ( 82800 44585 ) ( 82800 153735 )
+    NEW met1 ( 82800 44585 ) ( 136800 44585 )
+    NEW met1 ( 49200 153735 ) ( 82800 153735 )
+    NEW met1 ( 82800 153735 ) M1M2_PR
+    NEW li1 ( 275280 44585 ) L1M1_PR_MR
+    NEW li1 ( 276720 44585 ) L1M1_PR_MR
+    NEW met1 ( 82800 44585 ) M1M2_PR
+    NEW li1 ( 49200 153735 ) L1M1_PR_MR
 + USE SIGNAL ;
-- net460 ( ANTENNA_113 DIODE ) ( ANTENNA_112 DIODE ) ( output460 A ) ( _172_ LO ) 
-  + ROUTED met1 ( 185520 218115 ) ( 186960 218115 )
-    NEW met1 ( 186960 218115 ) ( 270480 218115 )
-    NEW met1 ( 270480 49395 ) ( 274320 49395 )
-    NEW met1 ( 274800 48655 ) ( 275760 48655 )
-    NEW met1 ( 274800 48655 ) ( 274800 49395 )
-    NEW met1 ( 274320 49395 ) ( 274800 49395 )
-    NEW met2 ( 270480 49395 ) ( 270480 218115 )
-    NEW li1 ( 186960 218115 ) L1M1_PR_MR
-    NEW li1 ( 185520 218115 ) L1M1_PR_MR
-    NEW met1 ( 270480 218115 ) M1M2_PR
-    NEW li1 ( 274320 49395 ) L1M1_PR_MR
-    NEW met1 ( 270480 49395 ) M1M2_PR
-    NEW li1 ( 275760 48655 ) L1M1_PR_MR
+- net460 ( output460 A ) ( _168_ LO ) 
+  + ROUTED met2 ( 276240 48655 ) ( 276240 57905 )
+    NEW met1 ( 257520 57905 ) ( 276240 57905 )
+    NEW li1 ( 276240 48655 ) L1M1_PR_MR
+    NEW met1 ( 276240 48655 ) M1M2_PR
+    NEW met1 ( 276240 57905 ) M1M2_PR
+    NEW li1 ( 257520 57905 ) L1M1_PR_MR
+    NEW met1 ( 276240 48655 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net461 ( output461 A ) ( _173_ LO ) 
-  + ROUTED met1 ( 248400 48285 ) ( 280080 48285 )
-    NEW met2 ( 248400 48285 ) ( 248400 100455 )
-    NEW li1 ( 248400 100455 ) L1M1_PR_MR
-    NEW met1 ( 248400 100455 ) M1M2_PR
-    NEW li1 ( 280080 48285 ) L1M1_PR_MR
-    NEW met1 ( 248400 48285 ) M1M2_PR
-    NEW met1 ( 248400 100455 ) RECT ( -355 -70 0 70 )
+- net461 ( ANTENNA_135 DIODE ) ( ANTENNA_134 DIODE ) ( ANTENNA_133 DIODE ) ( output461 A ) 
+( _169_ LO ) 
+  + ROUTED met1 ( 34320 234025 ) ( 35760 234025 )
+    NEW met1 ( 86400 233655 ) ( 86400 234025 )
+    NEW met1 ( 35760 234025 ) ( 86400 234025 )
+    NEW met1 ( 86400 233655 ) ( 136800 233655 )
+    NEW met1 ( 136800 233655 ) ( 136800 234025 )
+    NEW met1 ( 136800 234025 ) ( 187200 234025 )
+    NEW met1 ( 187200 233655 ) ( 187200 234025 )
+    NEW met1 ( 187200 233655 ) ( 278160 233655 )
+    NEW met1 ( 278160 48655 ) ( 279600 48655 )
+    NEW met1 ( 278160 48655 ) ( 278160 49395 )
+    NEW met1 ( 279600 48655 ) ( 281040 48655 )
+    NEW met2 ( 278160 49395 ) ( 278160 233655 )
+    NEW li1 ( 35760 234025 ) L1M1_PR_MR
+    NEW li1 ( 34320 234025 ) L1M1_PR_MR
+    NEW met1 ( 278160 233655 ) M1M2_PR
+    NEW li1 ( 278160 49395 ) L1M1_PR_MR
+    NEW met1 ( 278160 49395 ) M1M2_PR
+    NEW li1 ( 279600 48655 ) L1M1_PR_MR
+    NEW li1 ( 281040 48655 ) L1M1_PR_MR
+    NEW met1 ( 278160 49395 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net462 ( ANTENNA_116 DIODE ) ( ANTENNA_115 DIODE ) ( ANTENNA_114 DIODE ) ( output462 A ) 
-( _174_ LO ) 
-  + ROUTED met1 ( 112080 273615 ) ( 114000 273615 )
-    NEW met2 ( 112080 51615 ) ( 112080 273615 )
-    NEW met1 ( 277680 51615 ) ( 279120 51615 )
-    NEW met1 ( 279120 51615 ) ( 280560 51615 )
-    NEW met1 ( 112080 51615 ) ( 277680 51615 )
-    NEW met1 ( 112080 51615 ) M1M2_PR
-    NEW li1 ( 112080 273615 ) L1M1_PR_MR
-    NEW met1 ( 112080 273615 ) M1M2_PR
-    NEW li1 ( 114000 273615 ) L1M1_PR_MR
-    NEW li1 ( 277680 51615 ) L1M1_PR_MR
-    NEW li1 ( 279120 51615 ) L1M1_PR_MR
-    NEW li1 ( 280560 51615 ) L1M1_PR_MR
-    NEW met1 ( 112080 273615 ) RECT ( -355 -70 0 70 )
+- net462 ( output462 A ) ( _170_ LO ) 
+  + ROUTED met2 ( 279600 51985 ) ( 279600 73815 )
+    NEW met1 ( 279600 73815 ) ( 286800 73815 )
+    NEW li1 ( 279600 51985 ) L1M1_PR_MR
+    NEW met1 ( 279600 51985 ) M1M2_PR
+    NEW met1 ( 279600 73815 ) M1M2_PR
+    NEW li1 ( 286800 73815 ) L1M1_PR_MR
+    NEW met1 ( 279600 51985 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net463 ( output463 A ) ( _175_ LO ) 
-  + ROUTED met2 ( 243600 45695 ) ( 243600 51245 )
-    NEW met1 ( 243600 51245 ) ( 283440 51245 )
-    NEW met1 ( 187440 45695 ) ( 243600 45695 )
-    NEW li1 ( 187440 45695 ) L1M1_PR_MR
-    NEW met1 ( 243600 45695 ) M1M2_PR
-    NEW met1 ( 243600 51245 ) M1M2_PR
-    NEW li1 ( 283440 51245 ) L1M1_PR_MR
+- net463 ( output463 A ) ( _171_ LO ) 
+  + ROUTED met1 ( 187200 54205 ) ( 187200 54575 )
+    NEW met1 ( 174000 54575 ) ( 187200 54575 )
+    NEW met2 ( 283440 51985 ) ( 283440 54205 )
+    NEW met1 ( 187200 54205 ) ( 283440 54205 )
+    NEW li1 ( 174000 54575 ) L1M1_PR_MR
+    NEW li1 ( 283440 51985 ) L1M1_PR_MR
+    NEW met1 ( 283440 51985 ) M1M2_PR
+    NEW met1 ( 283440 54205 ) M1M2_PR
+    NEW met1 ( 283440 51985 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net464 ( ANTENNA_117 DIODE ) ( output464 A ) ( _176_ LO ) 
-  + ROUTED met1 ( 284880 51615 ) ( 286800 51615 )
-    NEW li1 ( 284880 51615 ) ( 284880 52355 )
-    NEW met2 ( 57360 52355 ) ( 57360 67155 )
-    NEW met1 ( 57360 52355 ) ( 285360 52355 )
-    NEW li1 ( 285360 52355 ) L1M1_PR_MR
-    NEW li1 ( 286800 51615 ) L1M1_PR_MR
-    NEW li1 ( 284880 51615 ) L1M1_PR_MR
-    NEW li1 ( 284880 52355 ) L1M1_PR_MR
-    NEW li1 ( 57360 67155 ) L1M1_PR_MR
-    NEW met1 ( 57360 67155 ) M1M2_PR
-    NEW met1 ( 57360 52355 ) M1M2_PR
-    NEW met1 ( 284880 52355 ) RECT ( -595 -70 0 70 )
-    NEW met1 ( 57360 67155 ) RECT ( -355 -70 0 70 )
+- net464 ( output464 A ) ( _172_ LO ) 
+  + ROUTED met2 ( 170160 41995 ) ( 170160 51615 )
+    NEW met1 ( 170160 51615 ) ( 287280 51615 )
+    NEW li1 ( 170160 41995 ) L1M1_PR_MR
+    NEW met1 ( 170160 41995 ) M1M2_PR
+    NEW met1 ( 170160 51615 ) M1M2_PR
+    NEW li1 ( 287280 51615 ) L1M1_PR_MR
+    NEW met1 ( 170160 41995 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net465 ( ANTENNA_119 DIODE ) ( ANTENNA_118 DIODE ) ( output465 A ) ( _177_ LO ) 
-  + ROUTED met1 ( 288720 197025 ) ( 289680 197025 )
-    NEW met1 ( 289200 197025 ) ( 289200 197765 )
-    NEW met2 ( 289680 55315 ) ( 289680 197025 )
-    NEW met1 ( 288000 55315 ) ( 289680 55315 )
-    NEW met1 ( 285360 54945 ) ( 286800 54945 )
-    NEW met1 ( 288000 54945 ) ( 288000 55315 )
-    NEW met1 ( 286800 54945 ) ( 288000 54945 )
-    NEW li1 ( 288720 197025 ) L1M1_PR_MR
-    NEW met1 ( 289680 197025 ) M1M2_PR
-    NEW li1 ( 289200 197765 ) L1M1_PR_MR
-    NEW met1 ( 289680 55315 ) M1M2_PR
-    NEW li1 ( 286800 54945 ) L1M1_PR_MR
-    NEW li1 ( 285360 54945 ) L1M1_PR_MR
+- net465 ( output465 A ) ( _173_ LO ) 
+  + ROUTED met2 ( 167280 55685 ) ( 167280 113775 )
+    NEW met1 ( 286800 55315 ) ( 286800 55685 )
+    NEW met1 ( 167280 55685 ) ( 286800 55685 )
+    NEW li1 ( 167280 113775 ) L1M1_PR_MR
+    NEW met1 ( 167280 113775 ) M1M2_PR
+    NEW met1 ( 167280 55685 ) M1M2_PR
+    NEW li1 ( 286800 55315 ) L1M1_PR_MR
+    NEW met1 ( 167280 113775 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net466 ( output466 A ) ( _069_ LO ) 
-  + ROUTED met1 ( 86640 91205 ) ( 91440 91205 )
-    NEW met2 ( 86640 35335 ) ( 86640 91205 )
+- net466 ( ANTENNA_137 DIODE ) ( ANTENNA_136 DIODE ) ( output466 A ) ( _067_ LO ) 
+  + ROUTED met1 ( 152880 173715 ) ( 153840 173715 )
+    NEW met1 ( 87600 173715 ) ( 152880 173715 )
+    NEW met1 ( 86640 35335 ) ( 87600 35335 )
+    NEW met1 ( 85200 35335 ) ( 86640 35335 )
+    NEW met2 ( 87600 35335 ) ( 87600 173715 )
+    NEW met1 ( 87600 173715 ) M1M2_PR
+    NEW li1 ( 152880 173715 ) L1M1_PR_MR
+    NEW li1 ( 153840 173715 ) L1M1_PR_MR
     NEW li1 ( 86640 35335 ) L1M1_PR_MR
-    NEW met1 ( 86640 35335 ) M1M2_PR
-    NEW met1 ( 86640 91205 ) M1M2_PR
-    NEW li1 ( 91440 91205 ) L1M1_PR_MR
-    NEW met1 ( 86640 35335 ) RECT ( 0 -70 355 70 )
+    NEW met1 ( 87600 35335 ) M1M2_PR
+    NEW li1 ( 85200 35335 ) L1M1_PR_MR
 + USE SIGNAL ;
-- net467 ( ANTENNA_121 DIODE ) ( ANTENNA_120 DIODE ) ( output467 A ) ( _178_ LO ) 
-  + ROUTED met1 ( 224400 246975 ) ( 226320 246975 )
-    NEW met2 ( 224400 58645 ) ( 224400 246975 )
-    NEW met1 ( 274320 58275 ) ( 284880 58275 )
-    NEW met1 ( 274320 58275 ) ( 274320 58645 )
-    NEW met1 ( 284880 58275 ) ( 286320 58275 )
-    NEW met1 ( 224400 58645 ) ( 274320 58645 )
-    NEW met1 ( 224400 58645 ) M1M2_PR
-    NEW li1 ( 224400 246975 ) L1M1_PR_MR
-    NEW met1 ( 224400 246975 ) M1M2_PR
-    NEW li1 ( 226320 246975 ) L1M1_PR_MR
-    NEW li1 ( 284880 58275 ) L1M1_PR_MR
+- net467 ( ANTENNA_140 DIODE ) ( ANTENNA_139 DIODE ) ( ANTENNA_138 DIODE ) ( output467 A ) 
+( _174_ LO ) 
+  + ROUTED met1 ( 138480 267325 ) ( 187200 267325 )
+    NEW met1 ( 187200 266955 ) ( 187200 267325 )
+    NEW met1 ( 137040 267325 ) ( 138480 267325 )
+    NEW met1 ( 285360 58275 ) ( 286320 58275 )
+    NEW met1 ( 286320 59385 ) ( 287760 59385 )
+    NEW met1 ( 187200 266955 ) ( 286320 266955 )
+    NEW met2 ( 286320 58275 ) ( 286320 266955 )
+    NEW li1 ( 138480 267325 ) L1M1_PR_MR
+    NEW li1 ( 137040 267325 ) L1M1_PR_MR
     NEW li1 ( 286320 58275 ) L1M1_PR_MR
-    NEW met1 ( 224400 246975 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 286320 58275 ) M1M2_PR
+    NEW li1 ( 285360 58275 ) L1M1_PR_MR
+    NEW li1 ( 287760 59385 ) L1M1_PR_MR
+    NEW met1 ( 286320 59385 ) M1M2_PR
+    NEW met1 ( 286320 266955 ) M1M2_PR
+    NEW met1 ( 286320 58275 ) RECT ( -355 -70 0 70 )
+    NEW met2 ( 286320 59385 ) RECT ( -70 -485 70 0 )
 + USE SIGNAL ;
-- net468 ( ANTENNA_124 DIODE ) ( ANTENNA_123 DIODE ) ( ANTENNA_122 DIODE ) ( output468 A ) 
-( _179_ LO ) 
-  + ROUTED met2 ( 24240 232545 ) ( 24240 233655 )
-    NEW met1 ( 22800 233655 ) ( 24240 233655 )
-    NEW met1 ( 288240 61975 ) ( 289680 61975 )
-    NEW met1 ( 24240 232545 ) ( 285840 232545 )
-    NEW met1 ( 286320 62715 ) ( 286800 62715 )
-    NEW met1 ( 286800 61975 ) ( 286800 62715 )
-    NEW met1 ( 286800 61975 ) ( 288240 61975 )
-    NEW met2 ( 285840 187200 ) ( 285840 232545 )
-    NEW met2 ( 285840 187200 ) ( 286320 187200 )
-    NEW met2 ( 286320 62715 ) ( 286320 187200 )
-    NEW li1 ( 24240 233655 ) L1M1_PR_MR
-    NEW met1 ( 24240 233655 ) M1M2_PR
-    NEW met1 ( 24240 232545 ) M1M2_PR
-    NEW li1 ( 22800 233655 ) L1M1_PR_MR
-    NEW li1 ( 288240 61975 ) L1M1_PR_MR
-    NEW li1 ( 289680 61975 ) L1M1_PR_MR
-    NEW met1 ( 285840 232545 ) M1M2_PR
-    NEW li1 ( 286800 62715 ) L1M1_PR_MR
-    NEW met1 ( 286320 62715 ) M1M2_PR
-    NEW met1 ( 24240 233655 ) RECT ( -355 -70 0 70 )
+- net468 ( output468 A ) ( _175_ LO ) 
+  + ROUTED met2 ( 222960 62345 ) ( 222960 137825 )
+    NEW met1 ( 288720 61975 ) ( 288720 62345 )
+    NEW met1 ( 222960 62345 ) ( 288720 62345 )
+    NEW met1 ( 222960 62345 ) M1M2_PR
+    NEW li1 ( 222960 137825 ) L1M1_PR_MR
+    NEW met1 ( 222960 137825 ) M1M2_PR
+    NEW li1 ( 288720 61975 ) L1M1_PR_MR
+    NEW met1 ( 222960 137825 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net469 ( output469 A ) ( _180_ LO ) 
-  + ROUTED met1 ( 245520 13875 ) ( 265680 13875 )
-    NEW met1 ( 265680 13875 ) ( 265680 14245 )
-    NEW li1 ( 265680 14245 ) ( 265680 15355 )
-    NEW met1 ( 265680 15355 ) ( 266160 15355 )
-    NEW met1 ( 266160 44585 ) ( 267120 44585 )
-    NEW met2 ( 266160 15355 ) ( 266160 44585 )
-    NEW li1 ( 245520 13875 ) L1M1_PR_MR
-    NEW li1 ( 265680 14245 ) L1M1_PR_MR
-    NEW li1 ( 265680 15355 ) L1M1_PR_MR
-    NEW met1 ( 266160 15355 ) M1M2_PR
-    NEW met1 ( 266160 44585 ) M1M2_PR
-    NEW li1 ( 267120 44585 ) L1M1_PR_MR
+- net469 ( ANTENNA_143 DIODE ) ( ANTENNA_142 DIODE ) ( ANTENNA_141 DIODE ) ( output469 A ) 
+( _176_ LO ) 
+  + ROUTED met1 ( 129360 198135 ) ( 130800 198135 )
+    NEW met1 ( 187200 197395 ) ( 187200 198135 )
+    NEW met1 ( 130800 198135 ) ( 187200 198135 )
+    NEW met1 ( 187200 197395 ) ( 265200 197395 )
+    NEW met1 ( 265200 45325 ) ( 266640 45325 )
+    NEW met1 ( 265200 45325 ) ( 265200 46065 )
+    NEW met1 ( 266640 45325 ) ( 268080 45325 )
+    NEW met2 ( 265200 46065 ) ( 265200 197395 )
+    NEW li1 ( 130800 198135 ) L1M1_PR_MR
+    NEW li1 ( 129360 198135 ) L1M1_PR_MR
+    NEW met1 ( 265200 197395 ) M1M2_PR
+    NEW li1 ( 265200 46065 ) L1M1_PR_MR
+    NEW met1 ( 265200 46065 ) M1M2_PR
+    NEW li1 ( 266640 45325 ) L1M1_PR_MR
+    NEW li1 ( 268080 45325 ) L1M1_PR_MR
+    NEW met1 ( 265200 46065 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net470 ( output470 A ) ( _181_ LO ) 
-  + ROUTED met1 ( 224880 93795 ) ( 273360 93795 )
-    NEW met1 ( 273360 55315 ) ( 280560 55315 )
-    NEW met2 ( 273360 55315 ) ( 273360 93795 )
-    NEW li1 ( 224880 93795 ) L1M1_PR_MR
-    NEW met1 ( 273360 93795 ) M1M2_PR
-    NEW li1 ( 280560 55315 ) L1M1_PR_MR
-    NEW met1 ( 273360 55315 ) M1M2_PR
+- net470 ( output470 A ) ( _177_ LO ) 
+  + ROUTED met2 ( 290160 26085 ) ( 290160 54945 )
+    NEW met1 ( 280560 54945 ) ( 290160 54945 )
+    NEW li1 ( 290160 26085 ) L1M1_PR_MR
+    NEW met1 ( 290160 26085 ) M1M2_PR
+    NEW met1 ( 290160 54945 ) M1M2_PR
+    NEW li1 ( 280560 54945 ) L1M1_PR_MR
+    NEW met1 ( 290160 26085 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net471 ( ANTENNA_126 DIODE ) ( ANTENNA_125 DIODE ) ( output471 A ) ( _182_ LO ) 
-  + ROUTED met1 ( 274320 51985 ) ( 275280 51985 )
-    NEW met1 ( 186960 253635 ) ( 275280 253635 )
-    NEW met2 ( 275280 51985 ) ( 275280 253635 )
-    NEW li1 ( 188400 253635 ) L1M1_PR_MR
-    NEW li1 ( 186960 253635 ) L1M1_PR_MR
-    NEW li1 ( 275280 51985 ) L1M1_PR_MR
-    NEW met1 ( 275280 51985 ) M1M2_PR
-    NEW li1 ( 274320 51985 ) L1M1_PR_MR
-    NEW met1 ( 275280 253635 ) M1M2_PR
-    NEW met1 ( 188400 253635 ) RECT ( -595 -70 0 70 )
-    NEW met1 ( 275280 51985 ) RECT ( -355 -70 0 70 )
+- net471 ( ANTENNA_144 DIODE ) ( output471 A ) ( _178_ LO ) 
+  + ROUTED met1 ( 273840 51245 ) ( 275280 51245 )
+    NEW met1 ( 273840 50505 ) ( 273840 51245 )
+    NEW met2 ( 94800 50505 ) ( 94800 167055 )
+    NEW met1 ( 94800 50505 ) ( 273840 50505 )
+    NEW li1 ( 94800 167055 ) L1M1_PR_MR
+    NEW met1 ( 94800 167055 ) M1M2_PR
+    NEW li1 ( 273840 50505 ) L1M1_PR_MR
+    NEW li1 ( 275280 51245 ) L1M1_PR_MR
+    NEW met1 ( 94800 50505 ) M1M2_PR
+    NEW met1 ( 94800 167055 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net472 ( output472 A ) ( _183_ LO ) 
-  + ROUTED met1 ( 262800 137825 ) ( 282000 137825 )
-    NEW met2 ( 262800 41995 ) ( 262800 137825 )
+- net472 ( output472 A ) ( _179_ LO ) 
+  + ROUTED met1 ( 187200 84545 ) ( 187200 84915 )
+    NEW met1 ( 170160 84915 ) ( 187200 84915 )
+    NEW met2 ( 262800 41995 ) ( 262800 84545 )
+    NEW met1 ( 187200 84545 ) ( 262800 84545 )
+    NEW li1 ( 170160 84915 ) L1M1_PR_MR
     NEW li1 ( 262800 41995 ) L1M1_PR_MR
     NEW met1 ( 262800 41995 ) M1M2_PR
-    NEW met1 ( 262800 137825 ) M1M2_PR
-    NEW li1 ( 282000 137825 ) L1M1_PR_MR
+    NEW met1 ( 262800 84545 ) M1M2_PR
     NEW met1 ( 262800 41995 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net473 ( output473 A ) ( _184_ LO ) 
-  + ROUTED met1 ( 285840 26085 ) ( 290160 26085 )
-    NEW met1 ( 255600 37925 ) ( 285840 37925 )
-    NEW met2 ( 285840 26085 ) ( 285840 37925 )
-    NEW li1 ( 290160 26085 ) L1M1_PR_MR
-    NEW met1 ( 285840 26085 ) M1M2_PR
-    NEW met1 ( 285840 37925 ) M1M2_PR
-    NEW li1 ( 255600 37925 ) L1M1_PR_MR
+- net473 ( ANTENNA_147 DIODE ) ( ANTENNA_146 DIODE ) ( ANTENNA_145 DIODE ) ( output473 A ) 
+( _180_ LO ) 
+  + ROUTED met2 ( 236880 39405 ) ( 236880 200355 )
+    NEW met1 ( 66000 200355 ) ( 67440 200355 )
+    NEW met1 ( 67440 200355 ) ( 236880 200355 )
+    NEW met1 ( 253680 39405 ) ( 256560 39405 )
+    NEW met2 ( 255120 38295 ) ( 255120 39405 )
+    NEW met1 ( 236880 39405 ) ( 253680 39405 )
+    NEW met1 ( 236880 200355 ) M1M2_PR
+    NEW met1 ( 236880 39405 ) M1M2_PR
+    NEW li1 ( 67440 200355 ) L1M1_PR_MR
+    NEW li1 ( 66000 200355 ) L1M1_PR_MR
+    NEW li1 ( 253680 39405 ) L1M1_PR_MR
+    NEW li1 ( 256560 39405 ) L1M1_PR_MR
+    NEW li1 ( 255120 38295 ) L1M1_PR_MR
+    NEW met1 ( 255120 38295 ) M1M2_PR
+    NEW met1 ( 255120 39405 ) M1M2_PR
+    NEW met1 ( 255120 38295 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 255120 39405 ) RECT ( -595 -70 0 70 )
 + USE SIGNAL ;
-- net474 ( ANTENNA_129 DIODE ) ( ANTENNA_128 DIODE ) ( ANTENNA_127 DIODE ) ( output474 A ) 
-( _185_ LO ) 
-  + ROUTED met1 ( 199920 57535 ) ( 199920 57905 )
-    NEW met1 ( 199920 57535 ) ( 200880 57535 )
-    NEW met1 ( 200880 57535 ) ( 200880 57905 )
-    NEW met1 ( 141360 230695 ) ( 141360 231065 )
-    NEW met1 ( 139920 230695 ) ( 141360 230695 )
-    NEW met1 ( 187200 57905 ) ( 199920 57905 )
-    NEW met1 ( 187200 57905 ) ( 187200 58275 )
-    NEW met1 ( 139920 58275 ) ( 187200 58275 )
-    NEW met2 ( 139920 58275 ) ( 139920 230695 )
-    NEW met1 ( 281040 57905 ) ( 282480 57905 )
-    NEW met1 ( 282480 57905 ) ( 283920 57905 )
-    NEW met1 ( 200880 57905 ) ( 281040 57905 )
-    NEW li1 ( 139920 230695 ) L1M1_PR_MR
-    NEW met1 ( 139920 230695 ) M1M2_PR
-    NEW li1 ( 141360 231065 ) L1M1_PR_MR
-    NEW met1 ( 139920 58275 ) M1M2_PR
-    NEW li1 ( 281040 57905 ) L1M1_PR_MR
-    NEW li1 ( 282480 57905 ) L1M1_PR_MR
-    NEW li1 ( 283920 57905 ) L1M1_PR_MR
-    NEW met1 ( 139920 230695 ) RECT ( -355 -70 0 70 )
+- net474 ( ANTENNA_148 DIODE ) ( output474 A ) ( _181_ LO ) 
+  + ROUTED met2 ( 208080 48655 ) ( 208080 58275 )
+    NEW met1 ( 281040 58275 ) ( 282480 58275 )
+    NEW met1 ( 208080 58275 ) ( 281040 58275 )
+    NEW met2 ( 93840 39035 ) ( 93840 48655 )
+    NEW met1 ( 93840 48655 ) ( 208080 48655 )
+    NEW met1 ( 208080 48655 ) M1M2_PR
+    NEW met1 ( 208080 58275 ) M1M2_PR
+    NEW li1 ( 281040 58275 ) L1M1_PR_MR
+    NEW li1 ( 282480 58275 ) L1M1_PR_MR
+    NEW li1 ( 93840 39035 ) L1M1_PR_MR
+    NEW met1 ( 93840 39035 ) M1M2_PR
+    NEW met1 ( 93840 48655 ) M1M2_PR
+    NEW met1 ( 93840 39035 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net475 ( ANTENNA_131 DIODE ) ( ANTENNA_130 DIODE ) ( output475 A ) ( _070_ LO ) 
-  + ROUTED met1 ( 90960 32005 ) ( 92400 32005 )
-    NEW met1 ( 92400 183705 ) ( 119280 183705 )
-    NEW met1 ( 119280 184445 ) ( 120240 184445 )
-    NEW met1 ( 119280 183705 ) ( 119280 184445 )
-    NEW met2 ( 92400 32005 ) ( 92400 183705 )
+- net475 ( output475 A ) ( XNOR2X1 Y ) 
+  + ROUTED met2 ( 92400 32005 ) ( 92400 139305 )
+    NEW met1 ( 43205 137085 0 ) ( 44880 137085 )
+    NEW li1 ( 44880 137085 ) ( 44880 138195 )
+    NEW met1 ( 44880 138195 ) ( 46320 138195 )
+    NEW li1 ( 46320 138195 ) ( 46320 138935 )
+    NEW li1 ( 46320 138935 ) ( 46800 138935 )
+    NEW li1 ( 46800 138935 ) ( 46800 139305 )
+    NEW met1 ( 46800 139305 ) ( 92400 139305 )
+    NEW met1 ( 92400 139305 ) M1M2_PR
     NEW li1 ( 92400 32005 ) L1M1_PR_MR
     NEW met1 ( 92400 32005 ) M1M2_PR
-    NEW li1 ( 90960 32005 ) L1M1_PR_MR
-    NEW li1 ( 119280 183705 ) L1M1_PR_MR
-    NEW met1 ( 92400 183705 ) M1M2_PR
-    NEW li1 ( 120240 184445 ) L1M1_PR_MR
+    NEW li1 ( 44880 137085 ) L1M1_PR_MR
+    NEW li1 ( 44880 138195 ) L1M1_PR_MR
+    NEW li1 ( 46320 138195 ) L1M1_PR_MR
+    NEW li1 ( 46800 139305 ) L1M1_PR_MR
     NEW met1 ( 92400 32005 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net476 ( ANTENNA_133 DIODE ) ( ANTENNA_132 DIODE ) ( output476 A ) ( _071_ LO ) 
-  + ROUTED met1 ( 89040 35705 ) ( 89520 35705 )
-    NEW met1 ( 90480 35335 ) ( 90480 35705 )
-    NEW met1 ( 89520 35705 ) ( 90480 35705 )
-    NEW met1 ( 89520 180375 ) ( 103440 180375 )
-    NEW met1 ( 103440 180375 ) ( 104400 180375 )
-    NEW met2 ( 89520 35705 ) ( 89520 180375 )
-    NEW li1 ( 89040 35705 ) L1M1_PR_MR
-    NEW met1 ( 89520 35705 ) M1M2_PR
+- net476 ( output476 A ) ( _068_ LO ) 
+  + ROUTED met1 ( 110640 12395 ) ( 110640 12765 )
+    NEW met1 ( 93360 12395 ) ( 110640 12395 )
+    NEW met2 ( 191760 12765 ) ( 191760 13875 )
+    NEW met1 ( 110640 12765 ) ( 191760 12765 )
+    NEW met1 ( 90480 35335 ) ( 93360 35335 )
+    NEW met2 ( 93360 12395 ) ( 93360 35335 )
+    NEW met1 ( 93360 12395 ) M1M2_PR
+    NEW met1 ( 191760 12765 ) M1M2_PR
+    NEW li1 ( 191760 13875 ) L1M1_PR_MR
+    NEW met1 ( 191760 13875 ) M1M2_PR
+    NEW met1 ( 93360 35335 ) M1M2_PR
     NEW li1 ( 90480 35335 ) L1M1_PR_MR
-    NEW li1 ( 103440 180375 ) L1M1_PR_MR
-    NEW met1 ( 89520 180375 ) M1M2_PR
-    NEW li1 ( 104400 180375 ) L1M1_PR_MR
+    NEW met1 ( 191760 13875 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net477 ( ANTENNA_135 DIODE ) ( ANTENNA_134 DIODE ) ( output477 A ) ( _072_ LO ) 
-  + ROUTED met1 ( 94800 32005 ) ( 96240 32005 )
-    NEW met1 ( 96240 48655 ) ( 118800 48655 )
-    NEW met2 ( 96240 32005 ) ( 96240 48655 )
-    NEW met2 ( 118800 48655 ) ( 118800 199245 )
-    NEW met1 ( 286320 200355 ) ( 286800 200355 )
-    NEW met2 ( 286320 199245 ) ( 286320 200355 )
-    NEW met1 ( 286800 200355 ) ( 287760 200355 )
-    NEW met1 ( 118800 199245 ) ( 286320 199245 )
+- net477 ( ANTENNA_150 DIODE ) ( ANTENNA_149 DIODE ) ( output477 A ) ( _069_ LO ) 
+  + ROUTED met1 ( 137040 253635 ) ( 137520 253635 )
+    NEW met2 ( 137040 252525 ) ( 137040 253635 )
+    NEW met1 ( 96240 252525 ) ( 137040 252525 )
+    NEW met1 ( 94800 32005 ) ( 96240 32005 )
+    NEW met2 ( 96240 32005 ) ( 96240 252525 )
+    NEW met1 ( 96240 252525 ) M1M2_PR
+    NEW li1 ( 137520 253635 ) L1M1_PR_MR
+    NEW li1 ( 137040 253635 ) L1M1_PR_MR
+    NEW met1 ( 137040 252525 ) M1M2_PR
+    NEW met1 ( 137040 253635 ) M1M2_PR
     NEW li1 ( 96240 32005 ) L1M1_PR_MR
     NEW met1 ( 96240 32005 ) M1M2_PR
     NEW li1 ( 94800 32005 ) L1M1_PR_MR
-    NEW met1 ( 118800 199245 ) M1M2_PR
-    NEW met1 ( 96240 48655 ) M1M2_PR
-    NEW met1 ( 118800 48655 ) M1M2_PR
-    NEW li1 ( 286800 200355 ) L1M1_PR_MR
-    NEW met1 ( 286320 200355 ) M1M2_PR
-    NEW met1 ( 286320 199245 ) M1M2_PR
-    NEW li1 ( 287760 200355 ) L1M1_PR_MR
+    NEW met1 ( 137040 253635 ) RECT ( 0 -70 595 70 )
     NEW met1 ( 96240 32005 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net478 ( output478 A ) ( _073_ LO ) 
-  + ROUTED met2 ( 94320 35335 ) ( 94320 43845 )
-    NEW met1 ( 86400 43845 ) ( 94320 43845 )
-    NEW met1 ( 86400 43845 ) ( 86400 44215 )
-    NEW met1 ( 34800 153735 ) ( 63120 153735 )
-    NEW met1 ( 63120 44215 ) ( 86400 44215 )
-    NEW met2 ( 63120 44215 ) ( 63120 153735 )
+- net478 ( output478 A ) ( XOR2X1 Y ) 
+  + ROUTED met2 ( 94320 35335 ) ( 94320 142635 )
+    NEW met2 ( 43205 142635 ) ( 43205 143745 )
+    NEW met1 ( 43205 142635 ) ( 94320 142635 )
+    NEW met1 ( 94320 142635 ) M1M2_PR
     NEW li1 ( 94320 35335 ) L1M1_PR_MR
     NEW met1 ( 94320 35335 ) M1M2_PR
-    NEW li1 ( 34800 153735 ) L1M1_PR_MR
-    NEW met1 ( 94320 43845 ) M1M2_PR
-    NEW met1 ( 63120 153735 ) M1M2_PR
-    NEW met1 ( 63120 44215 ) M1M2_PR
+    NEW met1 ( 43205 142635 ) M1M2_PR
+    NEW met1 ( 43205 143745 ) M1M2_PR
     NEW met1 ( 94320 35335 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net479 ( output479 A ) ( _074_ LO ) 
-  + ROUTED met1 ( 100080 32005 ) ( 102480 32005 )
-    NEW met2 ( 102480 32005 ) ( 102480 73815 )
+- net479 ( ANTENNA_152 DIODE ) ( ANTENNA_151 DIODE ) ( output479 A ) ( _070_ LO ) 
+  + ROUTED met2 ( 180240 275465 ) ( 180240 276945 )
+    NEW met1 ( 180240 277685 ) ( 180720 277685 )
+    NEW met2 ( 180240 276945 ) ( 180240 277685 )
+    NEW met1 ( 100080 275465 ) ( 180240 275465 )
+    NEW met1 ( 98640 32005 ) ( 100080 32005 )
+    NEW met2 ( 100080 32005 ) ( 100080 275465 )
+    NEW met1 ( 100080 275465 ) M1M2_PR
+    NEW li1 ( 180240 276945 ) L1M1_PR_MR
+    NEW met1 ( 180240 276945 ) M1M2_PR
+    NEW met1 ( 180240 275465 ) M1M2_PR
+    NEW li1 ( 180720 277685 ) L1M1_PR_MR
+    NEW met1 ( 180240 277685 ) M1M2_PR
     NEW li1 ( 100080 32005 ) L1M1_PR_MR
-    NEW met1 ( 102480 32005 ) M1M2_PR
-    NEW li1 ( 102480 73815 ) L1M1_PR_MR
-    NEW met1 ( 102480 73815 ) M1M2_PR
-    NEW met1 ( 102480 73815 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 100080 32005 ) M1M2_PR
+    NEW li1 ( 98640 32005 ) L1M1_PR_MR
+    NEW met1 ( 180240 276945 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 100080 32005 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net480 ( ANTENNA_137 DIODE ) ( ANTENNA_136 DIODE ) ( output480 A ) ( _075_ LO ) 
-  + ROUTED met1 ( 102480 32745 ) ( 102960 32745 )
+- net480 ( ANTENNA_154 DIODE ) ( ANTENNA_153 DIODE ) ( output480 A ) ( _071_ LO ) 
+  + ROUTED met2 ( 103440 86400 ) ( 103920 86400 )
+    NEW met2 ( 103920 86400 ) ( 103920 187035 )
+    NEW met1 ( 173520 187035 ) ( 174480 187035 )
+    NEW met1 ( 103920 187035 ) ( 173520 187035 )
+    NEW met1 ( 102480 32745 ) ( 103440 32745 )
     NEW met1 ( 103920 32005 ) ( 103920 32745 )
-    NEW met1 ( 102960 32745 ) ( 103920 32745 )
-    NEW met1 ( 102960 278055 ) ( 105360 278055 )
-    NEW met1 ( 105360 277315 ) ( 105360 278055 )
-    NEW met2 ( 102960 32745 ) ( 102960 278055 )
-    NEW met1 ( 199440 277315 ) ( 199440 277685 )
-    NEW met1 ( 198480 277315 ) ( 199440 277315 )
-    NEW met1 ( 105360 277315 ) ( 198480 277315 )
+    NEW met1 ( 103440 32745 ) ( 103920 32745 )
+    NEW met2 ( 103440 32745 ) ( 103440 86400 )
+    NEW met1 ( 103920 187035 ) M1M2_PR
+    NEW li1 ( 173520 187035 ) L1M1_PR_MR
+    NEW li1 ( 174480 187035 ) L1M1_PR_MR
     NEW li1 ( 102480 32745 ) L1M1_PR_MR
-    NEW met1 ( 102960 32745 ) M1M2_PR
+    NEW met1 ( 103440 32745 ) M1M2_PR
     NEW li1 ( 103920 32005 ) L1M1_PR_MR
-    NEW met1 ( 102960 278055 ) M1M2_PR
-    NEW li1 ( 198480 277315 ) L1M1_PR_MR
-    NEW li1 ( 199440 277685 ) L1M1_PR_MR
 + USE SIGNAL ;
-- net481 ( ANTENNA_139 DIODE ) ( ANTENNA_138 DIODE ) ( output481 A ) ( _076_ LO ) 
-  + ROUTED met1 ( 101520 35335 ) ( 101520 35705 )
-    NEW met1 ( 100080 35705 ) ( 101520 35705 )
-    NEW met2 ( 100080 35705 ) ( 100080 233655 )
-    NEW met1 ( 77040 233655 ) ( 78480 233655 )
-    NEW met1 ( 78480 233655 ) ( 100080 233655 )
-    NEW li1 ( 100080 35705 ) L1M1_PR_MR
-    NEW met1 ( 100080 35705 ) M1M2_PR
-    NEW li1 ( 101520 35335 ) L1M1_PR_MR
-    NEW met1 ( 100080 233655 ) M1M2_PR
-    NEW li1 ( 78480 233655 ) L1M1_PR_MR
-    NEW li1 ( 77040 233655 ) L1M1_PR_MR
-    NEW met1 ( 100080 35705 ) RECT ( -355 -70 0 70 )
+- net481 ( output481 A ) ( _072_ LO ) 
+  + ROUTED met2 ( 180240 82695 ) ( 180240 84545 )
+    NEW met1 ( 102480 82695 ) ( 180240 82695 )
+    NEW met1 ( 102000 35335 ) ( 102480 35335 )
+    NEW met2 ( 102480 35335 ) ( 102480 82695 )
+    NEW met1 ( 102480 82695 ) M1M2_PR
+    NEW met1 ( 180240 82695 ) M1M2_PR
+    NEW li1 ( 180240 84545 ) L1M1_PR_MR
+    NEW met1 ( 180240 84545 ) M1M2_PR
+    NEW li1 ( 102000 35335 ) L1M1_PR_MR
+    NEW met1 ( 102480 35335 ) M1M2_PR
+    NEW met1 ( 180240 84545 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net482 ( output482 A ) ( _077_ LO ) 
-  + ROUTED met2 ( 107760 32005 ) ( 107760 46065 )
-    NEW met2 ( 269040 46065 ) ( 269040 47175 )
-    NEW met1 ( 107760 46065 ) ( 269040 46065 )
+- net482 ( ANTENNA_156 DIODE ) ( ANTENNA_155 DIODE ) ( output482 A ) ( _073_ LO ) 
+  + ROUTED met2 ( 177360 202575 ) ( 177360 203685 )
+    NEW met1 ( 177360 204425 ) ( 178320 204425 )
+    NEW met2 ( 177360 203685 ) ( 177360 204425 )
+    NEW met1 ( 107760 202575 ) ( 177360 202575 )
+    NEW met1 ( 106320 32005 ) ( 107760 32005 )
+    NEW met2 ( 107760 32005 ) ( 107760 202575 )
+    NEW met1 ( 107760 202575 ) M1M2_PR
+    NEW li1 ( 177360 203685 ) L1M1_PR_MR
+    NEW met1 ( 177360 203685 ) M1M2_PR
+    NEW met1 ( 177360 202575 ) M1M2_PR
+    NEW li1 ( 178320 204425 ) L1M1_PR_MR
+    NEW met1 ( 177360 204425 ) M1M2_PR
     NEW li1 ( 107760 32005 ) L1M1_PR_MR
     NEW met1 ( 107760 32005 ) M1M2_PR
-    NEW met1 ( 107760 46065 ) M1M2_PR
-    NEW met1 ( 269040 46065 ) M1M2_PR
-    NEW li1 ( 269040 47175 ) L1M1_PR_MR
-    NEW met1 ( 269040 47175 ) M1M2_PR
+    NEW li1 ( 106320 32005 ) L1M1_PR_MR
+    NEW met1 ( 177360 203685 ) RECT ( -355 -70 0 70 )
     NEW met1 ( 107760 32005 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 269040 47175 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net483 ( output483 A ) ( XOR2X1 Y ) 
-  + ROUTED met1 ( 67440 35335 ) ( 68400 35335 )
-    NEW met2 ( 67440 35335 ) ( 67440 94905 )
-    NEW met2 ( 43440 94905 ) ( 43440 108410 )
-    NEW met2 ( 43440 108410 ) ( 43920 108410 )
-    NEW met2 ( 43920 108410 ) ( 43920 110445 )
-    NEW met1 ( 43440 94905 ) ( 67440 94905 )
+- net483 ( output483 A ) ( _060_ LO ) 
+  + ROUTED met1 ( 177360 211085 ) ( 177360 211455 )
+    NEW met1 ( 68880 211455 ) ( 177360 211455 )
+    NEW met2 ( 247920 211085 ) ( 247920 213675 )
+    NEW met1 ( 177360 211085 ) ( 247920 211085 )
+    NEW met1 ( 68400 35335 ) ( 68880 35335 )
+    NEW met2 ( 68880 35335 ) ( 68880 211455 )
+    NEW met1 ( 68880 211455 ) M1M2_PR
+    NEW met1 ( 247920 211085 ) M1M2_PR
+    NEW li1 ( 247920 213675 ) L1M1_PR_MR
+    NEW met1 ( 247920 213675 ) M1M2_PR
     NEW li1 ( 68400 35335 ) L1M1_PR_MR
-    NEW met1 ( 67440 35335 ) M1M2_PR
-    NEW met1 ( 67440 94905 ) M1M2_PR
-    NEW met1 ( 43440 94905 ) M1M2_PR
-    NEW met1 ( 43920 110445 ) M1M2_PR
+    NEW met1 ( 68880 35335 ) M1M2_PR
+    NEW met1 ( 247920 213675 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net484 ( output484 A ) ( _078_ LO ) 
-  + ROUTED met1 ( 105840 35335 ) ( 106320 35335 )
-    NEW met1 ( 105840 73815 ) ( 106320 73815 )
-    NEW met2 ( 106320 35335 ) ( 106320 73815 )
+- net484 ( output484 A ) ( _074_ LO ) 
+  + ROUTED met2 ( 236400 61605 ) ( 236400 91205 )
+    NEW met2 ( 105840 35335 ) ( 105840 61605 )
+    NEW met1 ( 105840 61605 ) ( 236400 61605 )
+    NEW li1 ( 236400 91205 ) L1M1_PR_MR
+    NEW met1 ( 236400 91205 ) M1M2_PR
+    NEW met1 ( 236400 61605 ) M1M2_PR
     NEW li1 ( 105840 35335 ) L1M1_PR_MR
-    NEW met1 ( 106320 35335 ) M1M2_PR
-    NEW met1 ( 106320 73815 ) M1M2_PR
-    NEW li1 ( 105840 73815 ) L1M1_PR_MR
+    NEW met1 ( 105840 35335 ) M1M2_PR
+    NEW met1 ( 105840 61605 ) M1M2_PR
+    NEW met1 ( 236400 91205 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 105840 35335 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net485 ( output485 A ) ( _079_ LO ) 
-  + ROUTED met1 ( 97680 111555 ) ( 104880 111555 )
-    NEW met2 ( 104880 38665 ) ( 104880 111555 )
-    NEW met2 ( 97680 111555 ) ( 97680 181115 )
-    NEW met1 ( 49200 181115 ) ( 97680 181115 )
-    NEW met1 ( 97680 111555 ) M1M2_PR
-    NEW met1 ( 104880 111555 ) M1M2_PR
-    NEW li1 ( 104880 38665 ) L1M1_PR_MR
-    NEW met1 ( 104880 38665 ) M1M2_PR
-    NEW met1 ( 97680 181115 ) M1M2_PR
-    NEW li1 ( 49200 181115 ) L1M1_PR_MR
-    NEW met1 ( 104880 38665 ) RECT ( -355 -70 0 70 )
+- net485 ( ANTENNA_158 DIODE ) ( ANTENNA_157 DIODE ) ( output485 A ) ( _075_ LO ) 
+  + ROUTED met1 ( 142320 266955 ) ( 142800 266955 )
+    NEW met2 ( 142800 43845 ) ( 142800 266955 )
+    NEW met2 ( 104880 37925 ) ( 104880 43845 )
+    NEW met1 ( 103440 37925 ) ( 104880 37925 )
+    NEW met1 ( 104880 43845 ) ( 142800 43845 )
+    NEW met1 ( 142800 43845 ) M1M2_PR
+    NEW li1 ( 142800 266955 ) L1M1_PR_MR
+    NEW met1 ( 142800 266955 ) M1M2_PR
+    NEW li1 ( 142320 266955 ) L1M1_PR_MR
+    NEW li1 ( 104880 37925 ) L1M1_PR_MR
+    NEW met1 ( 104880 37925 ) M1M2_PR
+    NEW met1 ( 104880 43845 ) M1M2_PR
+    NEW li1 ( 103440 37925 ) L1M1_PR_MR
+    NEW met1 ( 142800 266955 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 104880 37925 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net486 ( ANTENNA_141 DIODE ) ( ANTENNA_140 DIODE ) ( output486 A ) ( _080_ LO ) 
-  + ROUTED met1 ( 108240 35335 ) ( 109680 35335 )
-    NEW met1 ( 109680 49025 ) ( 126000 49025 )
-    NEW met2 ( 109680 35335 ) ( 109680 49025 )
-    NEW met2 ( 126000 49025 ) ( 126000 207015 )
-    NEW met1 ( 166320 207015 ) ( 167280 207015 )
-    NEW met1 ( 126000 207015 ) ( 166320 207015 )
+- net486 ( output486 A ) ( _076_ LO ) 
+  + ROUTED met2 ( 234000 46065 ) ( 234000 47175 )
+    NEW met2 ( 109680 35335 ) ( 109680 46065 )
+    NEW met1 ( 109680 46065 ) ( 234000 46065 )
+    NEW met1 ( 234000 46065 ) M1M2_PR
+    NEW li1 ( 234000 47175 ) L1M1_PR_MR
+    NEW met1 ( 234000 47175 ) M1M2_PR
     NEW li1 ( 109680 35335 ) L1M1_PR_MR
     NEW met1 ( 109680 35335 ) M1M2_PR
-    NEW li1 ( 108240 35335 ) L1M1_PR_MR
-    NEW met1 ( 126000 207015 ) M1M2_PR
-    NEW met1 ( 109680 49025 ) M1M2_PR
-    NEW met1 ( 126000 49025 ) M1M2_PR
-    NEW li1 ( 166320 207015 ) L1M1_PR_MR
-    NEW li1 ( 167280 207015 ) L1M1_PR_MR
+    NEW met1 ( 109680 46065 ) M1M2_PR
+    NEW met1 ( 234000 47175 ) RECT ( -355 -70 0 70 )
     NEW met1 ( 109680 35335 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net487 ( output487 A ) ( _081_ LO ) 
-  + ROUTED met1 ( 114960 49395 ) ( 120240 49395 )
-    NEW met2 ( 114960 32005 ) ( 114960 49395 )
-    NEW met2 ( 120240 49395 ) ( 120240 153735 )
-    NEW met1 ( 120240 153735 ) ( 237600 153735 )
-    NEW met1 ( 237600 153735 ) ( 237600 154105 )
-    NEW met1 ( 237600 154105 ) ( 267120 154105 )
+- net487 ( ANTENNA_160 DIODE ) ( ANTENNA_159 DIODE ) ( output487 A ) ( _077_ LO ) 
+  + ROUTED met1 ( 213360 193695 ) ( 214320 193695 )
+    NEW met1 ( 187200 193695 ) ( 213360 193695 )
+    NEW met1 ( 150000 193695 ) ( 150000 194065 )
+    NEW met1 ( 150000 194065 ) ( 187200 194065 )
+    NEW met1 ( 187200 193695 ) ( 187200 194065 )
+    NEW met1 ( 114000 193695 ) ( 150000 193695 )
+    NEW met1 ( 113520 32745 ) ( 114000 32745 )
+    NEW met1 ( 114960 32005 ) ( 114960 32745 )
+    NEW met1 ( 114000 32745 ) ( 114960 32745 )
+    NEW met2 ( 114000 32745 ) ( 114000 193695 )
+    NEW met1 ( 114000 193695 ) M1M2_PR
+    NEW li1 ( 213360 193695 ) L1M1_PR_MR
+    NEW li1 ( 214320 193695 ) L1M1_PR_MR
+    NEW li1 ( 113520 32745 ) L1M1_PR_MR
+    NEW met1 ( 114000 32745 ) M1M2_PR
     NEW li1 ( 114960 32005 ) L1M1_PR_MR
-    NEW met1 ( 114960 32005 ) M1M2_PR
-    NEW met1 ( 114960 49395 ) M1M2_PR
-    NEW met1 ( 120240 49395 ) M1M2_PR
-    NEW met1 ( 120240 153735 ) M1M2_PR
-    NEW li1 ( 267120 154105 ) L1M1_PR_MR
-    NEW met1 ( 114960 32005 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net488 ( ANTENNA_143 DIODE ) ( ANTENNA_142 DIODE ) ( output488 A ) ( _082_ LO ) 
-  + ROUTED met1 ( 113520 35335 ) ( 113520 35705 )
-    NEW met1 ( 111600 35705 ) ( 113520 35705 )
-    NEW met1 ( 192240 217745 ) ( 193200 217745 )
-    NEW met1 ( 192240 217005 ) ( 192240 217745 )
-    NEW met2 ( 111600 35705 ) ( 111600 217005 )
-    NEW met1 ( 111600 217005 ) ( 136800 217005 )
-    NEW met1 ( 187200 217005 ) ( 192240 217005 )
-    NEW met1 ( 136800 217005 ) ( 136800 217375 )
-    NEW met1 ( 136800 217375 ) ( 187200 217375 )
-    NEW met1 ( 187200 217005 ) ( 187200 217375 )
-    NEW li1 ( 111600 35705 ) L1M1_PR_MR
-    NEW met1 ( 111600 35705 ) M1M2_PR
+- net488 ( ANTENNA_162 DIODE ) ( ANTENNA_161 DIODE ) ( output488 A ) ( _078_ LO ) 
+  + ROUTED met1 ( 190800 207015 ) ( 191280 207015 )
+    NEW met1 ( 113520 207015 ) ( 190800 207015 )
+    NEW met1 ( 112080 35335 ) ( 113520 35335 )
+    NEW met2 ( 113520 35335 ) ( 113520 207015 )
+    NEW met1 ( 113520 207015 ) M1M2_PR
+    NEW li1 ( 191280 207015 ) L1M1_PR_MR
+    NEW li1 ( 190800 207015 ) L1M1_PR_MR
     NEW li1 ( 113520 35335 ) L1M1_PR_MR
-    NEW met1 ( 111600 217005 ) M1M2_PR
-    NEW li1 ( 192240 217005 ) L1M1_PR_MR
-    NEW li1 ( 193200 217745 ) L1M1_PR_MR
-    NEW met1 ( 111600 35705 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 113520 35335 ) M1M2_PR
+    NEW li1 ( 112080 35335 ) L1M1_PR_MR
+    NEW met1 ( 113520 35335 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net489 ( ANTENNA_145 DIODE ) ( ANTENNA_144 DIODE ) ( output489 A ) ( _083_ LO ) 
-  + ROUTED met1 ( 117360 32005 ) ( 118320 32005 )
-    NEW met2 ( 118320 32005 ) ( 118320 125985 )
-    NEW met1 ( 83280 125985 ) ( 118320 125985 )
-    NEW met1 ( 69840 234025 ) ( 83280 234025 )
-    NEW met1 ( 68400 234025 ) ( 69840 234025 )
-    NEW met2 ( 83280 125985 ) ( 83280 234025 )
-    NEW li1 ( 118320 32005 ) L1M1_PR_MR
-    NEW met1 ( 118320 32005 ) M1M2_PR
-    NEW li1 ( 117360 32005 ) L1M1_PR_MR
-    NEW met1 ( 118320 125985 ) M1M2_PR
-    NEW met1 ( 83280 125985 ) M1M2_PR
-    NEW li1 ( 69840 234025 ) L1M1_PR_MR
-    NEW met1 ( 83280 234025 ) M1M2_PR
-    NEW li1 ( 68400 234025 ) L1M1_PR_MR
-    NEW met1 ( 118320 32005 ) RECT ( -355 -70 0 70 )
+- net489 ( output489 A ) ( _079_ LO ) 
+  + ROUTED met1 ( 108240 73815 ) ( 118800 73815 )
+    NEW met2 ( 118800 32005 ) ( 118800 73815 )
+    NEW li1 ( 118800 32005 ) L1M1_PR_MR
+    NEW met1 ( 118800 32005 ) M1M2_PR
+    NEW met1 ( 118800 73815 ) M1M2_PR
+    NEW li1 ( 108240 73815 ) L1M1_PR_MR
+    NEW met1 ( 118800 32005 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net490 ( ANTENNA_147 DIODE ) ( ANTENNA_146 DIODE ) ( output490 A ) ( _084_ LO ) 
-  + ROUTED met1 ( 115920 35705 ) ( 116400 35705 )
-    NEW met1 ( 116880 35335 ) ( 116880 35705 )
-    NEW met1 ( 116400 35705 ) ( 116880 35705 )
-    NEW met1 ( 9840 243645 ) ( 18000 243645 )
-    NEW met1 ( 8880 243645 ) ( 8880 244385 )
-    NEW met1 ( 8880 243645 ) ( 9840 243645 )
-    NEW met2 ( 18000 121545 ) ( 18000 243645 )
-    NEW met2 ( 116400 35705 ) ( 116400 121545 )
-    NEW met1 ( 18000 121545 ) ( 116400 121545 )
-    NEW met1 ( 18000 121545 ) M1M2_PR
-    NEW li1 ( 115920 35705 ) L1M1_PR_MR
-    NEW met1 ( 116400 35705 ) M1M2_PR
-    NEW li1 ( 116880 35335 ) L1M1_PR_MR
-    NEW met1 ( 116400 121545 ) M1M2_PR
-    NEW li1 ( 9840 243645 ) L1M1_PR_MR
-    NEW met1 ( 18000 243645 ) M1M2_PR
-    NEW li1 ( 8880 244385 ) L1M1_PR_MR
+- net490 ( output490 A ) ( _080_ LO ) 
+  + ROUTED met2 ( 117360 35335 ) ( 117360 141895 )
+    NEW met1 ( 30960 141895 ) ( 117360 141895 )
+    NEW li1 ( 117360 35335 ) L1M1_PR_MR
+    NEW met1 ( 117360 35335 ) M1M2_PR
+    NEW met1 ( 117360 141895 ) M1M2_PR
+    NEW li1 ( 30960 141895 ) L1M1_PR_MR
+    NEW met1 ( 117360 35335 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net491 ( ANTENNA_149 DIODE ) ( ANTENNA_148 DIODE ) ( output491 A ) ( _085_ LO ) 
-  + ROUTED met1 ( 122160 32005 ) ( 122160 32745 )
-    NEW met1 ( 120720 32745 ) ( 122160 32745 )
-    NEW met1 ( 103440 61975 ) ( 104400 61975 )
-    NEW met2 ( 104400 57535 ) ( 104400 61975 )
-    NEW met1 ( 104400 57535 ) ( 120720 57535 )
-    NEW met2 ( 120720 32745 ) ( 120720 57535 )
-    NEW met1 ( 102000 177785 ) ( 103440 177785 )
-    NEW met2 ( 103440 61975 ) ( 103440 177785 )
-    NEW li1 ( 120720 32745 ) L1M1_PR_MR
-    NEW met1 ( 120720 32745 ) M1M2_PR
-    NEW li1 ( 122160 32005 ) L1M1_PR_MR
-    NEW met1 ( 103440 61975 ) M1M2_PR
-    NEW met1 ( 104400 61975 ) M1M2_PR
-    NEW met1 ( 104400 57535 ) M1M2_PR
-    NEW met1 ( 120720 57535 ) M1M2_PR
-    NEW li1 ( 103440 177785 ) L1M1_PR_MR
-    NEW met1 ( 103440 177785 ) M1M2_PR
-    NEW li1 ( 102000 177785 ) L1M1_PR_MR
-    NEW met1 ( 120720 32745 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 103440 177785 ) RECT ( -355 -70 0 70 )
+- net491 ( output491 A ) ( _081_ LO ) 
+  + ROUTED met1 ( 122160 32005 ) ( 122640 32005 )
+    NEW met1 ( 97200 97865 ) ( 122160 97865 )
+    NEW met2 ( 122160 32005 ) ( 122160 97865 )
+    NEW li1 ( 122640 32005 ) L1M1_PR_MR
+    NEW met1 ( 122160 32005 ) M1M2_PR
+    NEW met1 ( 122160 97865 ) M1M2_PR
+    NEW li1 ( 97200 97865 ) L1M1_PR_MR
 + USE SIGNAL ;
-- net492 ( ANTENNA_151 DIODE ) ( ANTENNA_150 DIODE ) ( output492 A ) ( _086_ LO ) 
-  + ROUTED met1 ( 120720 35335 ) ( 120720 35705 )
-    NEW met1 ( 119280 35705 ) ( 120720 35705 )
-    NEW met1 ( 97200 130425 ) ( 119280 130425 )
-    NEW met1 ( 12720 257705 ) ( 14160 257705 )
-    NEW met2 ( 119280 35705 ) ( 119280 130425 )
-    NEW met2 ( 97200 130425 ) ( 97200 257705 )
-    NEW met1 ( 14160 257705 ) ( 97200 257705 )
-    NEW li1 ( 119280 35705 ) L1M1_PR_MR
-    NEW met1 ( 119280 35705 ) M1M2_PR
+- net492 ( ANTENNA_164 DIODE ) ( ANTENNA_163 DIODE ) ( output492 A ) ( _082_ LO ) 
+  + ROUTED met1 ( 18960 204425 ) ( 20400 204425 )
+    NEW met1 ( 117840 35335 ) ( 119280 35335 )
+    NEW met1 ( 119280 35335 ) ( 120720 35335 )
+    NEW met2 ( 117840 35335 ) ( 117840 204055 )
+    NEW met1 ( 20400 204425 ) ( 36000 204425 )
+    NEW met1 ( 36000 204055 ) ( 36000 204425 )
+    NEW met1 ( 36000 204055 ) ( 117840 204055 )
+    NEW li1 ( 20400 204425 ) L1M1_PR_MR
+    NEW li1 ( 18960 204425 ) L1M1_PR_MR
+    NEW li1 ( 119280 35335 ) L1M1_PR_MR
+    NEW met1 ( 117840 35335 ) M1M2_PR
     NEW li1 ( 120720 35335 ) L1M1_PR_MR
-    NEW met1 ( 97200 130425 ) M1M2_PR
-    NEW met1 ( 119280 130425 ) M1M2_PR
-    NEW li1 ( 14160 257705 ) L1M1_PR_MR
-    NEW li1 ( 12720 257705 ) L1M1_PR_MR
-    NEW met1 ( 97200 257705 ) M1M2_PR
-    NEW met1 ( 119280 35705 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 117840 204055 ) M1M2_PR
 + USE SIGNAL ;
-- net493 ( ANTENNA_153 DIODE ) ( ANTENNA_152 DIODE ) ( output493 A ) ( _087_ LO ) 
-  + ROUTED met2 ( 119760 38665 ) ( 119760 45325 )
-    NEW met1 ( 118320 38665 ) ( 119760 38665 )
-    NEW met1 ( 207120 244385 ) ( 208080 244385 )
-    NEW met1 ( 119760 45325 ) ( 148560 45325 )
-    NEW met2 ( 148560 45325 ) ( 148560 244385 )
-    NEW met1 ( 148560 244385 ) ( 207120 244385 )
+- net493 ( output493 A ) ( _083_ LO ) 
+  + ROUTED met1 ( 119280 38665 ) ( 119760 38665 )
+    NEW met2 ( 119280 38665 ) ( 119280 117845 )
+    NEW met1 ( 119280 117845 ) ( 225360 117845 )
+    NEW met1 ( 119280 117845 ) M1M2_PR
+    NEW li1 ( 225360 117845 ) L1M1_PR_MR
     NEW li1 ( 119760 38665 ) L1M1_PR_MR
-    NEW met1 ( 119760 38665 ) M1M2_PR
-    NEW met1 ( 119760 45325 ) M1M2_PR
-    NEW li1 ( 118320 38665 ) L1M1_PR_MR
-    NEW li1 ( 207120 244385 ) L1M1_PR_MR
-    NEW li1 ( 208080 244385 ) L1M1_PR_MR
-    NEW met1 ( 148560 45325 ) M1M2_PR
-    NEW met1 ( 148560 244385 ) M1M2_PR
-    NEW met1 ( 119760 38665 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 119280 38665 ) M1M2_PR
 + USE SIGNAL ;
-- net494 ( output494 A ) ( _060_ LO ) 
-  + ROUTED met2 ( 73680 32005 ) ( 73680 48655 )
-    NEW met1 ( 31440 48655 ) ( 73680 48655 )
-    NEW met2 ( 31440 48655 ) ( 31440 147075 )
-    NEW li1 ( 31440 147075 ) L1M1_PR_MR
-    NEW met1 ( 31440 147075 ) M1M2_PR
+- net494 ( output494 A ) ( _061_ LO ) 
+  + ROUTED met1 ( 73680 140415 ) ( 186000 140415 )
+    NEW met2 ( 73680 32005 ) ( 73680 140415 )
+    NEW met1 ( 73680 140415 ) M1M2_PR
+    NEW li1 ( 186000 140415 ) L1M1_PR_MR
     NEW li1 ( 73680 32005 ) L1M1_PR_MR
     NEW met1 ( 73680 32005 ) M1M2_PR
-    NEW met1 ( 73680 48655 ) M1M2_PR
-    NEW met1 ( 31440 48655 ) M1M2_PR
-    NEW met1 ( 31440 147075 ) RECT ( -355 -70 0 70 )
     NEW met1 ( 73680 32005 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net495 ( ANTENNA_155 DIODE ) ( ANTENNA_154 DIODE ) ( output495 A ) ( _088_ LO ) 
-  + ROUTED met2 ( 121680 39035 ) ( 121680 47915 )
-    NEW met1 ( 123120 38665 ) ( 123120 39035 )
-    NEW met1 ( 121680 39035 ) ( 123120 39035 )
-    NEW met1 ( 52080 193695 ) ( 53520 193695 )
-    NEW met1 ( 53520 47915 ) ( 121680 47915 )
-    NEW met2 ( 53520 47915 ) ( 53520 193695 )
-    NEW li1 ( 121680 39035 ) L1M1_PR_MR
-    NEW met1 ( 121680 39035 ) M1M2_PR
-    NEW met1 ( 121680 47915 ) M1M2_PR
-    NEW li1 ( 123120 38665 ) L1M1_PR_MR
-    NEW li1 ( 53520 193695 ) L1M1_PR_MR
-    NEW met1 ( 53520 193695 ) M1M2_PR
-    NEW li1 ( 52080 193695 ) L1M1_PR_MR
-    NEW met1 ( 53520 47915 ) M1M2_PR
-    NEW met1 ( 121680 39035 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 53520 193695 ) RECT ( -355 -70 0 70 )
+- net495 ( output495 A ) ( _084_ LO ) 
+  + ROUTED met2 ( 123600 38665 ) ( 123600 66045 )
+    NEW met2 ( 274320 66045 ) ( 274320 67155 )
+    NEW met1 ( 123600 66045 ) ( 274320 66045 )
+    NEW li1 ( 123600 38665 ) L1M1_PR_MR
+    NEW met1 ( 123600 38665 ) M1M2_PR
+    NEW met1 ( 123600 66045 ) M1M2_PR
+    NEW met1 ( 274320 66045 ) M1M2_PR
+    NEW li1 ( 274320 67155 ) L1M1_PR_MR
+    NEW met1 ( 274320 67155 ) M1M2_PR
+    NEW met1 ( 123600 38665 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 274320 67155 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net496 ( ANTENNA_157 DIODE ) ( ANTENNA_156 DIODE ) ( output496 A ) ( _089_ LO ) 
-  + ROUTED met1 ( 126960 35335 ) ( 128400 35335 )
-    NEW met2 ( 128400 35335 ) ( 128400 219225 )
-    NEW met1 ( 238800 220335 ) ( 239280 220335 )
-    NEW met2 ( 238800 219225 ) ( 238800 220335 )
-    NEW met1 ( 128400 219225 ) ( 238800 219225 )
+- net496 ( output496 A ) ( _085_ LO ) 
+  + ROUTED met2 ( 128400 35335 ) ( 128400 65305 )
+    NEW met2 ( 50640 65305 ) ( 50640 67155 )
+    NEW met1 ( 50640 65305 ) ( 128400 65305 )
     NEW li1 ( 128400 35335 ) L1M1_PR_MR
     NEW met1 ( 128400 35335 ) M1M2_PR
-    NEW li1 ( 126960 35335 ) L1M1_PR_MR
-    NEW met1 ( 128400 219225 ) M1M2_PR
-    NEW li1 ( 239280 220335 ) L1M1_PR_MR
-    NEW li1 ( 238800 220335 ) L1M1_PR_MR
-    NEW met1 ( 238800 219225 ) M1M2_PR
-    NEW met1 ( 238800 220335 ) M1M2_PR
+    NEW met1 ( 128400 65305 ) M1M2_PR
+    NEW met1 ( 50640 65305 ) M1M2_PR
+    NEW li1 ( 50640 67155 ) L1M1_PR_MR
+    NEW met1 ( 50640 67155 ) M1M2_PR
     NEW met1 ( 128400 35335 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 238800 220335 ) RECT ( -595 -70 0 70 )
+    NEW met1 ( 50640 67155 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net497 ( ANTENNA_159 DIODE ) ( ANTENNA_158 DIODE ) ( output497 A ) ( _090_ LO ) 
-  + ROUTED met1 ( 125520 200355 ) ( 133200 200355 )
-    NEW met1 ( 133200 200355 ) ( 134160 200355 )
-    NEW met1 ( 127440 38665 ) ( 127440 39035 )
-    NEW met1 ( 125520 39035 ) ( 127440 39035 )
-    NEW met2 ( 125520 39035 ) ( 125520 200355 )
-    NEW li1 ( 133200 200355 ) L1M1_PR_MR
-    NEW met1 ( 125520 200355 ) M1M2_PR
-    NEW li1 ( 134160 200355 ) L1M1_PR_MR
-    NEW li1 ( 125520 39035 ) L1M1_PR_MR
-    NEW met1 ( 125520 39035 ) M1M2_PR
+- net497 ( output497 A ) ( _086_ LO ) 
+  + ROUTED met2 ( 127440 38665 ) ( 127440 59015 )
+    NEW met1 ( 187200 59015 ) ( 187200 59385 )
+    NEW met1 ( 127440 59015 ) ( 187200 59015 )
+    NEW met2 ( 241680 59385 ) ( 241680 60495 )
+    NEW met1 ( 187200 59385 ) ( 241680 59385 )
     NEW li1 ( 127440 38665 ) L1M1_PR_MR
-    NEW met1 ( 125520 39035 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 127440 38665 ) M1M2_PR
+    NEW met1 ( 127440 59015 ) M1M2_PR
+    NEW met1 ( 241680 59385 ) M1M2_PR
+    NEW li1 ( 241680 60495 ) L1M1_PR_MR
+    NEW met1 ( 241680 60495 ) M1M2_PR
+    NEW met1 ( 127440 38665 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 241680 60495 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net498 ( ANTENNA_161 DIODE ) ( ANTENNA_160 DIODE ) ( output498 A ) ( _091_ LO ) 
-  + ROUTED met1 ( 132240 35335 ) ( 132240 35705 )
-    NEW met1 ( 130320 35705 ) ( 132240 35705 )
-    NEW met2 ( 130320 35705 ) ( 130320 68265 )
-    NEW met1 ( 130320 68265 ) ( 285360 68265 )
-    NEW met1 ( 285360 173715 ) ( 286320 173715 )
-    NEW met2 ( 285360 68265 ) ( 285360 173715 )
-    NEW li1 ( 130320 35705 ) L1M1_PR_MR
-    NEW met1 ( 130320 35705 ) M1M2_PR
+- net498 ( output498 A ) ( _087_ LO ) 
+  + ROUTED met2 ( 132240 35335 ) ( 132240 131165 )
+    NEW met1 ( 132240 131165 ) ( 194160 131165 )
     NEW li1 ( 132240 35335 ) L1M1_PR_MR
-    NEW met1 ( 130320 68265 ) M1M2_PR
-    NEW met1 ( 285360 68265 ) M1M2_PR
-    NEW li1 ( 285360 173715 ) L1M1_PR_MR
-    NEW met1 ( 285360 173715 ) M1M2_PR
-    NEW li1 ( 286320 173715 ) L1M1_PR_MR
-    NEW met1 ( 130320 35705 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 285360 173715 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 132240 35335 ) M1M2_PR
+    NEW met1 ( 132240 131165 ) M1M2_PR
+    NEW li1 ( 194160 131165 ) L1M1_PR_MR
+    NEW met1 ( 132240 35335 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net499 ( ANTENNA_163 DIODE ) ( ANTENNA_162 DIODE ) ( output499 A ) ( _092_ LO ) 
-  + ROUTED met1 ( 129840 38665 ) ( 130800 38665 )
-    NEW met2 ( 130800 38665 ) ( 130800 212565 )
-    NEW met1 ( 56400 213675 ) ( 56880 213675 )
-    NEW met2 ( 56880 212565 ) ( 56880 213675 )
-    NEW met1 ( 54960 213675 ) ( 56400 213675 )
-    NEW met1 ( 56880 212565 ) ( 130800 212565 )
-    NEW met1 ( 130800 212565 ) M1M2_PR
-    NEW li1 ( 130800 38665 ) L1M1_PR_MR
-    NEW met1 ( 130800 38665 ) M1M2_PR
-    NEW li1 ( 129840 38665 ) L1M1_PR_MR
-    NEW li1 ( 56400 213675 ) L1M1_PR_MR
-    NEW met1 ( 56880 213675 ) M1M2_PR
-    NEW met1 ( 56880 212565 ) M1M2_PR
-    NEW li1 ( 54960 213675 ) L1M1_PR_MR
-    NEW met1 ( 130800 38665 ) RECT ( -355 -70 0 70 )
+- net499 ( ANTENNA_166 DIODE ) ( ANTENNA_165 DIODE ) ( output499 A ) ( _088_ LO ) 
+  + ROUTED met1 ( 129840 37925 ) ( 131280 37925 )
+    NEW met2 ( 131280 37925 ) ( 131280 38665 )
+    NEW met2 ( 131280 38665 ) ( 131280 197025 )
+    NEW met1 ( 131280 197025 ) ( 136800 197025 )
+    NEW met1 ( 139920 197765 ) ( 140400 197765 )
+    NEW met1 ( 136800 197025 ) ( 136800 197765 )
+    NEW met1 ( 136800 197765 ) ( 139920 197765 )
+    NEW met1 ( 131280 197025 ) M1M2_PR
+    NEW li1 ( 131280 38665 ) L1M1_PR_MR
+    NEW met1 ( 131280 38665 ) M1M2_PR
+    NEW li1 ( 129840 37925 ) L1M1_PR_MR
+    NEW met1 ( 131280 37925 ) M1M2_PR
+    NEW li1 ( 139920 197765 ) L1M1_PR_MR
+    NEW li1 ( 140400 197765 ) L1M1_PR_MR
+    NEW met1 ( 131280 38665 ) RECT ( 0 -70 355 70 )
 + USE SIGNAL ;
-- net500 ( ANTENNA_165 DIODE ) ( ANTENNA_164 DIODE ) ( output500 A ) ( _093_ LO ) 
-  + ROUTED met1 ( 135600 35335 ) ( 135600 35705 )
-    NEW met1 ( 134160 35705 ) ( 135600 35705 )
-    NEW met2 ( 134160 35705 ) ( 134160 173715 )
-    NEW met1 ( 78000 173715 ) ( 79440 173715 )
-    NEW met1 ( 79440 173715 ) ( 134160 173715 )
-    NEW li1 ( 134160 35705 ) L1M1_PR_MR
-    NEW met1 ( 134160 35705 ) M1M2_PR
-    NEW li1 ( 135600 35335 ) L1M1_PR_MR
-    NEW met1 ( 134160 173715 ) M1M2_PR
-    NEW li1 ( 79440 173715 ) L1M1_PR_MR
-    NEW li1 ( 78000 173715 ) L1M1_PR_MR
-    NEW met1 ( 134160 35705 ) RECT ( -355 -70 0 70 )
+- net500 ( output500 A ) ( _089_ LO ) 
+  + ROUTED met2 ( 136080 35335 ) ( 136080 50875 )
+    NEW met1 ( 231600 50875 ) ( 231600 51245 )
+    NEW met1 ( 136080 50875 ) ( 231600 50875 )
+    NEW li1 ( 136080 35335 ) L1M1_PR_MR
+    NEW met1 ( 136080 35335 ) M1M2_PR
+    NEW met1 ( 136080 50875 ) M1M2_PR
+    NEW li1 ( 231600 51245 ) L1M1_PR_MR
+    NEW met1 ( 136080 35335 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net501 ( ANTENNA_167 DIODE ) ( ANTENNA_166 DIODE ) ( output501 A ) ( _094_ LO ) 
-  + ROUTED met1 ( 129840 213675 ) ( 131280 213675 )
-    NEW met2 ( 129840 94165 ) ( 129840 213675 )
-    NEW met1 ( 140880 32005 ) ( 140880 32745 )
-    NEW met1 ( 139440 32745 ) ( 140880 32745 )
-    NEW met1 ( 129840 94165 ) ( 139440 94165 )
-    NEW met2 ( 139440 32745 ) ( 139440 94165 )
-    NEW met1 ( 129840 94165 ) M1M2_PR
-    NEW li1 ( 129840 213675 ) L1M1_PR_MR
-    NEW met1 ( 129840 213675 ) M1M2_PR
-    NEW li1 ( 131280 213675 ) L1M1_PR_MR
-    NEW li1 ( 139440 32745 ) L1M1_PR_MR
-    NEW met1 ( 139440 32745 ) M1M2_PR
-    NEW li1 ( 140880 32005 ) L1M1_PR_MR
-    NEW met1 ( 139440 94165 ) M1M2_PR
-    NEW met1 ( 129840 213675 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 139440 32745 ) RECT ( -355 -70 0 70 )
+- net501 ( output501 A ) ( _090_ LO ) 
+  + ROUTED met1 ( 141360 32005 ) ( 141360 32375 )
+    NEW met1 ( 141360 32375 ) ( 173040 32375 )
+    NEW met1 ( 173040 72335 ) ( 175440 72335 )
+    NEW met2 ( 173040 32375 ) ( 173040 72335 )
+    NEW li1 ( 141360 32005 ) L1M1_PR_MR
+    NEW met1 ( 173040 32375 ) M1M2_PR
+    NEW met1 ( 173040 72335 ) M1M2_PR
+    NEW li1 ( 175440 72335 ) L1M1_PR_MR
 + USE SIGNAL ;
-- net502 ( output502 A ) ( _095_ LO ) 
-  + ROUTED met1 ( 127440 37925 ) ( 135120 37925 )
-    NEW met2 ( 127440 37925 ) ( 127440 124505 )
-    NEW li1 ( 127440 124505 ) L1M1_PR_MR
-    NEW met1 ( 127440 124505 ) M1M2_PR
-    NEW li1 ( 135120 37925 ) L1M1_PR_MR
-    NEW met1 ( 127440 37925 ) M1M2_PR
-    NEW met1 ( 127440 124505 ) RECT ( -355 -70 0 70 )
+- net502 ( ANTENNA_168 DIODE ) ( ANTENNA_167 DIODE ) ( output502 A ) ( _091_ LO ) 
+  + ROUTED met1 ( 133680 38665 ) ( 134640 38665 )
+    NEW met2 ( 134640 38665 ) ( 134640 209235 )
+    NEW met1 ( 80880 211085 ) ( 82320 211085 )
+    NEW met2 ( 82320 209235 ) ( 82320 211085 )
+    NEW met1 ( 82320 209235 ) ( 134640 209235 )
+    NEW met1 ( 134640 209235 ) M1M2_PR
+    NEW li1 ( 134640 38665 ) L1M1_PR_MR
+    NEW met1 ( 134640 38665 ) M1M2_PR
+    NEW li1 ( 133680 38665 ) L1M1_PR_MR
+    NEW li1 ( 82320 211085 ) L1M1_PR_MR
+    NEW li1 ( 80880 211085 ) L1M1_PR_MR
+    NEW met1 ( 82320 209235 ) M1M2_PR
+    NEW met1 ( 82320 211085 ) M1M2_PR
+    NEW met1 ( 134640 38665 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 82320 211085 ) RECT ( -595 -70 0 70 )
 + USE SIGNAL ;
-- net503 ( ANTENNA_169 DIODE ) ( ANTENNA_168 DIODE ) ( output503 A ) ( _096_ LO ) 
-  + ROUTED met1 ( 204240 250305 ) ( 204720 250305 )
-    NEW met1 ( 204720 250305 ) ( 204720 251045 )
-    NEW met2 ( 204720 69375 ) ( 204720 250305 )
-    NEW met1 ( 139920 35335 ) ( 139920 35705 )
-    NEW met1 ( 138000 35705 ) ( 139920 35705 )
-    NEW met2 ( 138000 35705 ) ( 138000 69375 )
-    NEW met1 ( 138000 69375 ) ( 204720 69375 )
-    NEW met1 ( 204720 69375 ) M1M2_PR
-    NEW li1 ( 204240 250305 ) L1M1_PR_MR
-    NEW met1 ( 204720 250305 ) M1M2_PR
-    NEW li1 ( 204720 251045 ) L1M1_PR_MR
-    NEW li1 ( 138000 35705 ) L1M1_PR_MR
-    NEW met1 ( 138000 35705 ) M1M2_PR
+- net503 ( output503 A ) ( _092_ LO ) 
+  + ROUTED met2 ( 72240 102675 ) ( 72240 104525 )
+    NEW met1 ( 72240 102675 ) ( 139920 102675 )
+    NEW met2 ( 139920 35335 ) ( 139920 102675 )
+    NEW met1 ( 56400 104525 ) ( 72240 104525 )
+    NEW met1 ( 72240 102675 ) M1M2_PR
+    NEW met1 ( 72240 104525 ) M1M2_PR
     NEW li1 ( 139920 35335 ) L1M1_PR_MR
-    NEW met1 ( 138000 69375 ) M1M2_PR
-    NEW met1 ( 138000 35705 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 139920 35335 ) M1M2_PR
+    NEW met1 ( 139920 102675 ) M1M2_PR
+    NEW li1 ( 56400 104525 ) L1M1_PR_MR
+    NEW met1 ( 139920 35335 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net504 ( ANTENNA_171 DIODE ) ( ANTENNA_170 DIODE ) ( output504 A ) ( _097_ LO ) 
-  + ROUTED met1 ( 143760 32005 ) ( 145200 32005 )
-    NEW met1 ( 163440 190365 ) ( 171120 190365 )
-    NEW met1 ( 170640 191105 ) ( 172080 191105 )
-    NEW li1 ( 170640 190365 ) ( 170640 191105 )
-    NEW met1 ( 145200 65675 ) ( 163440 65675 )
-    NEW met2 ( 145200 32005 ) ( 145200 65675 )
-    NEW met2 ( 163440 65675 ) ( 163440 190365 )
+- net504 ( output504 A ) ( _093_ LO ) 
+  + ROUTED met2 ( 199440 99345 ) ( 199440 100455 )
+    NEW met1 ( 145200 99345 ) ( 199440 99345 )
+    NEW met2 ( 145200 32005 ) ( 145200 99345 )
+    NEW met1 ( 199440 99345 ) M1M2_PR
+    NEW li1 ( 199440 100455 ) L1M1_PR_MR
+    NEW met1 ( 199440 100455 ) M1M2_PR
     NEW li1 ( 145200 32005 ) L1M1_PR_MR
     NEW met1 ( 145200 32005 ) M1M2_PR
-    NEW li1 ( 143760 32005 ) L1M1_PR_MR
-    NEW li1 ( 171120 190365 ) L1M1_PR_MR
-    NEW met1 ( 163440 190365 ) M1M2_PR
-    NEW li1 ( 172080 191105 ) L1M1_PR_MR
-    NEW li1 ( 170640 191105 ) L1M1_PR_MR
-    NEW li1 ( 170640 190365 ) L1M1_PR_MR
-    NEW met1 ( 145200 65675 ) M1M2_PR
-    NEW met1 ( 163440 65675 ) M1M2_PR
+    NEW met1 ( 145200 99345 ) M1M2_PR
+    NEW met1 ( 199440 100455 ) RECT ( -355 -70 0 70 )
     NEW met1 ( 145200 32005 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 170640 190365 ) RECT ( -595 -70 0 70 )
 + USE SIGNAL ;
-- net505 ( ANTENNA_173 DIODE ) ( ANTENNA_172 DIODE ) ( output505 A ) ( _061_ LO ) 
-  + ROUTED met1 ( 77520 32005 ) ( 77520 32745 )
-    NEW met1 ( 75600 32745 ) ( 77520 32745 )
-    NEW met2 ( 278160 275465 ) ( 278160 276945 )
-    NEW met1 ( 278160 277685 ) ( 279120 277685 )
-    NEW met1 ( 278160 276945 ) ( 278160 277685 )
-    NEW met1 ( 75600 275465 ) ( 278160 275465 )
-    NEW met2 ( 75600 32745 ) ( 75600 275465 )
-    NEW li1 ( 75600 32745 ) L1M1_PR_MR
-    NEW met1 ( 75600 32745 ) M1M2_PR
+- net505 ( output505 A ) ( NAND3X1 Y ) 
+  + ROUTED met2 ( 77520 32005 ) ( 77520 118955 )
+    NEW met1 ( 41040 118955 0 ) ( 41040 119325 )
+    NEW met1 ( 41040 119325 ) ( 42480 119325 )
+    NEW met1 ( 42480 118955 ) ( 42480 119325 )
+    NEW met1 ( 42480 118955 ) ( 77520 118955 )
+    NEW met1 ( 77520 118955 ) M1M2_PR
     NEW li1 ( 77520 32005 ) L1M1_PR_MR
-    NEW met1 ( 75600 275465 ) M1M2_PR
-    NEW li1 ( 278160 276945 ) L1M1_PR_MR
-    NEW met1 ( 278160 276945 ) M1M2_PR
-    NEW met1 ( 278160 275465 ) M1M2_PR
-    NEW li1 ( 279120 277685 ) L1M1_PR_MR
-    NEW met1 ( 75600 32745 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 278160 276945 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 77520 32005 ) M1M2_PR
+    NEW met1 ( 77520 32005 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net506 ( output506 A ) ( _098_ LO ) 
-  + ROUTED met2 ( 115920 34965 ) ( 115920 37555 )
-    NEW met1 ( 115920 34965 ) ( 143760 34965 )
-    NEW met1 ( 76080 37555 ) ( 76080 37925 )
-    NEW met1 ( 76080 37555 ) ( 115920 37555 )
-    NEW met1 ( 115920 34965 ) M1M2_PR
-    NEW met1 ( 115920 37555 ) M1M2_PR
-    NEW li1 ( 143760 34965 ) L1M1_PR_MR
-    NEW li1 ( 76080 37925 ) L1M1_PR_MR
+- net506 ( output506 A ) ( _094_ LO ) 
+  + ROUTED met1 ( 66000 158545 ) ( 143760 158545 )
+    NEW met2 ( 143760 35335 ) ( 143760 158545 )
+    NEW li1 ( 143760 35335 ) L1M1_PR_MR
+    NEW met1 ( 143760 35335 ) M1M2_PR
+    NEW li1 ( 66000 158545 ) L1M1_PR_MR
+    NEW met1 ( 143760 158545 ) M1M2_PR
+    NEW met1 ( 143760 35335 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net507 ( output507 A ) ( _099_ LO ) 
-  + ROUTED met1 ( 193680 38665 ) ( 193680 39035 )
-    NEW met1 ( 193680 39035 ) ( 201840 39035 )
-    NEW met1 ( 201840 39035 ) ( 201840 39405 )
-    NEW met1 ( 201840 39405 ) ( 223920 39405 )
-    NEW met2 ( 223920 39405 ) ( 223920 53835 )
-    NEW met1 ( 187200 38665 ) ( 193680 38665 )
-    NEW met1 ( 141840 38295 ) ( 187200 38295 )
-    NEW met1 ( 187200 38295 ) ( 187200 38665 )
-    NEW met1 ( 223920 39405 ) M1M2_PR
-    NEW li1 ( 223920 53835 ) L1M1_PR_MR
-    NEW met1 ( 223920 53835 ) M1M2_PR
-    NEW li1 ( 141840 38295 ) L1M1_PR_MR
-    NEW met1 ( 223920 53835 ) RECT ( -355 -70 0 70 )
+- net507 ( output507 A ) ( _095_ LO ) 
+  + ROUTED met2 ( 141840 38665 ) ( 141840 72335 )
+    NEW met1 ( 141840 72335 ) ( 155760 72335 )
+    NEW met1 ( 155760 72335 ) ( 155760 72705 )
+    NEW met1 ( 155760 72705 ) ( 289200 72705 )
+    NEW li1 ( 289200 72705 ) L1M1_PR_MR
+    NEW li1 ( 141840 38665 ) L1M1_PR_MR
+    NEW met1 ( 141840 38665 ) M1M2_PR
+    NEW met1 ( 141840 72335 ) M1M2_PR
+    NEW met1 ( 141840 38665 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net508 ( output508 A ) ( _100_ LO ) 
-  + ROUTED met2 ( 147600 35335 ) ( 147600 152625 )
-    NEW met2 ( 276720 152625 ) ( 276720 153735 )
-    NEW met1 ( 147600 152625 ) ( 276720 152625 )
+- net508 ( output508 A ) ( _096_ LO ) 
+  + ROUTED met1 ( 147600 197765 ) ( 158640 197765 )
+    NEW met2 ( 147600 35335 ) ( 147600 197765 )
     NEW li1 ( 147600 35335 ) L1M1_PR_MR
     NEW met1 ( 147600 35335 ) M1M2_PR
-    NEW met1 ( 147600 152625 ) M1M2_PR
-    NEW met1 ( 276720 152625 ) M1M2_PR
-    NEW li1 ( 276720 153735 ) L1M1_PR_MR
-    NEW met1 ( 276720 153735 ) M1M2_PR
+    NEW met1 ( 147600 197765 ) M1M2_PR
+    NEW li1 ( 158640 197765 ) L1M1_PR_MR
     NEW met1 ( 147600 35335 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 276720 153735 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net509 ( output509 A ) ( _101_ LO ) 
-  + ROUTED met2 ( 153360 27195 ) ( 153360 31265 )
-    NEW met1 ( 153360 27195 ) ( 277200 27195 )
-    NEW met1 ( 153360 27195 ) M1M2_PR
-    NEW li1 ( 153360 31265 ) L1M1_PR_MR
-    NEW met1 ( 153360 31265 ) M1M2_PR
-    NEW li1 ( 277200 27195 ) L1M1_PR_MR
-    NEW met1 ( 153360 31265 ) RECT ( -355 -70 0 70 )
+- net509 ( ANTENNA_170 DIODE ) ( ANTENNA_169 DIODE ) ( output509 A ) ( _097_ LO ) 
+  + ROUTED met1 ( 151920 32005 ) ( 153360 32005 )
+    NEW met1 ( 259440 224405 ) ( 260400 224405 )
+    NEW met1 ( 153360 224405 ) ( 259440 224405 )
+    NEW met2 ( 153360 32005 ) ( 153360 224405 )
+    NEW li1 ( 153360 32005 ) L1M1_PR_MR
+    NEW met1 ( 153360 32005 ) M1M2_PR
+    NEW li1 ( 151920 32005 ) L1M1_PR_MR
+    NEW met1 ( 153360 224405 ) M1M2_PR
+    NEW li1 ( 259440 224405 ) L1M1_PR_MR
+    NEW li1 ( 260400 224405 ) L1M1_PR_MR
+    NEW met1 ( 153360 32005 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net510 ( ANTENNA_175 DIODE ) ( ANTENNA_174 DIODE ) ( output510 A ) ( _102_ LO ) 
-  + ROUTED met1 ( 9360 204425 ) ( 10320 204425 )
-    NEW met1 ( 10320 204425 ) ( 144720 204425 )
-    NEW met1 ( 144720 39405 ) ( 145200 39405 )
-    NEW met1 ( 144720 38665 ) ( 146640 38665 )
-    NEW met2 ( 144720 38665 ) ( 144720 39405 )
-    NEW met2 ( 144720 39405 ) ( 144720 204425 )
-    NEW li1 ( 10320 204425 ) L1M1_PR_MR
-    NEW li1 ( 9360 204425 ) L1M1_PR_MR
-    NEW met1 ( 144720 204425 ) M1M2_PR
-    NEW li1 ( 145200 39405 ) L1M1_PR_MR
-    NEW met1 ( 144720 39405 ) M1M2_PR
-    NEW li1 ( 146640 38665 ) L1M1_PR_MR
-    NEW met1 ( 144720 38665 ) M1M2_PR
+- net510 ( ANTENNA_172 DIODE ) ( ANTENNA_171 DIODE ) ( output510 A ) ( _098_ LO ) 
+  + ROUTED met1 ( 147120 202205 ) ( 177840 202205 )
+    NEW met2 ( 177840 202205 ) ( 177840 203685 )
+    NEW met1 ( 177840 203685 ) ( 237600 203685 )
+    NEW met1 ( 239760 204425 ) ( 240240 204425 )
+    NEW met1 ( 237600 203685 ) ( 237600 204425 )
+    NEW met1 ( 237600 204425 ) ( 239760 204425 )
+    NEW met1 ( 145680 38665 ) ( 147120 38665 )
+    NEW met2 ( 147120 38665 ) ( 147120 202205 )
+    NEW met1 ( 147120 202205 ) M1M2_PR
+    NEW met1 ( 177840 202205 ) M1M2_PR
+    NEW met1 ( 177840 203685 ) M1M2_PR
+    NEW li1 ( 239760 204425 ) L1M1_PR_MR
+    NEW li1 ( 240240 204425 ) L1M1_PR_MR
+    NEW li1 ( 147120 38665 ) L1M1_PR_MR
+    NEW met1 ( 147120 38665 ) M1M2_PR
+    NEW li1 ( 145680 38665 ) L1M1_PR_MR
+    NEW met1 ( 147120 38665 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net511 ( output511 A ) ( _103_ LO ) 
-  + ROUTED met1 ( 116400 37555 ) ( 116400 37925 )
-    NEW met2 ( 150960 37555 ) ( 150960 38665 )
-    NEW met1 ( 116400 37555 ) ( 150960 37555 )
-    NEW li1 ( 116400 37925 ) L1M1_PR_MR
-    NEW met1 ( 150960 37555 ) M1M2_PR
-    NEW li1 ( 150960 38665 ) L1M1_PR_MR
-    NEW met1 ( 150960 38665 ) M1M2_PR
-    NEW met1 ( 150960 38665 ) RECT ( -355 -70 0 70 )
+- net511 ( ANTENNA_174 DIODE ) ( ANTENNA_173 DIODE ) ( output511 A ) ( _099_ LO ) 
+  + ROUTED met1 ( 116880 244385 ) ( 118320 244385 )
+    NEW met1 ( 149520 38295 ) ( 150000 38295 )
+    NEW met1 ( 150000 38295 ) ( 150480 38295 )
+    NEW met1 ( 118320 244385 ) ( 150000 244385 )
+    NEW met2 ( 150000 38295 ) ( 150000 244385 )
+    NEW li1 ( 118320 244385 ) L1M1_PR_MR
+    NEW li1 ( 116880 244385 ) L1M1_PR_MR
+    NEW li1 ( 149520 38295 ) L1M1_PR_MR
+    NEW met1 ( 150000 38295 ) M1M2_PR
+    NEW li1 ( 150480 38295 ) L1M1_PR_MR
+    NEW met1 ( 150000 244385 ) M1M2_PR
 + USE SIGNAL ;
-- net512 ( ANTENNA_177 DIODE ) ( ANTENNA_176 DIODE ) ( output512 A ) ( _104_ LO ) 
-  + ROUTED met1 ( 120720 193695 ) ( 122160 193695 )
-    NEW met1 ( 154320 35335 ) ( 154320 35705 )
-    NEW met1 ( 152880 35705 ) ( 154320 35705 )
-    NEW met1 ( 122160 193695 ) ( 152880 193695 )
-    NEW met2 ( 152880 35705 ) ( 152880 193695 )
-    NEW li1 ( 122160 193695 ) L1M1_PR_MR
-    NEW li1 ( 120720 193695 ) L1M1_PR_MR
-    NEW li1 ( 152880 35705 ) L1M1_PR_MR
-    NEW met1 ( 152880 35705 ) M1M2_PR
+- net512 ( ANTENNA_176 DIODE ) ( ANTENNA_175 DIODE ) ( output512 A ) ( _100_ LO ) 
+  + ROUTED met1 ( 153360 35335 ) ( 154320 35335 )
+    NEW met1 ( 35760 264365 ) ( 154320 264365 )
+    NEW met2 ( 154320 35335 ) ( 154320 264365 )
+    NEW li1 ( 35760 264365 ) L1M1_PR_MR
     NEW li1 ( 154320 35335 ) L1M1_PR_MR
-    NEW met1 ( 152880 193695 ) M1M2_PR
-    NEW met1 ( 152880 35705 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 154320 35335 ) M1M2_PR
+    NEW li1 ( 153360 35335 ) L1M1_PR_MR
+    NEW li1 ( 37200 264365 ) L1M1_PR_MR
+    NEW met1 ( 154320 264365 ) M1M2_PR
+    NEW met1 ( 154320 35335 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 37200 264365 ) RECT ( -595 -70 0 70 )
 + USE SIGNAL ;
-- net513 ( output513 A ) ( _105_ LO ) 
-  + ROUTED met1 ( 204240 15355 ) ( 205200 15355 )
-    NEW met2 ( 204240 15355 ) ( 204240 30895 )
-    NEW met1 ( 161040 30895 ) ( 161040 31265 )
-    NEW met1 ( 161040 30895 ) ( 204240 30895 )
-    NEW li1 ( 205200 15355 ) L1M1_PR_MR
-    NEW met1 ( 204240 15355 ) M1M2_PR
-    NEW met1 ( 204240 30895 ) M1M2_PR
-    NEW li1 ( 161040 31265 ) L1M1_PR_MR
+- net513 ( output513 A ) ( _101_ LO ) 
+  + ROUTED met1 ( 161040 31635 ) ( 175440 31635 )
+    NEW met1 ( 175440 31635 ) ( 175440 32005 )
+    NEW met1 ( 175440 32005 ) ( 253680 32005 )
+    NEW met1 ( 253680 40515 ) ( 254640 40515 )
+    NEW met2 ( 253680 32005 ) ( 253680 40515 )
+    NEW li1 ( 161040 31635 ) L1M1_PR_MR
+    NEW met1 ( 253680 32005 ) M1M2_PR
+    NEW met1 ( 253680 40515 ) M1M2_PR
+    NEW li1 ( 254640 40515 ) L1M1_PR_MR
 + USE SIGNAL ;
-- net514 ( ANTENNA_179 DIODE ) ( ANTENNA_178 DIODE ) ( output514 A ) ( _106_ LO ) 
-  + ROUTED met1 ( 101040 273615 ) ( 102480 273615 )
-    NEW met2 ( 102480 226995 ) ( 102480 273615 )
-    NEW met1 ( 157200 35335 ) ( 158160 35335 )
-    NEW met1 ( 102480 226995 ) ( 158160 226995 )
-    NEW met2 ( 158160 35335 ) ( 158160 226995 )
-    NEW met1 ( 102480 226995 ) M1M2_PR
-    NEW li1 ( 102480 273615 ) L1M1_PR_MR
-    NEW met1 ( 102480 273615 ) M1M2_PR
-    NEW li1 ( 101040 273615 ) L1M1_PR_MR
-    NEW li1 ( 158160 35335 ) L1M1_PR_MR
-    NEW met1 ( 158160 35335 ) M1M2_PR
+- net514 ( ANTENNA_178 DIODE ) ( ANTENNA_177 DIODE ) ( output514 A ) ( _102_ LO ) 
+  + ROUTED met1 ( 157200 35335 ) ( 158640 35335 )
+    NEW met2 ( 270960 225885 ) ( 270960 226995 )
+    NEW met1 ( 270960 226995 ) ( 271920 226995 )
+    NEW met1 ( 158640 225885 ) ( 270960 225885 )
+    NEW met2 ( 158640 35335 ) ( 158640 225885 )
+    NEW li1 ( 158640 35335 ) L1M1_PR_MR
+    NEW met1 ( 158640 35335 ) M1M2_PR
     NEW li1 ( 157200 35335 ) L1M1_PR_MR
-    NEW met1 ( 158160 226995 ) M1M2_PR
-    NEW met1 ( 102480 273615 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 158160 35335 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 158640 225885 ) M1M2_PR
+    NEW li1 ( 270960 226995 ) L1M1_PR_MR
+    NEW met1 ( 270960 226995 ) M1M2_PR
+    NEW met1 ( 270960 225885 ) M1M2_PR
+    NEW li1 ( 271920 226995 ) L1M1_PR_MR
+    NEW met1 ( 158640 35335 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 270960 226995 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net515 ( output515 A ) ( _107_ LO ) 
-  + ROUTED met2 ( 43920 12765 ) ( 43920 13875 )
-    NEW met2 ( 149040 12765 ) ( 149040 15725 )
-    NEW met1 ( 149040 15725 ) ( 152880 15725 )
-    NEW met2 ( 152880 15725 ) ( 152880 32190 )
-    NEW met2 ( 152880 32190 ) ( 153360 32190 )
-    NEW met1 ( 43920 12765 ) ( 149040 12765 )
-    NEW met1 ( 153360 37925 ) ( 156240 37925 )
-    NEW met2 ( 153360 32190 ) ( 153360 37925 )
-    NEW met1 ( 43920 12765 ) M1M2_PR
-    NEW li1 ( 43920 13875 ) L1M1_PR_MR
-    NEW met1 ( 43920 13875 ) M1M2_PR
-    NEW met1 ( 149040 12765 ) M1M2_PR
-    NEW met1 ( 149040 15725 ) M1M2_PR
-    NEW met1 ( 152880 15725 ) M1M2_PR
-    NEW met1 ( 153360 37925 ) M1M2_PR
-    NEW li1 ( 156240 37925 ) L1M1_PR_MR
-    NEW met1 ( 43920 13875 ) RECT ( -355 -70 0 70 )
+- net515 ( output515 A ) ( _103_ LO ) 
+  + ROUTED met2 ( 156240 38665 ) ( 156240 147445 )
+    NEW met1 ( 37200 147445 ) ( 156240 147445 )
+    NEW li1 ( 156240 38665 ) L1M1_PR_MR
+    NEW met1 ( 156240 38665 ) M1M2_PR
+    NEW met1 ( 156240 147445 ) M1M2_PR
+    NEW li1 ( 37200 147445 ) L1M1_PR_MR
+    NEW met1 ( 156240 38665 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net516 ( ANTENNA_181 DIODE ) ( ANTENNA_180 DIODE ) ( output516 A ) ( _062_ LO ) 
-  + ROUTED met1 ( 8880 264365 ) ( 10320 264365 )
-    NEW met1 ( 74160 35335 ) ( 75120 35335 )
-    NEW met1 ( 10320 264365 ) ( 75120 264365 )
-    NEW met2 ( 75120 35335 ) ( 75120 264365 )
-    NEW li1 ( 10320 264365 ) L1M1_PR_MR
-    NEW li1 ( 8880 264365 ) L1M1_PR_MR
-    NEW li1 ( 75120 35335 ) L1M1_PR_MR
-    NEW met1 ( 75120 35335 ) M1M2_PR
-    NEW li1 ( 74160 35335 ) L1M1_PR_MR
-    NEW met1 ( 75120 264365 ) M1M2_PR
-    NEW met1 ( 75120 35335 ) RECT ( -355 -70 0 70 )
+- net516 ( output516 A ) ( _062_ LO ) 
+  + ROUTED met2 ( 75600 35335 ) ( 75600 40515 )
+    NEW li1 ( 75600 35335 ) L1M1_PR_MR
+    NEW met1 ( 75600 35335 ) M1M2_PR
+    NEW li1 ( 75600 40515 ) L1M1_PR_MR
+    NEW met1 ( 75600 40515 ) M1M2_PR
+    NEW met1 ( 75600 35335 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 75600 40515 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net517 ( output517 A ) ( _108_ LO ) 
-  + ROUTED met1 ( 276720 124135 ) ( 276720 124505 )
-    NEW met1 ( 162480 124135 ) ( 276720 124135 )
-    NEW met2 ( 162480 35335 ) ( 162480 124135 )
-    NEW li1 ( 162480 35335 ) L1M1_PR_MR
-    NEW met1 ( 162480 35335 ) M1M2_PR
-    NEW met1 ( 162480 124135 ) M1M2_PR
-    NEW li1 ( 276720 124505 ) L1M1_PR_MR
-    NEW met1 ( 162480 35335 ) RECT ( -355 -70 0 70 )
+- net517 ( output517 A ) ( _104_ LO ) 
+  + ROUTED met2 ( 162480 32745 ) ( 162480 34595 )
+    NEW met1 ( 148560 32745 ) ( 162480 32745 )
+    NEW met1 ( 148080 80475 ) ( 148560 80475 )
+    NEW met2 ( 148560 32745 ) ( 148560 80475 )
+    NEW li1 ( 162480 34595 ) L1M1_PR_MR
+    NEW met1 ( 162480 34595 ) M1M2_PR
+    NEW met1 ( 162480 32745 ) M1M2_PR
+    NEW met1 ( 148560 32745 ) M1M2_PR
+    NEW met1 ( 148560 80475 ) M1M2_PR
+    NEW li1 ( 148080 80475 ) L1M1_PR_MR
+    NEW met1 ( 162480 34595 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net518 ( ANTENNA_183 DIODE ) ( ANTENNA_182 DIODE ) ( output518 A ) ( _109_ LO ) 
-  + ROUTED met1 ( 167280 32005 ) ( 167280 32745 )
-    NEW met1 ( 165840 32745 ) ( 167280 32745 )
-    NEW met2 ( 74640 182595 ) ( 74640 184445 )
-    NEW met1 ( 73200 184445 ) ( 74640 184445 )
-    NEW met1 ( 162000 49395 ) ( 165840 49395 )
-    NEW met2 ( 165840 32745 ) ( 165840 49395 )
-    NEW met1 ( 74640 182595 ) ( 162000 182595 )
-    NEW met2 ( 162000 49395 ) ( 162000 182595 )
-    NEW li1 ( 165840 32745 ) L1M1_PR_MR
-    NEW met1 ( 165840 32745 ) M1M2_PR
-    NEW li1 ( 167280 32005 ) L1M1_PR_MR
-    NEW li1 ( 74640 184445 ) L1M1_PR_MR
-    NEW met1 ( 74640 184445 ) M1M2_PR
-    NEW met1 ( 74640 182595 ) M1M2_PR
-    NEW li1 ( 73200 184445 ) L1M1_PR_MR
-    NEW met1 ( 162000 49395 ) M1M2_PR
-    NEW met1 ( 165840 49395 ) M1M2_PR
-    NEW met1 ( 162000 182595 ) M1M2_PR
-    NEW met1 ( 165840 32745 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 74640 184445 ) RECT ( -355 -70 0 70 )
+- net518 ( output518 A ) ( _105_ LO ) 
+  + ROUTED met1 ( 144240 31265 ) ( 167760 31265 )
+    NEW met2 ( 144240 31265 ) ( 144240 37555 )
+    NEW met1 ( 101520 37555 ) ( 101520 37925 )
+    NEW met1 ( 101520 37555 ) ( 144240 37555 )
+    NEW li1 ( 167760 31265 ) L1M1_PR_MR
+    NEW met1 ( 144240 31265 ) M1M2_PR
+    NEW met1 ( 144240 37555 ) M1M2_PR
+    NEW li1 ( 101520 37925 ) L1M1_PR_MR
 + USE SIGNAL ;
-- net519 ( output519 A ) ( _110_ LO ) 
-  + ROUTED met1 ( 146640 34965 ) ( 166320 34965 )
-    NEW met1 ( 146640 34965 ) ( 146640 35335 )
-    NEW met1 ( 140400 35335 ) ( 146640 35335 )
-    NEW met1 ( 129840 40515 ) ( 140400 40515 )
-    NEW met2 ( 140400 35335 ) ( 140400 40515 )
-    NEW li1 ( 129840 40515 ) L1M1_PR_MR
-    NEW li1 ( 166320 34965 ) L1M1_PR_MR
-    NEW met1 ( 140400 35335 ) M1M2_PR
-    NEW met1 ( 140400 40515 ) M1M2_PR
+- net519 ( ANTENNA_180 DIODE ) ( ANTENNA_179 DIODE ) ( output519 A ) ( _106_ LO ) 
+  + ROUTED met1 ( 164880 35335 ) ( 166320 35335 )
+    NEW met1 ( 166320 233655 ) ( 167760 233655 )
+    NEW met1 ( 167760 233655 ) ( 168720 233655 )
+    NEW met2 ( 166320 35335 ) ( 166320 233655 )
+    NEW li1 ( 166320 35335 ) L1M1_PR_MR
+    NEW met1 ( 166320 35335 ) M1M2_PR
+    NEW li1 ( 164880 35335 ) L1M1_PR_MR
+    NEW li1 ( 167760 233655 ) L1M1_PR_MR
+    NEW met1 ( 166320 233655 ) M1M2_PR
+    NEW li1 ( 168720 233655 ) L1M1_PR_MR
+    NEW met1 ( 166320 35335 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net520 ( output520 A ) ( _111_ LO ) 
-  + ROUTED met1 ( 171600 48655 ) ( 178800 48655 )
-    NEW met2 ( 178800 48655 ) ( 178800 79365 )
-    NEW met2 ( 171600 32005 ) ( 171600 48655 )
-    NEW met2 ( 247920 79365 ) ( 247920 80475 )
-    NEW met1 ( 178800 79365 ) ( 247920 79365 )
-    NEW li1 ( 171600 32005 ) L1M1_PR_MR
-    NEW met1 ( 171600 32005 ) M1M2_PR
-    NEW met1 ( 171600 48655 ) M1M2_PR
-    NEW met1 ( 178800 48655 ) M1M2_PR
-    NEW met1 ( 178800 79365 ) M1M2_PR
-    NEW met1 ( 247920 79365 ) M1M2_PR
-    NEW li1 ( 247920 80475 ) L1M1_PR_MR
-    NEW met1 ( 247920 80475 ) M1M2_PR
-    NEW met1 ( 171600 32005 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 247920 80475 ) RECT ( -355 -70 0 70 )
+- net520 ( output520 A ) ( _107_ LO ) 
+  + ROUTED met1 ( 217200 15355 ) ( 218640 15355 )
+    NEW met1 ( 217200 15355 ) ( 217200 15725 )
+    NEW met1 ( 215760 15725 ) ( 217200 15725 )
+    NEW met2 ( 215760 15725 ) ( 215760 31265 )
+    NEW met1 ( 187200 31265 ) ( 215760 31265 )
+    NEW met1 ( 187200 30895 ) ( 187200 31265 )
+    NEW met1 ( 171600 30895 ) ( 187200 30895 )
+    NEW met1 ( 171600 30895 ) ( 171600 31265 )
+    NEW li1 ( 218640 15355 ) L1M1_PR_MR
+    NEW met1 ( 215760 15725 ) M1M2_PR
+    NEW met1 ( 215760 31265 ) M1M2_PR
+    NEW li1 ( 171600 31265 ) L1M1_PR_MR
 + USE SIGNAL ;
-- net521 ( output521 A ) ( _112_ LO ) 
-  + ROUTED met1 ( 163920 49025 ) ( 170160 49025 )
-    NEW met2 ( 163920 49025 ) ( 163920 112665 )
-    NEW met2 ( 170160 35335 ) ( 170160 49025 )
-    NEW met2 ( 67920 112665 ) ( 67920 113775 )
-    NEW met1 ( 67920 112665 ) ( 163920 112665 )
+- net521 ( output521 A ) ( _108_ LO ) 
+  + ROUTED met1 ( 170160 35335 ) ( 170640 35335 )
+    NEW met2 ( 170640 35335 ) ( 170640 36000 )
+    NEW met2 ( 170640 36000 ) ( 171120 36000 )
+    NEW met2 ( 171120 36000 ) ( 171120 58645 )
+    NEW met1 ( 171120 58645 ) ( 260880 58645 )
     NEW li1 ( 170160 35335 ) L1M1_PR_MR
-    NEW met1 ( 170160 35335 ) M1M2_PR
-    NEW met1 ( 163920 112665 ) M1M2_PR
-    NEW met1 ( 163920 49025 ) M1M2_PR
-    NEW met1 ( 170160 49025 ) M1M2_PR
-    NEW met1 ( 67920 112665 ) M1M2_PR
-    NEW li1 ( 67920 113775 ) L1M1_PR_MR
-    NEW met1 ( 67920 113775 ) M1M2_PR
-    NEW met1 ( 170160 35335 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 67920 113775 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 170640 35335 ) M1M2_PR
+    NEW met1 ( 171120 58645 ) M1M2_PR
+    NEW li1 ( 260880 58645 ) L1M1_PR_MR
 + USE SIGNAL ;
-- net522 ( ANTENNA_184 DIODE ) ( output522 A ) ( _113_ LO ) 
-  + ROUTED met1 ( 174960 32005 ) ( 174960 32745 )
-    NEW met1 ( 173520 32745 ) ( 174960 32745 )
-    NEW met2 ( 173520 32745 ) ( 173520 93795 )
-    NEW met1 ( 38640 93795 ) ( 173520 93795 )
-    NEW li1 ( 173520 32745 ) L1M1_PR_MR
-    NEW met1 ( 173520 32745 ) M1M2_PR
+- net522 ( ANTENNA_182 DIODE ) ( ANTENNA_181 DIODE ) ( output522 A ) ( _109_ LO ) 
+  + ROUTED met1 ( 109200 213675 ) ( 109680 213675 )
+    NEW met2 ( 109680 210715 ) ( 109680 213675 )
+    NEW met1 ( 107760 213675 ) ( 109200 213675 )
+    NEW met1 ( 174000 32745 ) ( 174480 32745 )
+    NEW met1 ( 174960 32005 ) ( 174960 32745 )
+    NEW met1 ( 174480 32745 ) ( 174960 32745 )
+    NEW met1 ( 109680 210715 ) ( 136800 210715 )
+    NEW met1 ( 136800 211085 ) ( 174480 211085 )
+    NEW met1 ( 136800 210715 ) ( 136800 211085 )
+    NEW met2 ( 174480 32745 ) ( 174480 211085 )
+    NEW li1 ( 109200 213675 ) L1M1_PR_MR
+    NEW met1 ( 109680 213675 ) M1M2_PR
+    NEW met1 ( 109680 210715 ) M1M2_PR
+    NEW li1 ( 107760 213675 ) L1M1_PR_MR
+    NEW li1 ( 174000 32745 ) L1M1_PR_MR
+    NEW met1 ( 174480 32745 ) M1M2_PR
     NEW li1 ( 174960 32005 ) L1M1_PR_MR
-    NEW met1 ( 173520 93795 ) M1M2_PR
-    NEW li1 ( 38640 93795 ) L1M1_PR_MR
-    NEW met1 ( 173520 32745 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 174480 211085 ) M1M2_PR
 + USE SIGNAL ;
-- net523 ( output523 A ) ( _114_ LO ) 
-  + ROUTED met1 ( 284880 127095 ) ( 286800 127095 )
-    NEW met2 ( 169200 38665 ) ( 169200 68635 )
-    NEW met1 ( 169200 68635 ) ( 284880 68635 )
-    NEW met2 ( 284880 68635 ) ( 284880 127095 )
-    NEW met1 ( 284880 127095 ) M1M2_PR
-    NEW li1 ( 286800 127095 ) L1M1_PR_MR
-    NEW li1 ( 169200 38665 ) L1M1_PR_MR
-    NEW met1 ( 169200 38665 ) M1M2_PR
-    NEW met1 ( 169200 68635 ) M1M2_PR
-    NEW met1 ( 284880 68635 ) M1M2_PR
-    NEW met1 ( 169200 38665 ) RECT ( -355 -70 0 70 )
+- net523 ( ANTENNA_183 DIODE ) ( output523 A ) ( _110_ LO ) 
+  + ROUTED met2 ( 14160 72705 ) ( 14160 74555 )
+    NEW met1 ( 166800 38665 ) ( 167280 38665 )
+    NEW met2 ( 166800 38665 ) ( 166800 74555 )
+    NEW met1 ( 167280 38665 ) ( 168720 38665 )
+    NEW met1 ( 14160 74555 ) ( 166800 74555 )
+    NEW li1 ( 14160 72705 ) L1M1_PR_MR
+    NEW met1 ( 14160 72705 ) M1M2_PR
+    NEW met1 ( 14160 74555 ) M1M2_PR
+    NEW li1 ( 167280 38665 ) L1M1_PR_MR
+    NEW met1 ( 166800 38665 ) M1M2_PR
+    NEW met1 ( 166800 74555 ) M1M2_PR
+    NEW li1 ( 168720 38665 ) L1M1_PR_MR
+    NEW met1 ( 14160 72705 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net524 ( output524 A ) ( _115_ LO ) 
-  + ROUTED met1 ( 178800 32745 ) ( 179280 32745 )
-    NEW met2 ( 178800 32745 ) ( 178800 34595 )
-    NEW met1 ( 174000 34595 ) ( 178800 34595 )
-    NEW li1 ( 179280 32745 ) L1M1_PR_MR
-    NEW met1 ( 178800 32745 ) M1M2_PR
-    NEW met1 ( 178800 34595 ) M1M2_PR
-    NEW li1 ( 174000 34595 ) L1M1_PR_MR
+- net524 ( output524 A ) ( _111_ LO ) 
+  + ROUTED met2 ( 174000 35335 ) ( 174000 97125 )
+    NEW li1 ( 49200 97125 ) ( 49200 97865 )
+    NEW met1 ( 48720 97865 ) ( 49200 97865 )
+    NEW met1 ( 49200 97125 ) ( 174000 97125 )
+    NEW li1 ( 174000 35335 ) L1M1_PR_MR
+    NEW met1 ( 174000 35335 ) M1M2_PR
+    NEW met1 ( 174000 97125 ) M1M2_PR
+    NEW li1 ( 49200 97125 ) L1M1_PR_MR
+    NEW li1 ( 49200 97865 ) L1M1_PR_MR
+    NEW li1 ( 48720 97865 ) L1M1_PR_MR
+    NEW met1 ( 174000 35335 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net525 ( output525 A ) ( _116_ LO ) 
-  + ROUTED met2 ( 107760 54575 ) ( 107760 84545 )
-    NEW met1 ( 97200 84545 ) ( 107760 84545 )
-    NEW met2 ( 173040 38665 ) ( 173040 54575 )
-    NEW met1 ( 107760 54575 ) ( 173040 54575 )
-    NEW met1 ( 107760 54575 ) M1M2_PR
-    NEW met1 ( 107760 84545 ) M1M2_PR
-    NEW li1 ( 97200 84545 ) L1M1_PR_MR
-    NEW li1 ( 173040 38665 ) L1M1_PR_MR
-    NEW met1 ( 173040 38665 ) M1M2_PR
-    NEW met1 ( 173040 54575 ) M1M2_PR
-    NEW met1 ( 173040 38665 ) RECT ( -355 -70 0 70 )
+- net525 ( ANTENNA_185 DIODE ) ( ANTENNA_184 DIODE ) ( output525 A ) ( _112_ LO ) 
+  + ROUTED met2 ( 57360 211825 ) ( 57360 213675 )
+    NEW met1 ( 55920 213675 ) ( 57360 213675 )
+    NEW met1 ( 162000 209235 ) ( 172560 209235 )
+    NEW met2 ( 162000 209235 ) ( 162000 211825 )
+    NEW met1 ( 57360 211825 ) ( 162000 211825 )
+    NEW met1 ( 171600 38665 ) ( 172560 38665 )
+    NEW met2 ( 172560 38665 ) ( 172560 209235 )
+    NEW li1 ( 57360 213675 ) L1M1_PR_MR
+    NEW met1 ( 57360 213675 ) M1M2_PR
+    NEW met1 ( 57360 211825 ) M1M2_PR
+    NEW li1 ( 55920 213675 ) L1M1_PR_MR
+    NEW met1 ( 172560 209235 ) M1M2_PR
+    NEW met1 ( 162000 209235 ) M1M2_PR
+    NEW met1 ( 162000 211825 ) M1M2_PR
+    NEW li1 ( 172560 38665 ) L1M1_PR_MR
+    NEW met1 ( 172560 38665 ) M1M2_PR
+    NEW li1 ( 171600 38665 ) L1M1_PR_MR
+    NEW met1 ( 57360 213675 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 172560 38665 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net526 ( output526 A ) ( _117_ LO ) 
-  + ROUTED met2 ( 176880 38665 ) ( 176880 158545 )
-    NEW met1 ( 176880 158545 ) ( 264240 158545 )
+- net526 ( output526 A ) ( _113_ LO ) 
+  + ROUTED met2 ( 254640 125985 ) ( 254640 127095 )
+    NEW met1 ( 176880 125985 ) ( 254640 125985 )
+    NEW met2 ( 176880 38665 ) ( 176880 125985 )
+    NEW met1 ( 176880 125985 ) M1M2_PR
+    NEW met1 ( 254640 125985 ) M1M2_PR
+    NEW li1 ( 254640 127095 ) L1M1_PR_MR
+    NEW met1 ( 254640 127095 ) M1M2_PR
     NEW li1 ( 176880 38665 ) L1M1_PR_MR
     NEW met1 ( 176880 38665 ) M1M2_PR
-    NEW met1 ( 176880 158545 ) M1M2_PR
-    NEW li1 ( 264240 158545 ) L1M1_PR_MR
+    NEW met1 ( 254640 127095 ) RECT ( -355 -70 0 70 )
     NEW met1 ( 176880 38665 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net527 ( ANTENNA_186 DIODE ) ( ANTENNA_185 DIODE ) ( output527 A ) ( _063_ LO ) 
-  + ROUTED met1 ( 29040 187035 ) ( 30480 187035 )
-    NEW met1 ( 80880 32005 ) ( 80880 32745 )
-    NEW met1 ( 79440 32745 ) ( 80880 32745 )
-    NEW met2 ( 79440 32745 ) ( 79440 49025 )
-    NEW met1 ( 30480 49025 ) ( 79440 49025 )
-    NEW met2 ( 30480 49025 ) ( 30480 187035 )
-    NEW li1 ( 30480 187035 ) L1M1_PR_MR
-    NEW met1 ( 30480 187035 ) M1M2_PR
-    NEW li1 ( 29040 187035 ) L1M1_PR_MR
-    NEW li1 ( 79440 32745 ) L1M1_PR_MR
-    NEW met1 ( 79440 32745 ) M1M2_PR
-    NEW li1 ( 80880 32005 ) L1M1_PR_MR
-    NEW met1 ( 79440 49025 ) M1M2_PR
-    NEW met1 ( 30480 49025 ) M1M2_PR
-    NEW met1 ( 30480 187035 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 79440 32745 ) RECT ( -355 -70 0 70 )
+- net527 ( ANTENNA_187 DIODE ) ( ANTENNA_186 DIODE ) ( output527 A ) ( _063_ LO ) 
+  + ROUTED met1 ( 81360 277315 ) ( 237600 277315 )
+    NEW met1 ( 237600 278055 ) ( 278160 278055 )
+    NEW met1 ( 237600 277315 ) ( 237600 278055 )
+    NEW met1 ( 278160 278055 ) ( 279120 278055 )
+    NEW met1 ( 79920 32005 ) ( 81360 32005 )
+    NEW met2 ( 81360 32005 ) ( 81360 277315 )
+    NEW met1 ( 81360 277315 ) M1M2_PR
+    NEW li1 ( 278160 278055 ) L1M1_PR_MR
+    NEW li1 ( 279120 278055 ) L1M1_PR_MR
+    NEW li1 ( 81360 32005 ) L1M1_PR_MR
+    NEW met1 ( 81360 32005 ) M1M2_PR
+    NEW li1 ( 79920 32005 ) L1M1_PR_MR
+    NEW met1 ( 81360 32005 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net528 ( output528 A ) ( _118_ LO ) 
-  + ROUTED met1 ( 86400 110815 ) ( 86400 111185 )
-    NEW met1 ( 86400 110815 ) ( 178320 110815 )
-    NEW met1 ( 178320 49395 ) ( 181200 49395 )
-    NEW met2 ( 178320 49395 ) ( 178320 110815 )
-    NEW met2 ( 181200 35335 ) ( 181200 49395 )
-    NEW met1 ( 78000 111185 ) ( 86400 111185 )
+- net528 ( ANTENNA_189 DIODE ) ( ANTENNA_188 DIODE ) ( output528 A ) ( _114_ LO ) 
+  + ROUTED met1 ( 222000 231435 ) ( 222960 231435 )
+    NEW met1 ( 179760 35335 ) ( 181200 35335 )
+    NEW met1 ( 181200 231435 ) ( 222000 231435 )
+    NEW met2 ( 181200 35335 ) ( 181200 231435 )
+    NEW li1 ( 222000 231435 ) L1M1_PR_MR
+    NEW li1 ( 222960 231435 ) L1M1_PR_MR
     NEW li1 ( 181200 35335 ) L1M1_PR_MR
     NEW met1 ( 181200 35335 ) M1M2_PR
-    NEW met1 ( 178320 110815 ) M1M2_PR
-    NEW met1 ( 178320 49395 ) M1M2_PR
-    NEW met1 ( 181200 49395 ) M1M2_PR
-    NEW li1 ( 78000 111185 ) L1M1_PR_MR
+    NEW li1 ( 179760 35335 ) L1M1_PR_MR
+    NEW met1 ( 181200 231435 ) M1M2_PR
     NEW met1 ( 181200 35335 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net529 ( ANTENNA_187 DIODE ) ( output529 A ) ( _119_ LO ) 
-  + ROUTED met2 ( 184560 27935 ) ( 184560 30525 )
-    NEW met1 ( 184560 31265 ) ( 186000 31265 )
-    NEW met2 ( 184560 30525 ) ( 184560 31265 )
-    NEW met1 ( 64800 27935 ) ( 184560 27935 )
-    NEW met1 ( 64800 27565 ) ( 64800 27935 )
-    NEW met1 ( 49680 27565 ) ( 64800 27565 )
-    NEW met1 ( 49680 27565 ) ( 49680 28675 )
-    NEW met1 ( 35280 28675 ) ( 49680 28675 )
-    NEW met2 ( 35280 28675 ) ( 35280 37925 )
-    NEW met1 ( 35280 37925 ) ( 35760 37925 )
-    NEW li1 ( 184560 30525 ) L1M1_PR_MR
-    NEW met1 ( 184560 30525 ) M1M2_PR
-    NEW met1 ( 184560 27935 ) M1M2_PR
-    NEW li1 ( 186000 31265 ) L1M1_PR_MR
-    NEW met1 ( 184560 31265 ) M1M2_PR
-    NEW met1 ( 35280 28675 ) M1M2_PR
-    NEW met1 ( 35280 37925 ) M1M2_PR
-    NEW li1 ( 35760 37925 ) L1M1_PR_MR
-    NEW met1 ( 184560 30525 ) RECT ( -355 -70 0 70 )
+- net529 ( output529 A ) ( _115_ LO ) 
+  + ROUTED met2 ( 176880 20535 ) ( 176880 31265 )
+    NEW met1 ( 176880 31265 ) ( 186480 31265 )
+    NEW met1 ( 114000 20535 ) ( 176880 20535 )
+    NEW met1 ( 176880 20535 ) M1M2_PR
+    NEW met1 ( 176880 31265 ) M1M2_PR
+    NEW li1 ( 186480 31265 ) L1M1_PR_MR
+    NEW li1 ( 114000 20535 ) L1M1_PR_MR
 + USE SIGNAL ;
-- net530 ( output530 A ) ( _120_ LO ) 
-  + ROUTED met2 ( 180720 38665 ) ( 180720 50505 )
-    NEW met1 ( 177840 50505 ) ( 180720 50505 )
-    NEW met1 ( 91440 138195 ) ( 177840 138195 )
-    NEW met2 ( 177840 50505 ) ( 177840 138195 )
-    NEW li1 ( 91440 138195 ) L1M1_PR_MR
-    NEW li1 ( 180720 38665 ) L1M1_PR_MR
-    NEW met1 ( 180720 38665 ) M1M2_PR
-    NEW met1 ( 180720 50505 ) M1M2_PR
-    NEW met1 ( 177840 50505 ) M1M2_PR
-    NEW met1 ( 177840 138195 ) M1M2_PR
-    NEW met1 ( 180720 38665 ) RECT ( -355 -70 0 70 )
+- net530 ( output530 A ) ( _116_ LO ) 
+  + ROUTED met2 ( 180720 38295 ) ( 180720 52725 )
+    NEW met2 ( 273840 52725 ) ( 273840 53835 )
+    NEW met1 ( 180720 52725 ) ( 273840 52725 )
+    NEW li1 ( 180720 38295 ) L1M1_PR_MR
+    NEW met1 ( 180720 38295 ) M1M2_PR
+    NEW met1 ( 180720 52725 ) M1M2_PR
+    NEW met1 ( 273840 52725 ) M1M2_PR
+    NEW li1 ( 273840 53835 ) L1M1_PR_MR
+    NEW met1 ( 273840 53835 ) M1M2_PR
+    NEW met1 ( 180720 38295 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 273840 53835 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net531 ( output531 A ) ( _121_ LO ) 
-  + ROUTED met2 ( 122640 158915 ) ( 122640 160395 )
-    NEW met1 ( 108240 160395 ) ( 122640 160395 )
-    NEW met1 ( 177360 49025 ) ( 185040 49025 )
-    NEW met2 ( 185040 35335 ) ( 185040 49025 )
-    NEW met1 ( 122640 158915 ) ( 177360 158915 )
-    NEW met2 ( 177360 49025 ) ( 177360 158915 )
-    NEW met1 ( 122640 158915 ) M1M2_PR
-    NEW met1 ( 122640 160395 ) M1M2_PR
-    NEW li1 ( 108240 160395 ) L1M1_PR_MR
+- net531 ( ANTENNA_191 DIODE ) ( ANTENNA_190 DIODE ) ( output531 A ) ( _117_ LO ) 
+  + ROUTED met1 ( 184560 35335 ) ( 185040 35335 )
+    NEW met1 ( 183600 35335 ) ( 184560 35335 )
+    NEW met2 ( 265200 205905 ) ( 265200 207015 )
+    NEW met1 ( 265200 207015 ) ( 266160 207015 )
+    NEW met1 ( 184560 205905 ) ( 265200 205905 )
+    NEW met2 ( 184560 35335 ) ( 184560 205905 )
     NEW li1 ( 185040 35335 ) L1M1_PR_MR
-    NEW met1 ( 185040 35335 ) M1M2_PR
-    NEW met1 ( 177360 49025 ) M1M2_PR
-    NEW met1 ( 185040 49025 ) M1M2_PR
-    NEW met1 ( 177360 158915 ) M1M2_PR
-    NEW met1 ( 185040 35335 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 184560 35335 ) M1M2_PR
+    NEW li1 ( 183600 35335 ) L1M1_PR_MR
+    NEW met1 ( 184560 205905 ) M1M2_PR
+    NEW li1 ( 265200 207015 ) L1M1_PR_MR
+    NEW met1 ( 265200 207015 ) M1M2_PR
+    NEW met1 ( 265200 205905 ) M1M2_PR
+    NEW li1 ( 266160 207015 ) L1M1_PR_MR
+    NEW met1 ( 265200 207015 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net532 ( ANTENNA_189 DIODE ) ( ANTENNA_188 DIODE ) ( output532 A ) ( _122_ LO ) 
-  + ROUTED met1 ( 157680 193695 ) ( 158160 193695 )
-    NEW met1 ( 156720 193695 ) ( 157680 193695 )
-    NEW met2 ( 182640 39405 ) ( 182640 57905 )
-    NEW met1 ( 157680 57905 ) ( 182640 57905 )
-    NEW met1 ( 182640 38665 ) ( 184080 38665 )
-    NEW met2 ( 182640 38665 ) ( 182640 39405 )
-    NEW met2 ( 157680 57905 ) ( 157680 193695 )
-    NEW li1 ( 158160 193695 ) L1M1_PR_MR
-    NEW met1 ( 157680 193695 ) M1M2_PR
-    NEW li1 ( 156720 193695 ) L1M1_PR_MR
-    NEW li1 ( 182640 39405 ) L1M1_PR_MR
-    NEW met1 ( 182640 39405 ) M1M2_PR
-    NEW met1 ( 182640 57905 ) M1M2_PR
-    NEW met1 ( 157680 57905 ) M1M2_PR
-    NEW li1 ( 184080 38665 ) L1M1_PR_MR
-    NEW met1 ( 182640 38665 ) M1M2_PR
-    NEW met1 ( 182640 39405 ) RECT ( -355 -70 0 70 )
+- net532 ( ANTENNA_193 DIODE ) ( ANTENNA_192 DIODE ) ( output532 A ) ( _118_ LO ) 
+  + ROUTED met1 ( 183120 38295 ) ( 184080 38295 )
+    NEW met1 ( 160080 273615 ) ( 184080 273615 )
+    NEW met1 ( 158640 273615 ) ( 160080 273615 )
+    NEW met2 ( 184080 38295 ) ( 184080 273615 )
+    NEW li1 ( 184080 38295 ) L1M1_PR_MR
+    NEW met1 ( 184080 38295 ) M1M2_PR
+    NEW li1 ( 183120 38295 ) L1M1_PR_MR
+    NEW li1 ( 160080 273615 ) L1M1_PR_MR
+    NEW met1 ( 184080 273615 ) M1M2_PR
+    NEW li1 ( 158640 273615 ) L1M1_PR_MR
+    NEW met1 ( 184080 38295 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net533 ( output533 A ) ( _123_ LO ) 
-  + ROUTED met2 ( 188880 35335 ) ( 188880 69005 )
-    NEW met1 ( 188880 69005 ) ( 259440 69005 )
-    NEW met1 ( 259440 153735 ) ( 260400 153735 )
-    NEW met2 ( 259440 69005 ) ( 259440 153735 )
-    NEW li1 ( 188880 35335 ) L1M1_PR_MR
-    NEW met1 ( 188880 35335 ) M1M2_PR
-    NEW met1 ( 188880 69005 ) M1M2_PR
-    NEW met1 ( 259440 69005 ) M1M2_PR
-    NEW met1 ( 259440 153735 ) M1M2_PR
-    NEW li1 ( 260400 153735 ) L1M1_PR_MR
-    NEW met1 ( 188880 35335 ) RECT ( -355 -70 0 70 )
+- net533 ( ANTENNA_195 DIODE ) ( ANTENNA_194 DIODE ) ( output533 A ) ( _119_ LO ) 
+  + ROUTED met1 ( 187440 35335 ) ( 188400 35335 )
+    NEW met2 ( 187440 35335 ) ( 187440 271025 )
+    NEW met1 ( 173040 271025 ) ( 174480 271025 )
+    NEW met1 ( 174480 271025 ) ( 187440 271025 )
+    NEW li1 ( 187440 35335 ) L1M1_PR_MR
+    NEW met1 ( 187440 35335 ) M1M2_PR
+    NEW li1 ( 188400 35335 ) L1M1_PR_MR
+    NEW met1 ( 187440 271025 ) M1M2_PR
+    NEW li1 ( 174480 271025 ) L1M1_PR_MR
+    NEW li1 ( 173040 271025 ) L1M1_PR_MR
+    NEW met1 ( 187440 35335 ) RECT ( 0 -70 355 70 )
 + USE SIGNAL ;
-- net534 ( ANTENNA_191 DIODE ) ( ANTENNA_190 DIODE ) ( output534 A ) ( _124_ LO ) 
-  + ROUTED met1 ( 192240 35335 ) ( 192240 35705 )
-    NEW met1 ( 190800 35705 ) ( 192240 35705 )
-    NEW met2 ( 190800 35705 ) ( 190800 167055 )
-    NEW met1 ( 179760 167055 ) ( 181200 167055 )
-    NEW met1 ( 181200 167055 ) ( 190800 167055 )
-    NEW li1 ( 190800 35705 ) L1M1_PR_MR
-    NEW met1 ( 190800 35705 ) M1M2_PR
-    NEW li1 ( 192240 35335 ) L1M1_PR_MR
-    NEW met1 ( 190800 167055 ) M1M2_PR
-    NEW li1 ( 181200 167055 ) L1M1_PR_MR
-    NEW li1 ( 179760 167055 ) L1M1_PR_MR
-    NEW met1 ( 190800 35705 ) RECT ( -355 -70 0 70 )
+- net534 ( ANTENNA_197 DIODE ) ( ANTENNA_196 DIODE ) ( output534 A ) ( _120_ LO ) 
+  + ROUTED met1 ( 191280 35335 ) ( 192720 35335 )
+    NEW met2 ( 192720 35335 ) ( 192720 218855 )
+    NEW met2 ( 270480 218855 ) ( 270480 220335 )
+    NEW met1 ( 270480 220335 ) ( 271440 220335 )
+    NEW met1 ( 192720 218855 ) ( 270480 218855 )
+    NEW li1 ( 192720 35335 ) L1M1_PR_MR
+    NEW met1 ( 192720 35335 ) M1M2_PR
+    NEW li1 ( 191280 35335 ) L1M1_PR_MR
+    NEW met1 ( 192720 218855 ) M1M2_PR
+    NEW li1 ( 270480 220335 ) L1M1_PR_MR
+    NEW met1 ( 270480 220335 ) M1M2_PR
+    NEW met1 ( 270480 218855 ) M1M2_PR
+    NEW li1 ( 271440 220335 ) L1M1_PR_MR
+    NEW met1 ( 192720 35335 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 270480 220335 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net535 ( output535 A ) ( _125_ LO ) 
-  + ROUTED met2 ( 195120 27565 ) ( 195120 34965 )
-    NEW met1 ( 195120 34965 ) ( 196560 34965 )
-    NEW met2 ( 89040 27195 ) ( 89040 40515 )
-    NEW met1 ( 89040 27195 ) ( 136800 27195 )
-    NEW met1 ( 136800 27195 ) ( 136800 27565 )
-    NEW met1 ( 136800 27565 ) ( 195120 27565 )
-    NEW met1 ( 89040 27195 ) M1M2_PR
-    NEW met1 ( 195120 27565 ) M1M2_PR
-    NEW met1 ( 195120 34965 ) M1M2_PR
-    NEW li1 ( 196560 34965 ) L1M1_PR_MR
-    NEW li1 ( 89040 40515 ) L1M1_PR_MR
-    NEW met1 ( 89040 40515 ) M1M2_PR
-    NEW met1 ( 89040 40515 ) RECT ( -355 -70 0 70 )
+- net535 ( ANTENNA_198 DIODE ) ( output535 A ) ( _121_ LO ) 
+  + ROUTED met1 ( 194640 35335 ) ( 196080 35335 )
+    NEW met2 ( 194640 35335 ) ( 194640 89355 )
+    NEW met2 ( 35760 89355 ) ( 35760 91205 )
+    NEW met1 ( 35760 89355 ) ( 194640 89355 )
+    NEW li1 ( 194640 35335 ) L1M1_PR_MR
+    NEW met1 ( 194640 35335 ) M1M2_PR
+    NEW li1 ( 196080 35335 ) L1M1_PR_MR
+    NEW met1 ( 194640 89355 ) M1M2_PR
+    NEW met1 ( 35760 89355 ) M1M2_PR
+    NEW li1 ( 35760 91205 ) L1M1_PR_MR
+    NEW met1 ( 35760 91205 ) M1M2_PR
+    NEW met1 ( 194640 35335 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 35760 91205 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net536 ( output536 A ) ( _126_ LO ) 
-  + ROUTED met1 ( 219600 100455 ) ( 225840 100455 )
-    NEW met1 ( 194160 37925 ) ( 200400 37925 )
-    NEW met1 ( 200400 37925 ) ( 200400 38295 )
-    NEW met1 ( 200400 38295 ) ( 219600 38295 )
-    NEW met2 ( 219600 38295 ) ( 219600 100455 )
-    NEW met1 ( 219600 100455 ) M1M2_PR
-    NEW li1 ( 225840 100455 ) L1M1_PR_MR
+- net536 ( output536 A ) ( _122_ LO ) 
+  + ROUTED met2 ( 111120 12395 ) ( 111120 13875 )
+    NEW met1 ( 188880 37925 ) ( 194160 37925 )
+    NEW met2 ( 188880 12025 ) ( 188880 37925 )
+    NEW met1 ( 187200 12025 ) ( 188880 12025 )
+    NEW met1 ( 187200 12025 ) ( 187200 12395 )
+    NEW met1 ( 111120 12395 ) ( 187200 12395 )
+    NEW met1 ( 111120 12395 ) M1M2_PR
+    NEW li1 ( 111120 13875 ) L1M1_PR_MR
+    NEW met1 ( 111120 13875 ) M1M2_PR
+    NEW met1 ( 188880 12025 ) M1M2_PR
+    NEW met1 ( 188880 37925 ) M1M2_PR
     NEW li1 ( 194160 37925 ) L1M1_PR_MR
-    NEW met1 ( 219600 38295 ) M1M2_PR
+    NEW met1 ( 111120 13875 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net537 ( ANTENNA_193 DIODE ) ( ANTENNA_192 DIODE ) ( output537 A ) ( _127_ LO ) 
-  + ROUTED met1 ( 199920 35335 ) ( 199920 35705 )
-    NEW met1 ( 198480 35705 ) ( 199920 35705 )
-    NEW met1 ( 87120 238095 ) ( 88560 238095 )
-    NEW met2 ( 198480 35705 ) ( 198480 238095 )
-    NEW met1 ( 88560 238095 ) ( 198480 238095 )
-    NEW li1 ( 198480 35705 ) L1M1_PR_MR
-    NEW met1 ( 198480 35705 ) M1M2_PR
+- net537 ( ANTENNA_200 DIODE ) ( ANTENNA_199 DIODE ) ( output537 A ) ( _123_ LO ) 
+  + ROUTED met1 ( 135120 220335 ) ( 136560 220335 )
+    NEW met2 ( 136560 218485 ) ( 136560 220335 )
+    NEW met1 ( 198000 35335 ) ( 198480 35335 )
+    NEW met1 ( 198480 35335 ) ( 199920 35335 )
+    NEW met2 ( 198000 35335 ) ( 198000 218485 )
+    NEW met1 ( 136560 218485 ) ( 198000 218485 )
+    NEW li1 ( 136560 220335 ) L1M1_PR_MR
+    NEW li1 ( 135120 220335 ) L1M1_PR_MR
+    NEW met1 ( 136560 218485 ) M1M2_PR
+    NEW met1 ( 136560 220335 ) M1M2_PR
+    NEW li1 ( 198480 35335 ) L1M1_PR_MR
+    NEW met1 ( 198000 35335 ) M1M2_PR
     NEW li1 ( 199920 35335 ) L1M1_PR_MR
-    NEW li1 ( 88560 238095 ) L1M1_PR_MR
-    NEW li1 ( 87120 238095 ) L1M1_PR_MR
-    NEW met1 ( 198480 238095 ) M1M2_PR
-    NEW met1 ( 198480 35705 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 198000 218485 ) M1M2_PR
+    NEW met1 ( 136560 220335 ) RECT ( -595 -70 0 70 )
 + USE SIGNAL ;
-- net538 ( output538 A ) ( _064_ LO ) 
-  + ROUTED met1 ( 79440 35335 ) ( 80400 35335 )
-    NEW met1 ( 80400 133755 ) ( 83280 133755 )
-    NEW met2 ( 80400 35335 ) ( 80400 133755 )
+- net538 ( output538 A ) ( OR2X1 Y ) 
+  + ROUTED met2 ( 79440 35335 ) ( 79440 123765 )
+    NEW met1 ( 43200 123765 0 ) ( 79440 123765 )
+    NEW met1 ( 79440 123765 ) M1M2_PR
     NEW li1 ( 79440 35335 ) L1M1_PR_MR
-    NEW met1 ( 80400 35335 ) M1M2_PR
-    NEW met1 ( 80400 133755 ) M1M2_PR
-    NEW li1 ( 83280 133755 ) L1M1_PR_MR
+    NEW met1 ( 79440 35335 ) M1M2_PR
+    NEW met1 ( 79440 35335 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net539 ( ANTENNA_195 DIODE ) ( ANTENNA_194 DIODE ) ( output539 A ) ( _128_ LO ) 
+- net539 ( ANTENNA_202 DIODE ) ( ANTENNA_201 DIODE ) ( output539 A ) ( _124_ LO ) 
   + ROUTED met1 ( 195600 39405 ) ( 196080 39405 )
     NEW met1 ( 195600 38295 ) ( 197520 38295 )
     NEW met2 ( 195600 38295 ) ( 195600 39405 )
-    NEW met2 ( 195600 39405 ) ( 195600 121175 )
-    NEW met1 ( 37680 273615 ) ( 76560 273615 )
-    NEW met1 ( 36240 273615 ) ( 37680 273615 )
-    NEW met2 ( 76560 121175 ) ( 76560 273615 )
-    NEW met1 ( 76560 121175 ) ( 195600 121175 )
-    NEW met1 ( 195600 121175 ) M1M2_PR
+    NEW met2 ( 195600 39405 ) ( 195600 177785 )
+    NEW met1 ( 71760 177785 ) ( 73200 177785 )
+    NEW met1 ( 73200 177785 ) ( 136800 177785 )
+    NEW met1 ( 187200 177785 ) ( 195600 177785 )
+    NEW met1 ( 136800 177415 ) ( 136800 177785 )
+    NEW met1 ( 136800 177415 ) ( 187200 177415 )
+    NEW met1 ( 187200 177415 ) ( 187200 177785 )
     NEW li1 ( 196080 39405 ) L1M1_PR_MR
     NEW met1 ( 195600 39405 ) M1M2_PR
     NEW li1 ( 197520 38295 ) L1M1_PR_MR
     NEW met1 ( 195600 38295 ) M1M2_PR
-    NEW li1 ( 37680 273615 ) L1M1_PR_MR
-    NEW met1 ( 76560 273615 ) M1M2_PR
-    NEW li1 ( 36240 273615 ) L1M1_PR_MR
-    NEW met1 ( 76560 121175 ) M1M2_PR
+    NEW met1 ( 195600 177785 ) M1M2_PR
+    NEW li1 ( 73200 177785 ) L1M1_PR_MR
+    NEW li1 ( 71760 177785 ) L1M1_PR_MR
 + USE SIGNAL ;
-- net540 ( ANTENNA_196 DIODE ) ( output540 A ) ( _129_ LO ) 
-  + ROUTED met1 ( 205200 32005 ) ( 206160 32005 )
-    NEW met2 ( 206160 32005 ) ( 206160 54205 )
-    NEW met2 ( 15120 54205 ) ( 15120 57905 )
-    NEW met1 ( 15120 54205 ) ( 206160 54205 )
-    NEW li1 ( 206160 32005 ) L1M1_PR_MR
-    NEW met1 ( 206160 32005 ) M1M2_PR
-    NEW li1 ( 205200 32005 ) L1M1_PR_MR
-    NEW met1 ( 206160 54205 ) M1M2_PR
-    NEW met1 ( 15120 54205 ) M1M2_PR
-    NEW li1 ( 15120 57905 ) L1M1_PR_MR
-    NEW met1 ( 15120 57905 ) M1M2_PR
-    NEW met1 ( 206160 32005 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 15120 57905 ) RECT ( -355 -70 0 70 )
+- net540 ( ANTENNA_204 DIODE ) ( ANTENNA_203 DIODE ) ( output540 A ) ( _125_ LO ) 
+  + ROUTED met2 ( 128400 219225 ) ( 128400 220335 )
+    NEW met1 ( 126960 220335 ) ( 128400 220335 )
+    NEW met1 ( 205200 31635 ) ( 206160 31635 )
+    NEW met2 ( 206160 31635 ) ( 206160 219225 )
+    NEW met1 ( 128400 219225 ) ( 206160 219225 )
+    NEW li1 ( 128400 220335 ) L1M1_PR_MR
+    NEW met1 ( 128400 220335 ) M1M2_PR
+    NEW met1 ( 128400 219225 ) M1M2_PR
+    NEW li1 ( 126960 220335 ) L1M1_PR_MR
+    NEW li1 ( 206160 31635 ) L1M1_PR_MR
+    NEW met1 ( 206160 31635 ) M1M2_PR
+    NEW li1 ( 205200 31635 ) L1M1_PR_MR
+    NEW met1 ( 206160 219225 ) M1M2_PR
+    NEW met1 ( 128400 220335 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 206160 31635 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net541 ( ANTENNA_198 DIODE ) ( ANTENNA_197 DIODE ) ( output541 A ) ( _130_ LO ) 
-  + ROUTED met1 ( 200400 39405 ) ( 201360 39405 )
-    NEW met1 ( 200880 37925 ) ( 201360 37925 )
-    NEW met2 ( 200880 37925 ) ( 200880 39590 )
-    NEW met2 ( 200880 39590 ) ( 201360 39590 )
-    NEW met2 ( 201360 39405 ) ( 201360 111925 )
-    NEW met1 ( 81360 211085 ) ( 82800 211085 )
-    NEW met1 ( 82800 111925 ) ( 201360 111925 )
-    NEW met2 ( 82800 111925 ) ( 82800 211085 )
-    NEW met1 ( 201360 111925 ) M1M2_PR
-    NEW li1 ( 200400 39405 ) L1M1_PR_MR
-    NEW met1 ( 201360 39405 ) M1M2_PR
-    NEW li1 ( 201360 37925 ) L1M1_PR_MR
-    NEW met1 ( 200880 37925 ) M1M2_PR
-    NEW met1 ( 82800 111925 ) M1M2_PR
-    NEW li1 ( 82800 211085 ) L1M1_PR_MR
-    NEW met1 ( 82800 211085 ) M1M2_PR
-    NEW li1 ( 81360 211085 ) L1M1_PR_MR
-    NEW met1 ( 82800 211085 ) RECT ( -355 -70 0 70 )
+- net541 ( ANTENNA_206 DIODE ) ( ANTENNA_205 DIODE ) ( output541 A ) ( _126_ LO ) 
+  + ROUTED met1 ( 200400 38665 ) ( 201360 38665 )
+    NEW met2 ( 201360 38665 ) ( 201360 232545 )
+    NEW met1 ( 49200 233655 ) ( 49680 233655 )
+    NEW met2 ( 49680 232545 ) ( 49680 233655 )
+    NEW met1 ( 47760 233655 ) ( 49200 233655 )
+    NEW met1 ( 49680 232545 ) ( 201360 232545 )
+    NEW met1 ( 201360 232545 ) M1M2_PR
+    NEW li1 ( 201360 38665 ) L1M1_PR_MR
+    NEW met1 ( 201360 38665 ) M1M2_PR
+    NEW li1 ( 200400 38665 ) L1M1_PR_MR
+    NEW li1 ( 49200 233655 ) L1M1_PR_MR
+    NEW met1 ( 49680 233655 ) M1M2_PR
+    NEW met1 ( 49680 232545 ) M1M2_PR
+    NEW li1 ( 47760 233655 ) L1M1_PR_MR
+    NEW met1 ( 201360 38665 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net542 ( output542 A ) ( _131_ LO ) 
-  + ROUTED met1 ( 217680 20905 ) ( 222480 20905 )
-    NEW met2 ( 217680 20905 ) ( 217680 35705 )
-    NEW met1 ( 214320 35705 ) ( 217680 35705 )
-    NEW met1 ( 214320 35335 ) ( 214320 35705 )
-    NEW met1 ( 207600 35335 ) ( 214320 35335 )
-    NEW li1 ( 222480 20905 ) L1M1_PR_MR
-    NEW met1 ( 217680 20905 ) M1M2_PR
-    NEW met1 ( 217680 35705 ) M1M2_PR
-    NEW li1 ( 207600 35335 ) L1M1_PR_MR
+- net542 ( output542 A ) ( _127_ LO ) 
+  + ROUTED met2 ( 207600 32745 ) ( 207600 34595 )
+    NEW met1 ( 177360 32745 ) ( 207600 32745 )
+    NEW met1 ( 136080 40515 ) ( 177360 40515 )
+    NEW met2 ( 177360 32745 ) ( 177360 40515 )
+    NEW met1 ( 207600 32745 ) M1M2_PR
+    NEW li1 ( 207600 34595 ) L1M1_PR_MR
+    NEW met1 ( 207600 34595 ) M1M2_PR
+    NEW li1 ( 136080 40515 ) L1M1_PR_MR
+    NEW met1 ( 177360 32745 ) M1M2_PR
+    NEW met1 ( 177360 40515 ) M1M2_PR
+    NEW met1 ( 207600 34595 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net543 ( output543 A ) ( _132_ LO ) 
-  + ROUTED met1 ( 205680 38665 ) ( 209040 38665 )
-    NEW met2 ( 209040 38665 ) ( 209040 60495 )
-    NEW met1 ( 209040 60495 ) ( 222960 60495 )
+- net543 ( output543 A ) ( _128_ LO ) 
+  + ROUTED met2 ( 205680 38665 ) ( 205680 246975 )
+    NEW met1 ( 75600 246975 ) ( 205680 246975 )
     NEW li1 ( 205680 38665 ) L1M1_PR_MR
-    NEW met1 ( 209040 38665 ) M1M2_PR
-    NEW met1 ( 209040 60495 ) M1M2_PR
-    NEW li1 ( 222960 60495 ) L1M1_PR_MR
+    NEW met1 ( 205680 38665 ) M1M2_PR
+    NEW met1 ( 205680 246975 ) M1M2_PR
+    NEW li1 ( 75600 246975 ) L1M1_PR_MR
+    NEW met1 ( 205680 38665 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net544 ( output544 A ) ( _133_ LO ) 
-  + ROUTED met2 ( 214320 32005 ) ( 214320 70855 )
-    NEW met2 ( 154320 70855 ) ( 154320 91205 )
-    NEW met1 ( 154320 70855 ) ( 214320 70855 )
-    NEW li1 ( 214320 32005 ) L1M1_PR_MR
-    NEW met1 ( 214320 32005 ) M1M2_PR
-    NEW met1 ( 214320 70855 ) M1M2_PR
-    NEW li1 ( 154320 91205 ) L1M1_PR_MR
-    NEW met1 ( 154320 91205 ) M1M2_PR
-    NEW met1 ( 154320 70855 ) M1M2_PR
-    NEW met1 ( 214320 32005 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 154320 91205 ) RECT ( -355 -70 0 70 )
+- net544 ( ANTENNA_208 DIODE ) ( ANTENNA_207 DIODE ) ( output544 A ) ( _129_ LO ) 
+  + ROUTED met1 ( 212880 31635 ) ( 214320 31635 )
+    NEW met2 ( 212880 31635 ) ( 212880 32745 )
+    NEW met2 ( 212400 86400 ) ( 212880 86400 )
+    NEW met2 ( 212880 32745 ) ( 212880 86400 )
+    NEW met2 ( 212400 86400 ) ( 212400 205165 )
+    NEW met1 ( 245040 205165 ) ( 246000 205165 )
+    NEW met1 ( 212400 205165 ) ( 245040 205165 )
+    NEW li1 ( 212880 32745 ) L1M1_PR_MR
+    NEW met1 ( 212880 32745 ) M1M2_PR
+    NEW li1 ( 214320 31635 ) L1M1_PR_MR
+    NEW met1 ( 212880 31635 ) M1M2_PR
+    NEW met1 ( 212400 205165 ) M1M2_PR
+    NEW li1 ( 245040 205165 ) L1M1_PR_MR
+    NEW li1 ( 246000 205165 ) L1M1_PR_MR
+    NEW met1 ( 212880 32745 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net545 ( ANTENNA_200 DIODE ) ( ANTENNA_199 DIODE ) ( output545 A ) ( _134_ LO ) 
-  + ROUTED met1 ( 210480 34965 ) ( 210960 34965 )
-    NEW met1 ( 210480 34595 ) ( 210480 34965 )
-    NEW met1 ( 209520 34595 ) ( 210480 34595 )
-    NEW met2 ( 209520 34595 ) ( 209520 35705 )
-    NEW met1 ( 87120 277685 ) ( 89040 277685 )
-    NEW met1 ( 87120 276945 ) ( 87120 277685 )
-    NEW met2 ( 87120 119325 ) ( 87120 276945 )
-    NEW met2 ( 209520 35705 ) ( 209520 119325 )
-    NEW met1 ( 87120 119325 ) ( 209520 119325 )
-    NEW met1 ( 87120 119325 ) M1M2_PR
-    NEW li1 ( 209520 35705 ) L1M1_PR_MR
-    NEW met1 ( 209520 35705 ) M1M2_PR
-    NEW li1 ( 210960 34965 ) L1M1_PR_MR
-    NEW met1 ( 209520 34595 ) M1M2_PR
-    NEW met1 ( 209520 119325 ) M1M2_PR
-    NEW li1 ( 87120 276945 ) L1M1_PR_MR
-    NEW met1 ( 87120 276945 ) M1M2_PR
-    NEW li1 ( 89040 277685 ) L1M1_PR_MR
-    NEW met1 ( 209520 35705 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 87120 276945 ) RECT ( -355 -70 0 70 )
+- net545 ( output545 A ) ( _130_ LO ) 
+  + ROUTED met2 ( 211440 35335 ) ( 211440 36000 )
+    NEW met2 ( 210960 36000 ) ( 211440 36000 )
+    NEW met2 ( 210960 36000 ) ( 210960 79365 )
+    NEW met1 ( 126000 79365 ) ( 210960 79365 )
+    NEW li1 ( 211440 35335 ) L1M1_PR_MR
+    NEW met1 ( 211440 35335 ) M1M2_PR
+    NEW li1 ( 126000 79365 ) L1M1_PR_MR
+    NEW met1 ( 210960 79365 ) M1M2_PR
+    NEW met1 ( 211440 35335 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net546 ( output546 A ) ( _135_ LO ) 
-  + ROUTED met1 ( 209520 37925 ) ( 223440 37925 )
-    NEW met1 ( 223440 37925 ) ( 223440 38295 )
-    NEW met1 ( 223440 38295 ) ( 235920 38295 )
-    NEW met1 ( 235920 38295 ) ( 235920 39035 )
-    NEW met2 ( 258480 38850 ) ( 258480 39035 )
-    NEW met2 ( 258480 38850 ) ( 259440 38850 )
-    NEW met2 ( 259440 38850 ) ( 259440 53835 )
-    NEW met1 ( 259440 53835 ) ( 273840 53835 )
-    NEW met1 ( 235920 39035 ) ( 258480 39035 )
-    NEW li1 ( 209520 37925 ) L1M1_PR_MR
-    NEW met1 ( 258480 39035 ) M1M2_PR
-    NEW met1 ( 259440 53835 ) M1M2_PR
-    NEW li1 ( 273840 53835 ) L1M1_PR_MR
+- net546 ( ANTENNA_209 DIODE ) ( output546 A ) ( _131_ LO ) 
+  + ROUTED met1 ( 199920 100455 ) ( 208560 100455 )
+    NEW met1 ( 199920 100455 ) ( 199920 100825 )
+    NEW met1 ( 198480 100825 ) ( 199920 100825 )
+    NEW met1 ( 198480 100455 ) ( 198480 100825 )
+    NEW met1 ( 208080 39405 ) ( 208560 39405 )
+    NEW met1 ( 208560 38295 ) ( 209040 38295 )
+    NEW met2 ( 208560 38295 ) ( 208560 39405 )
+    NEW met2 ( 208560 39405 ) ( 208560 100455 )
+    NEW met1 ( 168240 100455 ) ( 168240 100825 )
+    NEW met1 ( 168240 100825 ) ( 170160 100825 )
+    NEW met1 ( 170160 100455 ) ( 170160 100825 )
+    NEW met1 ( 170160 100455 ) ( 198480 100455 )
+    NEW met1 ( 43440 100455 ) ( 168240 100455 )
+    NEW met1 ( 208560 100455 ) M1M2_PR
+    NEW li1 ( 208080 39405 ) L1M1_PR_MR
+    NEW met1 ( 208560 39405 ) M1M2_PR
+    NEW li1 ( 209040 38295 ) L1M1_PR_MR
+    NEW met1 ( 208560 38295 ) M1M2_PR
+    NEW li1 ( 43440 100455 ) L1M1_PR_MR
 + USE SIGNAL ;
-- net547 ( output547 A ) ( _136_ LO ) 
-  + ROUTED met1 ( 215280 44585 ) ( 220560 44585 )
-    NEW met2 ( 215280 35335 ) ( 215280 44585 )
+- net547 ( output547 A ) ( _132_ LO ) 
+  + ROUTED met2 ( 103920 65675 ) ( 103920 67155 )
+    NEW met2 ( 215280 35335 ) ( 215280 65675 )
+    NEW met1 ( 103920 65675 ) ( 215280 65675 )
     NEW li1 ( 215280 35335 ) L1M1_PR_MR
     NEW met1 ( 215280 35335 ) M1M2_PR
-    NEW met1 ( 215280 44585 ) M1M2_PR
-    NEW li1 ( 220560 44585 ) L1M1_PR_MR
+    NEW met1 ( 103920 65675 ) M1M2_PR
+    NEW li1 ( 103920 67155 ) L1M1_PR_MR
+    NEW met1 ( 103920 67155 ) M1M2_PR
+    NEW met1 ( 215280 65675 ) M1M2_PR
     NEW met1 ( 215280 35335 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 103920 67155 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net548 ( output548 A ) ( _137_ LO ) 
-  + ROUTED met1 ( 187920 144485 ) ( 220560 144485 )
-    NEW met2 ( 220560 32005 ) ( 220560 144485 )
-    NEW li1 ( 220560 32005 ) L1M1_PR_MR
-    NEW met1 ( 220560 32005 ) M1M2_PR
-    NEW met1 ( 220560 144485 ) M1M2_PR
-    NEW li1 ( 187920 144485 ) L1M1_PR_MR
-    NEW met1 ( 220560 32005 ) RECT ( -355 -70 0 70 )
+- net548 ( output548 A ) ( _133_ LO ) 
+  + ROUTED met2 ( 220560 31635 ) ( 220560 118215 )
+    NEW met1 ( 220560 118215 ) ( 246960 118215 )
+    NEW li1 ( 220560 31635 ) L1M1_PR_MR
+    NEW met1 ( 220560 31635 ) M1M2_PR
+    NEW met1 ( 220560 118215 ) M1M2_PR
+    NEW li1 ( 246960 118215 ) L1M1_PR_MR
+    NEW met1 ( 220560 31635 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net549 ( ANTENNA_202 DIODE ) ( ANTENNA_201 DIODE ) ( output549 A ) ( _065_ LO ) 
-  + ROUTED met1 ( 226800 233655 ) ( 227280 233655 )
-    NEW met2 ( 227280 118955 ) ( 227280 233655 )
-    NEW met1 ( 79920 118955 ) ( 227280 118955 )
-    NEW met1 ( 79440 38665 ) ( 79920 38665 )
-    NEW met1 ( 78000 38665 ) ( 79440 38665 )
-    NEW met2 ( 79920 38665 ) ( 79920 118955 )
-    NEW met1 ( 227280 118955 ) M1M2_PR
-    NEW li1 ( 227280 233655 ) L1M1_PR_MR
-    NEW met1 ( 227280 233655 ) M1M2_PR
-    NEW li1 ( 226800 233655 ) L1M1_PR_MR
-    NEW met1 ( 79920 118955 ) M1M2_PR
+- net549 ( output549 A ) ( _064_ LO ) 
+  + ROUTED met1 ( 78480 38665 ) ( 79440 38665 )
+    NEW met2 ( 78480 38665 ) ( 78480 61235 )
+    NEW met1 ( 17040 61235 ) ( 78480 61235 )
     NEW li1 ( 79440 38665 ) L1M1_PR_MR
-    NEW met1 ( 79920 38665 ) M1M2_PR
-    NEW li1 ( 78000 38665 ) L1M1_PR_MR
-    NEW met1 ( 227280 233655 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 78480 38665 ) M1M2_PR
+    NEW met1 ( 78480 61235 ) M1M2_PR
+    NEW li1 ( 17040 61235 ) L1M1_PR_MR
 + USE SIGNAL ;
-- net550 ( output550 A ) ( _138_ LO ) 
-  + ROUTED met1 ( 213360 38665 ) ( 213840 38665 )
-    NEW met2 ( 213840 38665 ) ( 213840 137455 )
-    NEW met1 ( 285360 137455 ) ( 285360 137825 )
-    NEW met1 ( 213840 137455 ) ( 285360 137455 )
-    NEW li1 ( 213360 38665 ) L1M1_PR_MR
-    NEW met1 ( 213840 38665 ) M1M2_PR
-    NEW met1 ( 213840 137455 ) M1M2_PR
-    NEW li1 ( 285360 137825 ) L1M1_PR_MR
+- net550 ( ANTENNA_211 DIODE ) ( ANTENNA_210 DIODE ) ( output550 A ) ( _134_ LO ) 
+  + ROUTED met1 ( 86640 277685 ) ( 88560 277685 )
+    NEW met2 ( 86640 276945 ) ( 86640 277685 )
+    NEW met2 ( 211440 39405 ) ( 211440 47915 )
+    NEW met1 ( 211440 38665 ) ( 212880 38665 )
+    NEW met2 ( 211440 38665 ) ( 211440 39405 )
+    NEW met2 ( 86640 47915 ) ( 86640 276945 )
+    NEW met1 ( 86640 47915 ) ( 211440 47915 )
+    NEW li1 ( 86640 276945 ) L1M1_PR_MR
+    NEW met1 ( 86640 276945 ) M1M2_PR
+    NEW li1 ( 88560 277685 ) L1M1_PR_MR
+    NEW met1 ( 86640 277685 ) M1M2_PR
+    NEW li1 ( 211440 39405 ) L1M1_PR_MR
+    NEW met1 ( 211440 39405 ) M1M2_PR
+    NEW met1 ( 211440 47915 ) M1M2_PR
+    NEW li1 ( 212880 38665 ) L1M1_PR_MR
+    NEW met1 ( 211440 38665 ) M1M2_PR
+    NEW met1 ( 86640 47915 ) M1M2_PR
+    NEW met1 ( 86640 276945 ) RECT ( 0 -70 355 70 )
+    NEW met1 ( 211440 39405 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net551 ( ANTENNA_203 DIODE ) ( output551 A ) ( _139_ LO ) 
-  + ROUTED met2 ( 217200 28675 ) ( 217200 33855 )
-    NEW met1 ( 217200 35335 ) ( 218640 35335 )
-    NEW met2 ( 217200 33855 ) ( 217200 35335 )
-    NEW li1 ( 57840 27195 ) ( 57840 28675 )
-    NEW met1 ( 30960 27195 ) ( 57840 27195 )
-    NEW met2 ( 30960 27195 ) ( 30960 40515 )
-    NEW met1 ( 57840 28675 ) ( 217200 28675 )
-    NEW li1 ( 217200 33855 ) L1M1_PR_MR
-    NEW met1 ( 217200 33855 ) M1M2_PR
-    NEW met1 ( 217200 28675 ) M1M2_PR
-    NEW li1 ( 218640 35335 ) L1M1_PR_MR
-    NEW met1 ( 217200 35335 ) M1M2_PR
-    NEW li1 ( 57840 28675 ) L1M1_PR_MR
-    NEW li1 ( 57840 27195 ) L1M1_PR_MR
-    NEW met1 ( 30960 27195 ) M1M2_PR
-    NEW li1 ( 30960 40515 ) L1M1_PR_MR
-    NEW met1 ( 30960 40515 ) M1M2_PR
-    NEW met1 ( 217200 33855 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 30960 40515 ) RECT ( -355 -70 0 70 )
+- net551 ( ANTENNA_214 DIODE ) ( ANTENNA_213 DIODE ) ( ANTENNA_212 DIODE ) ( output551 A ) 
+( _135_ LO ) 
+  + ROUTED met2 ( 18480 208865 ) ( 18480 211085 )
+    NEW met1 ( 17040 211085 ) ( 18480 211085 )
+    NEW met1 ( 213840 34965 ) ( 217200 34965 )
+    NEW met1 ( 217200 34965 ) ( 218640 34965 )
+    NEW met1 ( 218640 34965 ) ( 220080 34965 )
+    NEW met2 ( 213840 34965 ) ( 213840 208865 )
+    NEW met1 ( 18480 208865 ) ( 213840 208865 )
+    NEW li1 ( 18480 211085 ) L1M1_PR_MR
+    NEW met1 ( 18480 211085 ) M1M2_PR
+    NEW met1 ( 18480 208865 ) M1M2_PR
+    NEW li1 ( 17040 211085 ) L1M1_PR_MR
+    NEW li1 ( 217200 34965 ) L1M1_PR_MR
+    NEW met1 ( 213840 34965 ) M1M2_PR
+    NEW li1 ( 218640 34965 ) L1M1_PR_MR
+    NEW li1 ( 220080 34965 ) L1M1_PR_MR
+    NEW met1 ( 213840 208865 ) M1M2_PR
+    NEW met1 ( 18480 211085 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net552 ( output552 A ) ( _140_ LO ) 
-  + ROUTED met1 ( 223440 32005 ) ( 224400 32005 )
-    NEW met2 ( 223440 32005 ) ( 223440 103785 )
-    NEW li1 ( 172080 103785 ) ( 172080 104525 )
-    NEW met1 ( 167760 104525 ) ( 172080 104525 )
-    NEW met1 ( 172080 103785 ) ( 223440 103785 )
-    NEW li1 ( 224400 32005 ) L1M1_PR_MR
-    NEW met1 ( 223440 32005 ) M1M2_PR
-    NEW met1 ( 223440 103785 ) M1M2_PR
-    NEW li1 ( 172080 103785 ) L1M1_PR_MR
-    NEW li1 ( 172080 104525 ) L1M1_PR_MR
-    NEW li1 ( 167760 104525 ) L1M1_PR_MR
+- net552 ( output552 A ) ( _136_ LO ) 
+  + ROUTED met2 ( 224400 31635 ) ( 224400 160395 )
+    NEW met1 ( 224400 160395 ) ( 272880 160395 )
+    NEW li1 ( 224400 31635 ) L1M1_PR_MR
+    NEW met1 ( 224400 31635 ) M1M2_PR
+    NEW met1 ( 224400 160395 ) M1M2_PR
+    NEW li1 ( 272880 160395 ) L1M1_PR_MR
+    NEW met1 ( 224400 31635 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net553 ( ANTENNA_204 DIODE ) ( output553 A ) ( _141_ LO ) 
-  + ROUTED met1 ( 219120 35335 ) ( 221040 35335 )
-    NEW met2 ( 219120 35150 ) ( 219120 35335 )
-    NEW met2 ( 218640 35150 ) ( 219120 35150 )
-    NEW met1 ( 221040 35335 ) ( 222480 35335 )
-    NEW met2 ( 218640 35150 ) ( 218640 66045 )
-    NEW met2 ( 49680 66045 ) ( 49680 67155 )
-    NEW met1 ( 34320 67155 ) ( 49680 67155 )
-    NEW met1 ( 49680 66045 ) ( 218640 66045 )
-    NEW li1 ( 221040 35335 ) L1M1_PR_MR
-    NEW met1 ( 219120 35335 ) M1M2_PR
-    NEW li1 ( 222480 35335 ) L1M1_PR_MR
-    NEW met1 ( 218640 66045 ) M1M2_PR
-    NEW met1 ( 49680 66045 ) M1M2_PR
-    NEW met1 ( 49680 67155 ) M1M2_PR
-    NEW li1 ( 34320 67155 ) L1M1_PR_MR
+- net553 ( output553 A ) ( _137_ LO ) 
+  + ROUTED met1 ( 214800 14245 ) ( 214800 14615 )
+    NEW met1 ( 214800 14615 ) ( 215760 14615 )
+    NEW met1 ( 215760 14245 ) ( 215760 14615 )
+    NEW met1 ( 215760 14245 ) ( 220080 14245 )
+    NEW met2 ( 220080 14245 ) ( 220080 21830 )
+    NEW met2 ( 220080 21830 ) ( 221040 21830 )
+    NEW met2 ( 221040 21830 ) ( 221040 34595 )
+    NEW met1 ( 221040 34595 ) ( 222960 34595 )
+    NEW met1 ( 124560 14245 ) ( 214800 14245 )
+    NEW li1 ( 124560 14245 ) L1M1_PR_MR
+    NEW met1 ( 220080 14245 ) M1M2_PR
+    NEW met1 ( 221040 34595 ) M1M2_PR
+    NEW li1 ( 222960 34595 ) L1M1_PR_MR
 + USE SIGNAL ;
-- net554 ( output554 A ) ( _142_ LO ) 
-  + ROUTED met2 ( 89040 98605 ) ( 89040 113775 )
-    NEW met1 ( 220080 38665 ) ( 220560 38665 )
-    NEW met2 ( 220080 38665 ) ( 220080 98605 )
-    NEW met1 ( 89040 98605 ) ( 220080 98605 )
-    NEW met1 ( 89040 98605 ) M1M2_PR
-    NEW li1 ( 89040 113775 ) L1M1_PR_MR
-    NEW met1 ( 89040 113775 ) M1M2_PR
-    NEW met1 ( 220080 98605 ) M1M2_PR
-    NEW li1 ( 220560 38665 ) L1M1_PR_MR
+- net554 ( ANTENNA_217 DIODE ) ( ANTENNA_216 DIODE ) ( ANTENNA_215 DIODE ) ( output554 A ) 
+( _138_ LO ) 
+  + ROUTED met1 ( 219120 38665 ) ( 220080 38665 )
+    NEW met1 ( 220080 38665 ) ( 221520 38665 )
+    NEW met2 ( 220080 38665 ) ( 220080 252155 )
+    NEW met2 ( 77040 252155 ) ( 77040 253635 )
+    NEW met1 ( 75600 253635 ) ( 77040 253635 )
+    NEW met1 ( 77040 252155 ) ( 220080 252155 )
+    NEW li1 ( 220080 38665 ) L1M1_PR_MR
     NEW met1 ( 220080 38665 ) M1M2_PR
-    NEW met1 ( 89040 113775 ) RECT ( -355 -70 0 70 )
+    NEW li1 ( 219120 38665 ) L1M1_PR_MR
+    NEW li1 ( 221520 38665 ) L1M1_PR_MR
+    NEW met1 ( 220080 252155 ) M1M2_PR
+    NEW li1 ( 77040 253635 ) L1M1_PR_MR
+    NEW met1 ( 77040 253635 ) M1M2_PR
+    NEW met1 ( 77040 252155 ) M1M2_PR
+    NEW li1 ( 75600 253635 ) L1M1_PR_MR
+    NEW met1 ( 220080 38665 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 77040 253635 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net555 ( ANTENNA_205 DIODE ) ( output555 A ) ( _143_ LO ) 
-  + ROUTED met1 ( 226320 35335 ) ( 226320 35705 )
-    NEW met1 ( 224880 35705 ) ( 226320 35705 )
-    NEW met1 ( 213840 43845 ) ( 224880 43845 )
-    NEW li1 ( 213840 43845 ) ( 213840 44585 )
-    NEW met2 ( 224880 35705 ) ( 224880 43845 )
-    NEW met1 ( 64800 44585 ) ( 213840 44585 )
-    NEW met1 ( 64800 44585 ) ( 64800 46065 )
-    NEW met1 ( 41280 46065 ) ( 64800 46065 )
-    NEW met1 ( 41280 45695 ) ( 41280 46065 )
-    NEW met1 ( 39840 45695 ) ( 41280 45695 )
-    NEW met1 ( 39840 45695 ) ( 39840 46065 )
-    NEW met1 ( 15600 46065 ) ( 39840 46065 )
-    NEW li1 ( 224880 35705 ) L1M1_PR_MR
-    NEW met1 ( 224880 35705 ) M1M2_PR
+- net555 ( ANTENNA_219 DIODE ) ( ANTENNA_218 DIODE ) ( output555 A ) ( _139_ LO ) 
+  + ROUTED met1 ( 225360 35335 ) ( 226320 35335 )
+    NEW met1 ( 224400 226995 ) ( 226320 226995 )
+    NEW met1 ( 222960 226995 ) ( 224400 226995 )
+    NEW met2 ( 226320 35335 ) ( 226320 226995 )
     NEW li1 ( 226320 35335 ) L1M1_PR_MR
-    NEW met1 ( 224880 43845 ) M1M2_PR
-    NEW li1 ( 213840 43845 ) L1M1_PR_MR
-    NEW li1 ( 213840 44585 ) L1M1_PR_MR
-    NEW li1 ( 15600 46065 ) L1M1_PR_MR
-    NEW met1 ( 224880 35705 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 226320 35335 ) M1M2_PR
+    NEW li1 ( 225360 35335 ) L1M1_PR_MR
+    NEW li1 ( 224400 226995 ) L1M1_PR_MR
+    NEW met1 ( 226320 226995 ) M1M2_PR
+    NEW li1 ( 222960 226995 ) L1M1_PR_MR
+    NEW met1 ( 226320 35335 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net556 ( output556 A ) ( _144_ LO ) 
-  + ROUTED met1 ( 191280 98975 ) ( 225360 98975 )
-    NEW met2 ( 95280 152255 ) ( 95280 153735 )
-    NEW met1 ( 224400 38665 ) ( 225360 38665 )
-    NEW met2 ( 225360 38665 ) ( 225360 98975 )
-    NEW met2 ( 191280 98975 ) ( 191280 152255 )
-    NEW met1 ( 95280 152255 ) ( 191280 152255 )
-    NEW met1 ( 191280 98975 ) M1M2_PR
-    NEW met1 ( 225360 98975 ) M1M2_PR
-    NEW met1 ( 95280 152255 ) M1M2_PR
-    NEW li1 ( 95280 153735 ) L1M1_PR_MR
-    NEW met1 ( 95280 153735 ) M1M2_PR
-    NEW li1 ( 224400 38665 ) L1M1_PR_MR
-    NEW met1 ( 225360 38665 ) M1M2_PR
-    NEW met1 ( 191280 152255 ) M1M2_PR
-    NEW met1 ( 95280 153735 ) RECT ( -355 -70 0 70 )
+- net556 ( ANTENNA_221 DIODE ) ( ANTENNA_220 DIODE ) ( output556 A ) ( _140_ LO ) 
+  + ROUTED met1 ( 222480 38665 ) ( 223920 38665 )
+    NEW met2 ( 222480 38665 ) ( 222480 39405 )
+    NEW met1 ( 208560 277685 ) ( 222480 277685 )
+    NEW met1 ( 207120 277685 ) ( 208560 277685 )
+    NEW met2 ( 222480 39405 ) ( 222480 277685 )
+    NEW li1 ( 222480 39405 ) L1M1_PR_MR
+    NEW met1 ( 222480 39405 ) M1M2_PR
+    NEW li1 ( 223920 38665 ) L1M1_PR_MR
+    NEW met1 ( 222480 38665 ) M1M2_PR
+    NEW li1 ( 208560 277685 ) L1M1_PR_MR
+    NEW met1 ( 222480 277685 ) M1M2_PR
+    NEW li1 ( 207120 277685 ) L1M1_PR_MR
+    NEW met1 ( 222480 39405 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net557 ( output557 A ) ( _145_ LO ) 
-  + ROUTED met2 ( 228240 38665 ) ( 228240 140415 )
-    NEW met1 ( 181200 140415 ) ( 228240 140415 )
-    NEW li1 ( 228240 38665 ) L1M1_PR_MR
-    NEW met1 ( 228240 38665 ) M1M2_PR
-    NEW met1 ( 228240 140415 ) M1M2_PR
-    NEW li1 ( 181200 140415 ) L1M1_PR_MR
-    NEW met1 ( 228240 38665 ) RECT ( -355 -70 0 70 )
+- net557 ( ANTENNA_223 DIODE ) ( ANTENNA_222 DIODE ) ( output557 A ) ( _141_ LO ) 
+  + ROUTED met1 ( 220560 231065 ) ( 227760 231065 )
+    NEW met1 ( 219120 231065 ) ( 220560 231065 )
+    NEW met1 ( 226800 38295 ) ( 227760 38295 )
+    NEW met2 ( 227760 38295 ) ( 227760 231065 )
+    NEW li1 ( 220560 231065 ) L1M1_PR_MR
+    NEW met1 ( 227760 231065 ) M1M2_PR
+    NEW li1 ( 219120 231065 ) L1M1_PR_MR
+    NEW li1 ( 227760 38295 ) L1M1_PR_MR
+    NEW met1 ( 227760 38295 ) M1M2_PR
+    NEW li1 ( 226800 38295 ) L1M1_PR_MR
+    NEW met1 ( 227760 38295 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net558 ( output558 A ) ( _146_ LO ) 
-  + ROUTED met1 ( 234000 35335 ) ( 234000 35705 )
-    NEW met1 ( 234000 35705 ) ( 261360 35705 )
-    NEW met1 ( 260400 217745 ) ( 261360 217745 )
-    NEW met2 ( 261360 35705 ) ( 261360 217745 )
+- net558 ( output558 A ) ( _142_ LO ) 
+  + ROUTED met1 ( 234000 35335 ) ( 234480 35335 )
+    NEW met2 ( 234480 35335 ) ( 234480 204795 )
+    NEW met1 ( 234480 204795 ) ( 274800 204795 )
     NEW li1 ( 234000 35335 ) L1M1_PR_MR
-    NEW met1 ( 261360 35705 ) M1M2_PR
-    NEW met1 ( 261360 217745 ) M1M2_PR
-    NEW li1 ( 260400 217745 ) L1M1_PR_MR
+    NEW met1 ( 234480 35335 ) M1M2_PR
+    NEW met1 ( 234480 204795 ) M1M2_PR
+    NEW li1 ( 274800 204795 ) L1M1_PR_MR
 + USE SIGNAL ;
-- net559 ( ANTENNA_207 DIODE ) ( ANTENNA_206 DIODE ) ( output559 A ) ( _147_ LO ) 
-  + ROUTED met1 ( 232080 38665 ) ( 232080 39405 )
-    NEW met2 ( 256080 39405 ) ( 256080 40885 )
-    NEW met1 ( 256080 40885 ) ( 283440 40885 )
-    NEW met2 ( 283440 40885 ) ( 283440 86400 )
-    NEW met2 ( 283440 86400 ) ( 284400 86400 )
-    NEW met1 ( 230640 39405 ) ( 256080 39405 )
-    NEW met1 ( 284400 253635 ) ( 284880 253635 )
-    NEW met1 ( 284880 253635 ) ( 285840 253635 )
-    NEW met2 ( 284400 86400 ) ( 284400 253635 )
+- net559 ( ANTENNA_224 DIODE ) ( output559 A ) ( _143_ LO ) 
+  + ROUTED met1 ( 230640 39405 ) ( 231600 39405 )
+    NEW met2 ( 231600 39405 ) ( 231600 47545 )
+    NEW met2 ( 231600 38295 ) ( 231600 39405 )
+    NEW met2 ( 93360 47545 ) ( 93360 60495 )
+    NEW met1 ( 77520 60495 ) ( 93360 60495 )
+    NEW met1 ( 93360 47545 ) ( 231600 47545 )
     NEW li1 ( 230640 39405 ) L1M1_PR_MR
-    NEW li1 ( 232080 38665 ) L1M1_PR_MR
-    NEW met1 ( 256080 39405 ) M1M2_PR
-    NEW met1 ( 256080 40885 ) M1M2_PR
-    NEW met1 ( 283440 40885 ) M1M2_PR
-    NEW li1 ( 284880 253635 ) L1M1_PR_MR
-    NEW met1 ( 284400 253635 ) M1M2_PR
-    NEW li1 ( 285840 253635 ) L1M1_PR_MR
+    NEW met1 ( 231600 39405 ) M1M2_PR
+    NEW met1 ( 231600 47545 ) M1M2_PR
+    NEW li1 ( 231600 38295 ) L1M1_PR_MR
+    NEW met1 ( 231600 38295 ) M1M2_PR
+    NEW met1 ( 93360 47545 ) M1M2_PR
+    NEW met1 ( 93360 60495 ) M1M2_PR
+    NEW li1 ( 77520 60495 ) L1M1_PR_MR
+    NEW met1 ( 231600 38295 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net560 ( output560 A ) ( _066_ LO ) 
-  + ROUTED met2 ( 101520 47175 ) ( 101520 62715 )
-    NEW met1 ( 101520 62715 ) ( 104400 62715 )
-    NEW met2 ( 104400 62715 ) ( 104400 97125 )
-    NEW met1 ( 104400 97125 ) ( 136800 97125 )
-    NEW met1 ( 136800 97125 ) ( 136800 97865 )
-    NEW met1 ( 136800 97865 ) ( 152880 97865 )
-    NEW met2 ( 81360 41625 ) ( 81360 47175 )
-    NEW met1 ( 81360 47175 ) ( 101520 47175 )
-    NEW met1 ( 104400 97125 ) M1M2_PR
-    NEW met1 ( 101520 47175 ) M1M2_PR
-    NEW met1 ( 101520 62715 ) M1M2_PR
-    NEW met1 ( 104400 62715 ) M1M2_PR
-    NEW li1 ( 152880 97865 ) L1M1_PR_MR
-    NEW li1 ( 81360 41625 ) L1M1_PR_MR
-    NEW met1 ( 81360 41625 ) M1M2_PR
-    NEW met1 ( 81360 47175 ) M1M2_PR
-    NEW met1 ( 81360 41625 ) RECT ( -355 -70 0 70 )
+- net560 ( output560 A ) ( _065_ LO ) 
+  + ROUTED met1 ( 80400 41995 ) ( 81360 41995 )
+    NEW met2 ( 80400 41995 ) ( 80400 96015 )
+    NEW met2 ( 26640 96015 ) ( 26640 100455 )
+    NEW met1 ( 26640 96015 ) ( 80400 96015 )
+    NEW met1 ( 80400 96015 ) M1M2_PR
+    NEW li1 ( 81360 41995 ) L1M1_PR_MR
+    NEW met1 ( 80400 41995 ) M1M2_PR
+    NEW met1 ( 26640 96015 ) M1M2_PR
+    NEW li1 ( 26640 100455 ) L1M1_PR_MR
+    NEW met1 ( 26640 100455 ) M1M2_PR
+    NEW met1 ( 26640 100455 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net561 ( output561 A ) ( _148_ LO ) 
-  + ROUTED met1 ( 211920 34595 ) ( 211920 34965 )
-    NEW met1 ( 211440 34595 ) ( 211920 34595 )
-    NEW met1 ( 211440 34225 ) ( 211440 34595 )
-    NEW met1 ( 209040 34225 ) ( 211440 34225 )
-    NEW met1 ( 209040 34225 ) ( 209040 34965 )
-    NEW met1 ( 199440 34965 ) ( 209040 34965 )
-    NEW met1 ( 199440 34965 ) ( 199440 35335 )
-    NEW met1 ( 194640 35335 ) ( 199440 35335 )
-    NEW met1 ( 194640 34965 ) ( 194640 35335 )
-    NEW met1 ( 187440 34965 ) ( 194640 34965 )
-    NEW met2 ( 110640 39405 ) ( 110640 40515 )
-    NEW met2 ( 187440 34965 ) ( 187440 39035 )
-    NEW met1 ( 211920 34965 ) ( 237840 34965 )
-    NEW met1 ( 110640 39405 ) ( 136800 39405 )
-    NEW met1 ( 136800 39035 ) ( 136800 39405 )
-    NEW met1 ( 136800 39035 ) ( 187440 39035 )
-    NEW met1 ( 187440 34965 ) M1M2_PR
-    NEW met1 ( 110640 39405 ) M1M2_PR
-    NEW li1 ( 110640 40515 ) L1M1_PR_MR
-    NEW met1 ( 110640 40515 ) M1M2_PR
-    NEW met1 ( 187440 39035 ) M1M2_PR
-    NEW li1 ( 237840 34965 ) L1M1_PR_MR
-    NEW met1 ( 110640 40515 ) RECT ( -355 -70 0 70 )
+- net561 ( ANTENNA_227 DIODE ) ( ANTENNA_226 DIODE ) ( ANTENNA_225 DIODE ) ( output561 A ) 
+( _144_ LO ) 
+  + ROUTED met1 ( 236400 35335 ) ( 237360 35335 )
+    NEW met2 ( 29040 275835 ) ( 29040 277685 )
+    NEW met1 ( 27600 277685 ) ( 29040 277685 )
+    NEW met2 ( 237360 35335 ) ( 237360 275835 )
+    NEW met1 ( 237360 35335 ) ( 238800 35335 )
+    NEW met1 ( 29040 275835 ) ( 237360 275835 )
+    NEW met1 ( 237360 35335 ) M1M2_PR
+    NEW li1 ( 237360 35335 ) L1M1_PR_MR
+    NEW li1 ( 236400 35335 ) L1M1_PR_MR
+    NEW li1 ( 29040 277685 ) L1M1_PR_MR
+    NEW met1 ( 29040 277685 ) M1M2_PR
+    NEW met1 ( 29040 275835 ) M1M2_PR
+    NEW li1 ( 27600 277685 ) L1M1_PR_MR
+    NEW met1 ( 237360 275835 ) M1M2_PR
+    NEW li1 ( 238800 35335 ) L1M1_PR_MR
+    NEW met1 ( 237360 35335 ) RECT ( -595 -70 0 70 )
+    NEW met1 ( 29040 277685 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net562 ( ANTENNA_209 DIODE ) ( ANTENNA_208 DIODE ) ( output562 A ) ( _149_ LO ) 
-  + ROUTED met1 ( 288240 193695 ) ( 289200 193695 )
-    NEW met1 ( 234480 37925 ) ( 235920 37925 )
-    NEW met2 ( 288720 40515 ) ( 288720 49210 )
-    NEW met2 ( 288240 49210 ) ( 288720 49210 )
-    NEW met2 ( 288240 49210 ) ( 288240 193695 )
-    NEW met1 ( 235920 37925 ) ( 237600 37925 )
-    NEW met1 ( 237600 37925 ) ( 237600 38295 )
-    NEW met1 ( 237600 38295 ) ( 262800 38295 )
-    NEW met2 ( 262800 38295 ) ( 262800 40515 )
-    NEW met1 ( 262800 40515 ) ( 288720 40515 )
-    NEW li1 ( 288240 193695 ) L1M1_PR_MR
-    NEW met1 ( 288240 193695 ) M1M2_PR
-    NEW li1 ( 289200 193695 ) L1M1_PR_MR
-    NEW li1 ( 235920 37925 ) L1M1_PR_MR
-    NEW li1 ( 234480 37925 ) L1M1_PR_MR
-    NEW met1 ( 288720 40515 ) M1M2_PR
-    NEW met1 ( 262800 38295 ) M1M2_PR
-    NEW met1 ( 262800 40515 ) M1M2_PR
-    NEW met1 ( 288240 193695 ) RECT ( 0 -70 355 70 )
+- net562 ( ANTENNA_229 DIODE ) ( ANTENNA_228 DIODE ) ( output562 A ) ( _145_ LO ) 
+  + ROUTED met1 ( 233520 39405 ) ( 234000 39405 )
+    NEW met1 ( 233520 38295 ) ( 235440 38295 )
+    NEW met2 ( 233520 38295 ) ( 233520 39405 )
+    NEW met2 ( 233520 39405 ) ( 233520 220335 )
+    NEW met1 ( 153840 220335 ) ( 155280 220335 )
+    NEW met1 ( 155280 220335 ) ( 233520 220335 )
+    NEW met1 ( 233520 220335 ) M1M2_PR
+    NEW li1 ( 234000 39405 ) L1M1_PR_MR
+    NEW met1 ( 233520 39405 ) M1M2_PR
+    NEW li1 ( 235440 38295 ) L1M1_PR_MR
+    NEW met1 ( 233520 38295 ) M1M2_PR
+    NEW li1 ( 155280 220335 ) L1M1_PR_MR
+    NEW li1 ( 153840 220335 ) L1M1_PR_MR
 + USE SIGNAL ;
-- net563 ( output563 A ) ( _150_ LO ) 
-  + ROUTED met1 ( 187200 204425 ) ( 187200 204795 )
-    NEW met1 ( 167760 204795 ) ( 187200 204795 )
-    NEW met1 ( 187200 204425 ) ( 241680 204425 )
-    NEW met2 ( 241680 35335 ) ( 241680 204425 )
-    NEW li1 ( 167760 204795 ) L1M1_PR_MR
-    NEW li1 ( 241680 35335 ) L1M1_PR_MR
-    NEW met1 ( 241680 35335 ) M1M2_PR
-    NEW met1 ( 241680 204425 ) M1M2_PR
-    NEW met1 ( 241680 35335 ) RECT ( -355 -70 0 70 )
+- net563 ( output563 A ) ( _146_ LO ) 
+  + ROUTED met1 ( 225840 34225 ) ( 225840 34965 )
+    NEW met1 ( 214320 34225 ) ( 225840 34225 )
+    NEW met2 ( 214320 34225 ) ( 214320 204055 )
+    NEW met1 ( 103920 204425 ) ( 136800 204425 )
+    NEW met1 ( 136800 204055 ) ( 136800 204425 )
+    NEW met1 ( 136800 204055 ) ( 214320 204055 )
+    NEW met1 ( 225840 34965 ) ( 241680 34965 )
+    NEW li1 ( 103920 204425 ) L1M1_PR_MR
+    NEW met1 ( 214320 34225 ) M1M2_PR
+    NEW met1 ( 214320 204055 ) M1M2_PR
+    NEW li1 ( 241680 34965 ) L1M1_PR_MR
 + USE SIGNAL ;
-- net564 ( output564 A ) ( _151_ LO ) 
-  + ROUTED met2 ( 162000 36075 ) ( 162000 37925 )
-    NEW met2 ( 239760 36075 ) ( 239760 37925 )
-    NEW met1 ( 162000 36075 ) ( 239760 36075 )
-    NEW met1 ( 162000 36075 ) M1M2_PR
-    NEW li1 ( 162000 37925 ) L1M1_PR_MR
-    NEW met1 ( 162000 37925 ) M1M2_PR
-    NEW met1 ( 239760 36075 ) M1M2_PR
-    NEW li1 ( 239760 37925 ) L1M1_PR_MR
-    NEW met1 ( 239760 37925 ) M1M2_PR
-    NEW met1 ( 162000 37925 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 239760 37925 ) RECT ( -355 -70 0 70 )
+- net564 ( output564 A ) ( _147_ LO ) 
+  + ROUTED met1 ( 208560 120435 ) ( 239760 120435 )
+    NEW met2 ( 239760 38665 ) ( 239760 120435 )
+    NEW li1 ( 208560 120435 ) L1M1_PR_MR
+    NEW met1 ( 239760 120435 ) M1M2_PR
+    NEW li1 ( 239760 38665 ) L1M1_PR_MR
+    NEW met1 ( 239760 38665 ) M1M2_PR
+    NEW met1 ( 239760 38665 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net565 ( output565 A ) ( _152_ LO ) 
-  + ROUTED met1 ( 187200 147075 ) ( 187200 147445 )
-    NEW met1 ( 182160 147445 ) ( 187200 147445 )
-    NEW met1 ( 187200 147075 ) ( 245520 147075 )
-    NEW met2 ( 245520 35335 ) ( 245520 147075 )
-    NEW li1 ( 245520 35335 ) L1M1_PR_MR
-    NEW met1 ( 245520 35335 ) M1M2_PR
-    NEW li1 ( 182160 147445 ) L1M1_PR_MR
-    NEW met1 ( 245520 147075 ) M1M2_PR
-    NEW met1 ( 245520 35335 ) RECT ( -355 -70 0 70 )
+- net565 ( ANTENNA_231 DIODE ) ( ANTENNA_230 DIODE ) ( output565 A ) ( _148_ LO ) 
+  + ROUTED met1 ( 106320 240685 ) ( 107760 240685 )
+    NEW met1 ( 244080 35335 ) ( 245040 35335 )
+    NEW met1 ( 244080 35335 ) ( 244080 35705 )
+    NEW met1 ( 107760 240685 ) ( 136800 240685 )
+    NEW met1 ( 136800 240315 ) ( 136800 240685 )
+    NEW met2 ( 243600 86400 ) ( 244080 86400 )
+    NEW met2 ( 244080 35705 ) ( 244080 86400 )
+    NEW met1 ( 136800 240315 ) ( 243600 240315 )
+    NEW met2 ( 243600 86400 ) ( 243600 240315 )
+    NEW li1 ( 107760 240685 ) L1M1_PR_MR
+    NEW li1 ( 106320 240685 ) L1M1_PR_MR
+    NEW li1 ( 244080 35705 ) L1M1_PR_MR
+    NEW met1 ( 244080 35705 ) M1M2_PR
+    NEW li1 ( 245040 35335 ) L1M1_PR_MR
+    NEW met1 ( 243600 240315 ) M1M2_PR
+    NEW met1 ( 244080 35705 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net566 ( output566 A ) ( _153_ LO ) 
-  + ROUTED met1 ( 241200 41995 ) ( 244560 41995 )
-    NEW met2 ( 244560 41995 ) ( 244560 240315 )
-    NEW met1 ( 244560 240315 ) ( 290160 240315 )
-    NEW li1 ( 290160 240315 ) L1M1_PR_MR
+- net566 ( output566 A ) ( _149_ LO ) 
+  + ROUTED met2 ( 230640 41995 ) ( 230640 53835 )
+    NEW met1 ( 136800 53835 ) ( 136800 54205 )
+    NEW met1 ( 136800 54205 ) ( 174480 54205 )
+    NEW met1 ( 174480 53835 ) ( 174480 54205 )
+    NEW met1 ( 174480 53835 ) ( 230640 53835 )
+    NEW met1 ( 230640 41995 ) ( 241200 41995 )
+    NEW met1 ( 75600 53835 ) ( 136800 53835 )
+    NEW met1 ( 230640 53835 ) M1M2_PR
+    NEW met1 ( 230640 41995 ) M1M2_PR
     NEW li1 ( 241200 41995 ) L1M1_PR_MR
-    NEW met1 ( 244560 41995 ) M1M2_PR
-    NEW met1 ( 244560 240315 ) M1M2_PR
+    NEW li1 ( 75600 53835 ) L1M1_PR_MR
 + USE SIGNAL ;
-- net567 ( output567 A ) ( _154_ LO ) 
-  + ROUTED met2 ( 185520 145965 ) ( 185520 147075 )
-    NEW met1 ( 185520 145965 ) ( 246960 145965 )
-    NEW met2 ( 246960 38665 ) ( 246960 145965 )
-    NEW met1 ( 185520 145965 ) M1M2_PR
-    NEW li1 ( 185520 147075 ) L1M1_PR_MR
-    NEW met1 ( 185520 147075 ) M1M2_PR
-    NEW li1 ( 246960 38665 ) L1M1_PR_MR
-    NEW met1 ( 246960 38665 ) M1M2_PR
-    NEW met1 ( 246960 145965 ) M1M2_PR
-    NEW met1 ( 185520 147075 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 246960 38665 ) RECT ( -355 -70 0 70 )
+- net567 ( ANTENNA_233 DIODE ) ( ANTENNA_232 DIODE ) ( output567 A ) ( _150_ LO ) 
+  + ROUTED met1 ( 232560 173715 ) ( 234000 173715 )
+    NEW met1 ( 245040 38665 ) ( 246480 38665 )
+    NEW met1 ( 234000 173715 ) ( 245040 173715 )
+    NEW met2 ( 245040 38665 ) ( 245040 173715 )
+    NEW li1 ( 234000 173715 ) L1M1_PR_MR
+    NEW li1 ( 232560 173715 ) L1M1_PR_MR
+    NEW li1 ( 245040 38665 ) L1M1_PR_MR
+    NEW met1 ( 245040 38665 ) M1M2_PR
+    NEW li1 ( 246480 38665 ) L1M1_PR_MR
+    NEW met1 ( 245040 173715 ) M1M2_PR
+    NEW met1 ( 245040 38665 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net568 ( ANTENNA_210 DIODE ) ( output568 A ) ( _155_ LO ) 
-  + ROUTED met2 ( 107760 85285 ) ( 107760 100455 )
-    NEW met2 ( 243120 42735 ) ( 243120 85285 )
-    NEW met1 ( 243120 41255 ) ( 244560 41255 )
-    NEW met2 ( 243120 41255 ) ( 243120 42735 )
-    NEW met1 ( 107760 85285 ) ( 243120 85285 )
-    NEW met1 ( 49200 100455 ) ( 107760 100455 )
-    NEW met1 ( 107760 100455 ) M1M2_PR
-    NEW met1 ( 107760 85285 ) M1M2_PR
-    NEW li1 ( 243120 42735 ) L1M1_PR_MR
-    NEW met1 ( 243120 42735 ) M1M2_PR
-    NEW met1 ( 243120 85285 ) M1M2_PR
-    NEW li1 ( 244560 41255 ) L1M1_PR_MR
-    NEW met1 ( 243120 41255 ) M1M2_PR
-    NEW li1 ( 49200 100455 ) L1M1_PR_MR
-    NEW met1 ( 243120 42735 ) RECT ( -355 -70 0 70 )
+- net568 ( output568 A ) ( _151_ LO ) 
+  + ROUTED met1 ( 245040 41995 ) ( 245520 41995 )
+    NEW met1 ( 220560 151515 ) ( 245520 151515 )
+    NEW met2 ( 245520 41995 ) ( 245520 151515 )
+    NEW li1 ( 220560 151515 ) L1M1_PR_MR
+    NEW li1 ( 245040 41995 ) L1M1_PR_MR
+    NEW met1 ( 245520 41995 ) M1M2_PR
+    NEW met1 ( 245520 151515 ) M1M2_PR
 + USE SIGNAL ;
-- net569 ( output569 A ) ( _156_ LO ) 
-  + ROUTED met1 ( 250800 38665 ) ( 255120 38665 )
-    NEW met2 ( 255120 38665 ) ( 255120 47175 )
-    NEW met1 ( 255120 47175 ) ( 265680 47175 )
-    NEW li1 ( 250800 38665 ) L1M1_PR_MR
-    NEW met1 ( 255120 38665 ) M1M2_PR
-    NEW met1 ( 255120 47175 ) M1M2_PR
-    NEW li1 ( 265680 47175 ) L1M1_PR_MR
+- net569 ( ANTENNA_235 DIODE ) ( ANTENNA_234 DIODE ) ( output569 A ) ( _152_ LO ) 
+  + ROUTED met1 ( 197520 237725 ) ( 198960 237725 )
+    NEW met2 ( 198960 37925 ) ( 198960 237725 )
+    NEW met1 ( 248880 37925 ) ( 250320 37925 )
+    NEW met1 ( 198960 37925 ) ( 248880 37925 )
+    NEW met1 ( 198960 37925 ) M1M2_PR
+    NEW li1 ( 198960 237725 ) L1M1_PR_MR
+    NEW li1 ( 197520 237725 ) L1M1_PR_MR
+    NEW met1 ( 198960 237725 ) M1M2_PR
+    NEW li1 ( 248880 37925 ) L1M1_PR_MR
+    NEW li1 ( 250320 37925 ) L1M1_PR_MR
+    NEW met1 ( 198960 237725 ) RECT ( -595 -70 0 70 )
 + USE SIGNAL ;
-- net570 ( output570 A ) ( _157_ LO ) 
-  + ROUTED met1 ( 244080 41625 ) ( 248880 41625 )
-    NEW met2 ( 244080 41625 ) ( 244080 54205 )
-    NEW met1 ( 209520 54205 ) ( 244080 54205 )
-    NEW li1 ( 209520 54205 ) L1M1_PR_MR
+- net570 ( output570 A ) ( _153_ LO ) 
+  + ROUTED met1 ( 243120 41625 ) ( 248880 41625 )
+    NEW met2 ( 243120 41625 ) ( 243120 47175 )
+    NEW met1 ( 237360 47175 ) ( 243120 47175 )
+    NEW li1 ( 237360 47175 ) L1M1_PR_MR
     NEW li1 ( 248880 41625 ) L1M1_PR_MR
-    NEW met1 ( 244080 41625 ) M1M2_PR
-    NEW met1 ( 244080 54205 ) M1M2_PR
+    NEW met1 ( 243120 41625 ) M1M2_PR
+    NEW met1 ( 243120 47175 ) M1M2_PR
 + USE SIGNAL ;
-- net571 ( output571 A ) ( _067_ LO ) 
-  + ROUTED met2 ( 85200 41625 ) ( 85200 54945 )
-    NEW met2 ( 150960 54945 ) ( 150960 64935 )
-    NEW met1 ( 85200 54945 ) ( 150960 54945 )
-    NEW met1 ( 150960 64935 ) ( 289680 64935 )
-    NEW li1 ( 289680 64935 ) L1M1_PR_MR
-    NEW li1 ( 85200 41625 ) L1M1_PR_MR
-    NEW met1 ( 85200 41625 ) M1M2_PR
-    NEW met1 ( 85200 54945 ) M1M2_PR
-    NEW met1 ( 150960 54945 ) M1M2_PR
-    NEW met1 ( 150960 64935 ) M1M2_PR
-    NEW met1 ( 85200 41625 ) RECT ( -355 -70 0 70 )
+- net571 ( output571 A ) ( OR2X2 Y ) 
+  + ROUTED met2 ( 85200 41995 ) ( 85200 131165 )
+    NEW met1 ( 43200 131165 0 ) ( 85200 131165 )
+    NEW met1 ( 85200 131165 ) M1M2_PR
+    NEW li1 ( 85200 41995 ) L1M1_PR_MR
+    NEW met1 ( 85200 41995 ) M1M2_PR
+    NEW met1 ( 85200 41995 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net572 ( ANTENNA_212 DIODE ) ( ANTENNA_211 DIODE ) ( output572 A ) ( _186_ LO ) 
-  + ROUTED met1 ( 8880 41995 ) ( 8880 42735 )
-    NEW met1 ( 8880 42735 ) ( 10320 42735 )
-    NEW met1 ( 265680 173715 ) ( 266160 173715 )
-    NEW met2 ( 266160 118585 ) ( 266160 173715 )
-    NEW met1 ( 10320 42735 ) ( 18480 42735 )
-    NEW met2 ( 18480 42735 ) ( 18480 118585 )
-    NEW met1 ( 18480 118585 ) ( 266160 118585 )
-    NEW met1 ( 18480 118585 ) M1M2_PR
-    NEW li1 ( 10320 42735 ) L1M1_PR_MR
+- net572 ( output572 A ) ( _182_ LO ) 
+  + ROUTED met2 ( 8880 41995 ) ( 8880 54945 )
+    NEW met2 ( 215760 54945 ) ( 215760 60495 )
+    NEW met1 ( 8880 54945 ) ( 215760 54945 )
     NEW li1 ( 8880 41995 ) L1M1_PR_MR
-    NEW met1 ( 266160 118585 ) M1M2_PR
-    NEW li1 ( 266160 173715 ) L1M1_PR_MR
-    NEW met1 ( 266160 173715 ) M1M2_PR
-    NEW li1 ( 265680 173715 ) L1M1_PR_MR
-    NEW met1 ( 18480 42735 ) M1M2_PR
-    NEW met1 ( 266160 173715 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 8880 41995 ) M1M2_PR
+    NEW met1 ( 8880 54945 ) M1M2_PR
+    NEW met1 ( 215760 54945 ) M1M2_PR
+    NEW li1 ( 215760 60495 ) L1M1_PR_MR
+    NEW met1 ( 215760 60495 ) M1M2_PR
+    NEW met1 ( 8880 41995 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 215760 60495 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net573 ( output573 A ) ( _187_ LO ) 
-  + ROUTED met2 ( 134640 109335 ) ( 134640 113775 )
-    NEW met2 ( 12720 38665 ) ( 12720 58275 )
-    NEW met1 ( 12720 58275 ) ( 18000 58275 )
-    NEW met2 ( 18000 58275 ) ( 18000 109335 )
-    NEW met1 ( 18000 109335 ) ( 134640 109335 )
-    NEW met1 ( 134640 109335 ) M1M2_PR
-    NEW li1 ( 134640 113775 ) L1M1_PR_MR
-    NEW met1 ( 134640 113775 ) M1M2_PR
-    NEW li1 ( 12720 38665 ) L1M1_PR_MR
-    NEW met1 ( 12720 38665 ) M1M2_PR
-    NEW met1 ( 12720 58275 ) M1M2_PR
-    NEW met1 ( 18000 109335 ) M1M2_PR
-    NEW met1 ( 18000 58275 ) M1M2_PR
-    NEW met1 ( 134640 113775 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 12720 38665 ) RECT ( -355 -70 0 70 )
-+ USE SIGNAL ;
-- net574 ( ANTENNA_214 DIODE ) ( ANTENNA_213 DIODE ) ( output574 A ) ( _197_ LO ) 
-  + ROUTED met1 ( 21360 240315 ) ( 22800 240315 )
-    NEW met1 ( 22800 240315 ) ( 23760 240315 )
-    NEW met1 ( 25200 28675 ) ( 29040 28675 )
-    NEW met1 ( 29040 28675 ) ( 30000 28675 )
-    NEW met2 ( 23760 129600 ) ( 23760 240315 )
-    NEW met2 ( 23760 129600 ) ( 25200 129600 )
-    NEW met2 ( 25200 28675 ) ( 25200 129600 )
-    NEW li1 ( 22800 240315 ) L1M1_PR_MR
-    NEW li1 ( 21360 240315 ) L1M1_PR_MR
-    NEW met1 ( 23760 240315 ) M1M2_PR
-    NEW li1 ( 29040 28675 ) L1M1_PR_MR
-    NEW met1 ( 25200 28675 ) M1M2_PR
-    NEW li1 ( 30000 28675 ) L1M1_PR_MR
-+ USE SIGNAL ;
-- net575 ( ANTENNA_216 DIODE ) ( ANTENNA_215 DIODE ) ( output575 A ) ( _198_ LO ) 
-  + ROUTED met1 ( 277200 190735 ) ( 277200 191105 )
-    NEW met1 ( 276240 190735 ) ( 277200 190735 )
-    NEW met1 ( 69360 190735 ) ( 276240 190735 )
-    NEW met2 ( 28560 32005 ) ( 28560 48285 )
-    NEW met1 ( 27120 32005 ) ( 28560 32005 )
-    NEW met1 ( 28560 48285 ) ( 69360 48285 )
-    NEW met2 ( 69360 48285 ) ( 69360 190735 )
-    NEW met1 ( 69360 190735 ) M1M2_PR
-    NEW li1 ( 276240 190735 ) L1M1_PR_MR
-    NEW li1 ( 277200 191105 ) L1M1_PR_MR
-    NEW met1 ( 69360 48285 ) M1M2_PR
-    NEW li1 ( 28560 32005 ) L1M1_PR_MR
-    NEW met1 ( 28560 32005 ) M1M2_PR
-    NEW met1 ( 28560 48285 ) M1M2_PR
-    NEW li1 ( 27120 32005 ) L1M1_PR_MR
-    NEW met1 ( 28560 32005 ) RECT ( -355 -70 0 70 )
-+ USE SIGNAL ;
-- net576 ( output576 A ) ( _199_ LO ) 
-  + ROUTED met2 ( 79920 34965 ) ( 79920 37925 )
-    NEW met1 ( 79920 37925 ) ( 108720 37925 )
-    NEW met1 ( 64800 34965 ) ( 79920 34965 )
-    NEW met1 ( 64800 34595 ) ( 64800 34965 )
-    NEW met1 ( 54960 34595 ) ( 64800 34595 )
-    NEW met1 ( 54960 34595 ) ( 54960 34965 )
-    NEW met1 ( 30480 34965 ) ( 54960 34965 )
-    NEW li1 ( 108720 37925 ) L1M1_PR_MR
-    NEW met1 ( 79920 34965 ) M1M2_PR
-    NEW met1 ( 79920 37925 ) M1M2_PR
-    NEW li1 ( 30480 34965 ) L1M1_PR_MR
-+ USE SIGNAL ;
-- net577 ( ANTENNA_218 DIODE ) ( ANTENNA_217 DIODE ) ( output577 A ) ( _200_ LO ) 
-  + ROUTED met1 ( 157200 173715 ) ( 157680 173715 )
-    NEW met2 ( 157200 120805 ) ( 157200 173715 )
-    NEW met1 ( 34320 32005 ) ( 35760 32005 )
-    NEW met2 ( 35760 32005 ) ( 35760 120805 )
-    NEW met1 ( 35760 120805 ) ( 157200 120805 )
-    NEW met1 ( 157200 120805 ) M1M2_PR
-    NEW li1 ( 157200 173715 ) L1M1_PR_MR
-    NEW met1 ( 157200 173715 ) M1M2_PR
-    NEW li1 ( 157680 173715 ) L1M1_PR_MR
-    NEW li1 ( 35760 32005 ) L1M1_PR_MR
-    NEW met1 ( 35760 32005 ) M1M2_PR
-    NEW li1 ( 34320 32005 ) L1M1_PR_MR
-    NEW met1 ( 35760 120805 ) M1M2_PR
-    NEW met1 ( 157200 173715 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 35760 32005 ) RECT ( -355 -70 0 70 )
-+ USE SIGNAL ;
-- net578 ( ANTENNA_220 DIODE ) ( ANTENNA_219 DIODE ) ( output578 A ) ( _201_ LO ) 
-  + ROUTED met1 ( 34320 134125 ) ( 283440 134125 )
-    NEW met1 ( 282960 177045 ) ( 283440 177045 )
-    NEW met1 ( 283440 177045 ) ( 283440 177785 )
-    NEW met2 ( 283440 134125 ) ( 283440 177045 )
-    NEW met1 ( 32880 35335 ) ( 34320 35335 )
-    NEW met2 ( 34320 35335 ) ( 34320 134125 )
-    NEW met1 ( 34320 134125 ) M1M2_PR
-    NEW met1 ( 283440 134125 ) M1M2_PR
-    NEW li1 ( 282960 177045 ) L1M1_PR_MR
-    NEW met1 ( 283440 177045 ) M1M2_PR
-    NEW li1 ( 283440 177785 ) L1M1_PR_MR
-    NEW li1 ( 34320 35335 ) L1M1_PR_MR
-    NEW met1 ( 34320 35335 ) M1M2_PR
-    NEW li1 ( 32880 35335 ) L1M1_PR_MR
-    NEW met1 ( 34320 35335 ) RECT ( -355 -70 0 70 )
-+ USE SIGNAL ;
-- net579 ( output579 A ) ( _202_ LO ) 
-  + ROUTED met2 ( 38160 35335 ) ( 38160 40515 )
-    NEW met1 ( 34800 40515 ) ( 38160 40515 )
-    NEW li1 ( 38160 35335 ) L1M1_PR_MR
-    NEW met1 ( 38160 35335 ) M1M2_PR
-    NEW met1 ( 38160 40515 ) M1M2_PR
-    NEW li1 ( 34800 40515 ) L1M1_PR_MR
-    NEW met1 ( 38160 35335 ) RECT ( -355 -70 0 70 )
-+ USE SIGNAL ;
-- net580 ( output580 A ) ( _203_ LO ) 
-  + ROUTED met1 ( 69840 144485 ) ( 92400 144485 )
-    NEW met2 ( 42000 35335 ) ( 42000 43290 )
-    NEW met2 ( 41040 43290 ) ( 42000 43290 )
-    NEW met2 ( 41040 43290 ) ( 41040 64800 )
-    NEW met2 ( 41040 64800 ) ( 41520 64800 )
-    NEW met1 ( 41520 80475 ) ( 69840 80475 )
-    NEW met2 ( 41520 64800 ) ( 41520 80475 )
-    NEW met2 ( 69840 80475 ) ( 69840 144485 )
-    NEW li1 ( 92400 144485 ) L1M1_PR_MR
-    NEW met1 ( 69840 144485 ) M1M2_PR
-    NEW li1 ( 42000 35335 ) L1M1_PR_MR
-    NEW met1 ( 42000 35335 ) M1M2_PR
-    NEW met1 ( 41520 80475 ) M1M2_PR
-    NEW met1 ( 69840 80475 ) M1M2_PR
-    NEW met1 ( 42000 35335 ) RECT ( -355 -70 0 70 )
-+ USE SIGNAL ;
-- net581 ( output581 A ) ( _204_ LO ) 
-  + ROUTED met1 ( 50400 40885 ) ( 168240 40885 )
-    NEW met1 ( 50400 40885 ) ( 50400 41255 )
-    NEW met1 ( 49680 41255 ) ( 50400 41255 )
-    NEW met2 ( 49680 40885 ) ( 49680 41255 )
-    NEW met2 ( 49200 40885 ) ( 49680 40885 )
-    NEW met1 ( 39600 40885 ) ( 49200 40885 )
-    NEW met1 ( 39600 40885 ) ( 39600 41255 )
-    NEW li1 ( 168240 40885 ) L1M1_PR_MR
-    NEW met1 ( 49680 41255 ) M1M2_PR
-    NEW met1 ( 49200 40885 ) M1M2_PR
-    NEW li1 ( 39600 41255 ) L1M1_PR_MR
-+ USE SIGNAL ;
-- net582 ( output582 A ) ( _205_ LO ) 
-  + ROUTED met2 ( 214320 82695 ) ( 214320 91205 )
-    NEW met1 ( 49200 35335 ) ( 50160 35335 )
-    NEW met2 ( 50160 35335 ) ( 50160 82695 )
-    NEW met1 ( 50160 82695 ) ( 214320 82695 )
-    NEW li1 ( 214320 91205 ) L1M1_PR_MR
-    NEW met1 ( 214320 91205 ) M1M2_PR
-    NEW met1 ( 214320 82695 ) M1M2_PR
-    NEW li1 ( 49200 35335 ) L1M1_PR_MR
-    NEW met1 ( 50160 35335 ) M1M2_PR
-    NEW met1 ( 50160 82695 ) M1M2_PR
-    NEW met1 ( 214320 91205 ) RECT ( -355 -70 0 70 )
-+ USE SIGNAL ;
-- net583 ( output583 A ) ( _206_ LO ) 
-  + ROUTED met2 ( 47280 38295 ) ( 47280 41625 )
-    NEW met1 ( 36240 41625 ) ( 47280 41625 )
-    NEW met2 ( 36240 41625 ) ( 36240 245125 )
-    NEW li1 ( 36240 245125 ) L1M1_PR_MR
-    NEW met1 ( 36240 245125 ) M1M2_PR
-    NEW li1 ( 47280 38295 ) L1M1_PR_MR
-    NEW met1 ( 47280 38295 ) M1M2_PR
-    NEW met1 ( 47280 41625 ) M1M2_PR
-    NEW met1 ( 36240 41625 ) M1M2_PR
-    NEW met1 ( 36240 245125 ) RECT ( 0 -70 355 70 )
-    NEW met1 ( 47280 38295 ) RECT ( -355 -70 0 70 )
-+ USE SIGNAL ;
-- net584 ( output584 A ) ( _188_ LO ) 
+- net573 ( output573 A ) ( _183_ LO ) 
   + ROUTED met2 ( 13200 14245 ) ( 13200 18870 )
     NEW met2 ( 13200 18870 ) ( 13680 18870 )
-    NEW met1 ( 204240 14615 ) ( 206160 14615 )
-    NEW met1 ( 12720 41255 ) ( 13680 41255 )
-    NEW met2 ( 13680 18870 ) ( 13680 41255 )
-    NEW met1 ( 66960 13875 ) ( 66960 14245 )
-    NEW met1 ( 13200 14245 ) ( 66960 14245 )
-    NEW met2 ( 169200 12395 ) ( 169200 13875 )
-    NEW met1 ( 169200 12395 ) ( 204240 12395 )
-    NEW met2 ( 204240 12395 ) ( 204240 14245 )
-    NEW met1 ( 66960 13875 ) ( 169200 13875 )
-    NEW met1 ( 204240 14245 ) ( 204240 14615 )
-    NEW met1 ( 206160 14245 ) ( 206160 14615 )
-    NEW met1 ( 206160 14245 ) ( 232080 14245 )
+    NEW met1 ( 111600 13875 ) ( 111600 14245 )
+    NEW met1 ( 12720 37925 ) ( 13680 37925 )
+    NEW met2 ( 13680 18870 ) ( 13680 37925 )
+    NEW met1 ( 13200 14245 ) ( 111600 14245 )
+    NEW met1 ( 111600 13875 ) ( 151440 13875 )
     NEW met1 ( 13200 14245 ) M1M2_PR
-    NEW li1 ( 232080 14245 ) L1M1_PR_MR
-    NEW met1 ( 13680 41255 ) M1M2_PR
-    NEW li1 ( 12720 41255 ) L1M1_PR_MR
-    NEW met1 ( 169200 13875 ) M1M2_PR
-    NEW met1 ( 169200 12395 ) M1M2_PR
-    NEW met1 ( 204240 12395 ) M1M2_PR
-    NEW met1 ( 204240 14245 ) M1M2_PR
+    NEW met1 ( 13680 37925 ) M1M2_PR
+    NEW li1 ( 12720 37925 ) L1M1_PR_MR
+    NEW li1 ( 151440 13875 ) L1M1_PR_MR
 + USE SIGNAL ;
-- net585 ( ANTENNA_222 DIODE ) ( ANTENNA_221 DIODE ) ( output585 A ) ( _207_ LO ) 
-  + ROUTED met1 ( 234000 220335 ) ( 234960 220335 )
-    NEW met2 ( 90000 101565 ) ( 90000 220335 )
-    NEW met1 ( 90000 220335 ) ( 234000 220335 )
-    NEW met1 ( 53040 35335 ) ( 54480 35335 )
+- net574 ( ANTENNA_237 DIODE ) ( ANTENNA_236 DIODE ) ( output574 A ) ( _193_ LO ) 
+  + ROUTED met1 ( 83760 253635 ) ( 84720 253635 )
+    NEW met2 ( 83760 64800 ) ( 83760 253635 )
+    NEW met2 ( 83280 27935 ) ( 83280 64800 )
+    NEW met2 ( 83280 64800 ) ( 83760 64800 )
+    NEW met1 ( 29040 27565 ) ( 30480 27565 )
+    NEW met1 ( 30480 27565 ) ( 30480 27935 )
+    NEW met1 ( 30480 27935 ) ( 83280 27935 )
+    NEW li1 ( 83760 253635 ) L1M1_PR_MR
+    NEW met1 ( 83760 253635 ) M1M2_PR
+    NEW li1 ( 84720 253635 ) L1M1_PR_MR
+    NEW met1 ( 83280 27935 ) M1M2_PR
+    NEW li1 ( 30480 27935 ) L1M1_PR_MR
+    NEW li1 ( 29040 27565 ) L1M1_PR_MR
+    NEW met1 ( 83760 253635 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net575 ( output575 A ) ( _194_ LO ) 
+  + ROUTED met1 ( 28560 84545 ) ( 35760 84545 )
+    NEW met2 ( 28560 32005 ) ( 28560 84545 )
+    NEW met1 ( 28560 84545 ) M1M2_PR
+    NEW li1 ( 35760 84545 ) L1M1_PR_MR
+    NEW li1 ( 28560 32005 ) L1M1_PR_MR
+    NEW met1 ( 28560 32005 ) M1M2_PR
+    NEW met1 ( 28560 32005 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net576 ( ANTENNA_239 DIODE ) ( ANTENNA_238 DIODE ) ( output576 A ) ( _195_ LO ) 
+  + ROUTED met1 ( 29040 183705 ) ( 136800 183705 )
+    NEW met1 ( 141840 184445 ) ( 142320 184445 )
+    NEW met1 ( 136800 183705 ) ( 136800 184445 )
+    NEW met1 ( 136800 184445 ) ( 141840 184445 )
+    NEW met1 ( 30480 35335 ) ( 30480 35705 )
+    NEW met1 ( 29040 35705 ) ( 30480 35705 )
+    NEW met2 ( 29040 35705 ) ( 29040 183705 )
+    NEW met1 ( 29040 183705 ) M1M2_PR
+    NEW li1 ( 141840 184445 ) L1M1_PR_MR
+    NEW li1 ( 142320 184445 ) L1M1_PR_MR
+    NEW li1 ( 29040 35705 ) L1M1_PR_MR
+    NEW met1 ( 29040 35705 ) M1M2_PR
+    NEW li1 ( 30480 35335 ) L1M1_PR_MR
+    NEW met1 ( 29040 35705 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net577 ( output577 A ) ( _196_ LO ) 
+  + ROUTED met2 ( 164880 12025 ) ( 164880 13875 )
+    NEW met1 ( 35760 12025 ) ( 164880 12025 )
+    NEW met2 ( 35760 12025 ) ( 35760 31265 )
+    NEW met1 ( 35760 12025 ) M1M2_PR
+    NEW met1 ( 164880 12025 ) M1M2_PR
+    NEW li1 ( 164880 13875 ) L1M1_PR_MR
+    NEW met1 ( 164880 13875 ) M1M2_PR
+    NEW li1 ( 35760 31265 ) L1M1_PR_MR
+    NEW met1 ( 35760 31265 ) M1M2_PR
+    NEW met1 ( 164880 13875 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 35760 31265 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net578 ( output578 A ) ( _197_ LO ) 
+  + ROUTED met3 ( 97440 15170 ) ( 97680 15170 )
+    NEW met3 ( 97440 15170 ) ( 97440 15910 )
+    NEW met3 ( 94320 15910 ) ( 97440 15910 )
+    NEW met2 ( 94320 15910 ) ( 94320 34225 )
+    NEW met2 ( 97680 13875 ) ( 97680 15170 )
+    NEW met1 ( 34320 34225 ) ( 34320 34595 )
+    NEW met1 ( 34320 34225 ) ( 94320 34225 )
+    NEW li1 ( 97680 13875 ) L1M1_PR_MR
+    NEW met1 ( 97680 13875 ) M1M2_PR
+    NEW met2 ( 97680 15170 ) via2_FR
+    NEW met2 ( 94320 15910 ) via2_FR
+    NEW met1 ( 94320 34225 ) M1M2_PR
+    NEW li1 ( 34320 34595 ) L1M1_PR_MR
+    NEW met1 ( 97680 13875 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net579 ( ANTENNA_242 DIODE ) ( ANTENNA_241 DIODE ) ( ANTENNA_240 DIODE ) ( output579 A ) 
+( _198_ LO ) 
+  + ROUTED met1 ( 272400 231805 ) ( 273360 231805 )
+    NEW met1 ( 38160 231805 ) ( 272400 231805 )
+    NEW met1 ( 38160 35335 ) ( 39120 35335 )
+    NEW met1 ( 36720 35335 ) ( 38160 35335 )
+    NEW met2 ( 38160 35335 ) ( 38160 231805 )
+    NEW met1 ( 38160 231805 ) M1M2_PR
+    NEW li1 ( 272400 231805 ) L1M1_PR_MR
+    NEW li1 ( 273360 231805 ) L1M1_PR_MR
+    NEW li1 ( 38160 35335 ) L1M1_PR_MR
+    NEW met1 ( 38160 35335 ) M1M2_PR
+    NEW li1 ( 39120 35335 ) L1M1_PR_MR
+    NEW li1 ( 36720 35335 ) L1M1_PR_MR
+    NEW met1 ( 38160 35335 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net580 ( ANTENNA_244 DIODE ) ( ANTENNA_243 DIODE ) ( output580 A ) ( _199_ LO ) 
+  + ROUTED met1 ( 101040 211085 ) ( 102960 211085 )
+    NEW met1 ( 101040 210715 ) ( 101040 211085 )
+    NEW met1 ( 102960 211085 ) ( 103920 211085 )
+    NEW met1 ( 40080 209235 ) ( 81840 209235 )
+    NEW met2 ( 81840 209235 ) ( 81840 210715 )
+    NEW met1 ( 81840 210715 ) ( 101040 210715 )
+    NEW met2 ( 40080 187200 ) ( 40080 209235 )
+    NEW met2 ( 40080 187200 ) ( 40560 187200 )
+    NEW met2 ( 40560 89910 ) ( 41040 89910 )
+    NEW met2 ( 40560 35705 ) ( 40560 44030 )
+    NEW met2 ( 40560 44030 ) ( 41040 44030 )
+    NEW met1 ( 42000 34595 ) ( 42960 34595 )
+    NEW met2 ( 42960 34595 ) ( 42960 35705 )
+    NEW met1 ( 40560 35705 ) ( 42960 35705 )
+    NEW met2 ( 41040 44030 ) ( 41040 89910 )
+    NEW met2 ( 40560 89910 ) ( 40560 187200 )
+    NEW li1 ( 102960 211085 ) L1M1_PR_MR
+    NEW li1 ( 103920 211085 ) L1M1_PR_MR
+    NEW met1 ( 40080 209235 ) M1M2_PR
+    NEW met1 ( 81840 209235 ) M1M2_PR
+    NEW met1 ( 81840 210715 ) M1M2_PR
+    NEW li1 ( 40560 35705 ) L1M1_PR_MR
+    NEW met1 ( 40560 35705 ) M1M2_PR
+    NEW li1 ( 42000 34595 ) L1M1_PR_MR
+    NEW met1 ( 42960 34595 ) M1M2_PR
+    NEW met1 ( 42960 35705 ) M1M2_PR
+    NEW met1 ( 40560 35705 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net581 ( output581 A ) ( _200_ LO ) 
+  + ROUTED met1 ( 65040 28675 ) ( 91440 28675 )
+    NEW met2 ( 65040 28675 ) ( 65040 34595 )
+    NEW met2 ( 64080 34595 ) ( 64080 38295 )
+    NEW met1 ( 61200 38295 ) ( 64080 38295 )
+    NEW met1 ( 61200 38295 ) ( 61200 38665 )
+    NEW met1 ( 54960 38665 ) ( 61200 38665 )
+    NEW met2 ( 54960 38665 ) ( 54960 38850 )
+    NEW met3 ( 39600 38850 ) ( 54960 38850 )
+    NEW met2 ( 39600 38850 ) ( 39600 41255 )
+    NEW met1 ( 64080 34595 ) ( 65040 34595 )
+    NEW li1 ( 91440 28675 ) L1M1_PR_MR
+    NEW met1 ( 65040 28675 ) M1M2_PR
+    NEW met1 ( 65040 34595 ) M1M2_PR
+    NEW met1 ( 64080 34595 ) M1M2_PR
+    NEW met1 ( 64080 38295 ) M1M2_PR
+    NEW met1 ( 54960 38665 ) M1M2_PR
+    NEW met2 ( 54960 38850 ) via2_FR
+    NEW met2 ( 39600 38850 ) via2_FR
+    NEW li1 ( 39600 41255 ) L1M1_PR_MR
+    NEW met1 ( 39600 41255 ) M1M2_PR
+    NEW met1 ( 39600 41255 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net582 ( ANTENNA_246 DIODE ) ( ANTENNA_245 DIODE ) ( output582 A ) ( _201_ LO ) 
+  + ROUTED met1 ( 14640 260295 ) ( 18000 260295 )
+    NEW met1 ( 13200 260295 ) ( 14640 260295 )
+    NEW met1 ( 46800 33855 ) ( 47280 33855 )
+    NEW met2 ( 46800 29415 ) ( 46800 33855 )
+    NEW met1 ( 18000 29415 ) ( 46800 29415 )
+    NEW met1 ( 46800 34595 ) ( 48720 34595 )
+    NEW met2 ( 46800 33855 ) ( 46800 34595 )
+    NEW met2 ( 18000 29415 ) ( 18000 260295 )
+    NEW li1 ( 14640 260295 ) L1M1_PR_MR
+    NEW met1 ( 18000 260295 ) M1M2_PR
+    NEW li1 ( 13200 260295 ) L1M1_PR_MR
+    NEW li1 ( 47280 33855 ) L1M1_PR_MR
+    NEW met1 ( 46800 33855 ) M1M2_PR
+    NEW met1 ( 46800 29415 ) M1M2_PR
+    NEW met1 ( 18000 29415 ) M1M2_PR
+    NEW li1 ( 48720 34595 ) L1M1_PR_MR
+    NEW met1 ( 46800 34595 ) M1M2_PR
++ USE SIGNAL ;
+- net583 ( output583 A ) ( _202_ LO ) 
+  + ROUTED met2 ( 167760 55315 ) ( 167760 57905 )
+    NEW met1 ( 47280 37925 ) ( 48720 37925 )
+    NEW met2 ( 48720 37925 ) ( 48720 55315 )
+    NEW met1 ( 48720 55315 ) ( 167760 55315 )
+    NEW met1 ( 167760 55315 ) M1M2_PR
+    NEW li1 ( 167760 57905 ) L1M1_PR_MR
+    NEW met1 ( 167760 57905 ) M1M2_PR
+    NEW li1 ( 47280 37925 ) L1M1_PR_MR
+    NEW met1 ( 48720 37925 ) M1M2_PR
+    NEW met1 ( 48720 55315 ) M1M2_PR
+    NEW met1 ( 167760 57905 ) RECT ( -355 -70 0 70 )
++ USE SIGNAL ;
+- net584 ( output584 A ) ( _184_ LO ) 
+  + ROUTED met1 ( 67920 41625 ) ( 67920 42365 )
+    NEW met1 ( 67920 42365 ) ( 113520 42365 )
+    NEW met1 ( 113520 41995 ) ( 113520 42365 )
+    NEW met2 ( 41040 40885 ) ( 41040 41625 )
+    NEW met1 ( 36720 40885 ) ( 41040 40885 )
+    NEW met1 ( 36720 40885 ) ( 36720 41625 )
+    NEW met1 ( 12720 41625 ) ( 36720 41625 )
+    NEW met1 ( 41040 41625 ) ( 67920 41625 )
+    NEW li1 ( 113520 41995 ) L1M1_PR_MR
+    NEW met1 ( 41040 41625 ) M1M2_PR
+    NEW met1 ( 41040 40885 ) M1M2_PR
+    NEW li1 ( 12720 41625 ) L1M1_PR_MR
++ USE SIGNAL ;
+- net585 ( ANTENNA_248 DIODE ) ( ANTENNA_247 DIODE ) ( output585 A ) ( _203_ LO ) 
+  + ROUTED met1 ( 243120 34965 ) ( 243120 35705 )
+    NEW met1 ( 243120 34965 ) ( 269040 34965 )
+    NEW met1 ( 269040 207015 ) ( 270480 207015 )
+    NEW met1 ( 270480 207015 ) ( 271440 207015 )
+    NEW met2 ( 269040 34965 ) ( 269040 207015 )
+    NEW met1 ( 53040 35335 ) ( 53040 35705 )
     NEW met1 ( 51600 35335 ) ( 53040 35335 )
-    NEW met2 ( 54480 35335 ) ( 54480 101565 )
-    NEW met1 ( 54480 101565 ) ( 90000 101565 )
-    NEW met1 ( 90000 101565 ) M1M2_PR
-    NEW met1 ( 90000 220335 ) M1M2_PR
-    NEW li1 ( 234000 220335 ) L1M1_PR_MR
-    NEW li1 ( 234960 220335 ) L1M1_PR_MR
+    NEW met1 ( 53040 35705 ) ( 243120 35705 )
+    NEW met1 ( 269040 34965 ) M1M2_PR
+    NEW li1 ( 270480 207015 ) L1M1_PR_MR
+    NEW met1 ( 269040 207015 ) M1M2_PR
+    NEW li1 ( 271440 207015 ) L1M1_PR_MR
     NEW li1 ( 53040 35335 ) L1M1_PR_MR
-    NEW met1 ( 54480 35335 ) M1M2_PR
     NEW li1 ( 51600 35335 ) L1M1_PR_MR
-    NEW met1 ( 54480 101565 ) M1M2_PR
 + USE SIGNAL ;
-- net586 ( output586 A ) ( _208_ LO ) 
-  + ROUTED met1 ( 74640 133755 ) ( 75600 133755 )
-    NEW met2 ( 51120 38665 ) ( 51120 43845 )
-    NEW met1 ( 51120 43845 ) ( 74640 43845 )
-    NEW met2 ( 74640 43845 ) ( 74640 133755 )
-    NEW met1 ( 74640 133755 ) M1M2_PR
-    NEW li1 ( 75600 133755 ) L1M1_PR_MR
-    NEW met1 ( 74640 43845 ) M1M2_PR
+- net586 ( ANTENNA_250 DIODE ) ( ANTENNA_249 DIODE ) ( output586 A ) ( _204_ LO ) 
+  + ROUTED met1 ( 51120 250305 ) ( 60240 250305 )
+    NEW met1 ( 61200 250305 ) ( 61200 251045 )
+    NEW met1 ( 60240 250305 ) ( 61200 250305 )
+    NEW met1 ( 49680 38665 ) ( 51120 38665 )
+    NEW met2 ( 51120 38665 ) ( 51120 250305 )
+    NEW li1 ( 60240 250305 ) L1M1_PR_MR
+    NEW met1 ( 51120 250305 ) M1M2_PR
+    NEW li1 ( 61200 251045 ) L1M1_PR_MR
     NEW li1 ( 51120 38665 ) L1M1_PR_MR
     NEW met1 ( 51120 38665 ) M1M2_PR
-    NEW met1 ( 51120 43845 ) M1M2_PR
+    NEW li1 ( 49680 38665 ) L1M1_PR_MR
     NEW met1 ( 51120 38665 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net587 ( ANTENNA_224 DIODE ) ( ANTENNA_223 DIODE ) ( output587 A ) ( _209_ LO ) 
-  + ROUTED met1 ( 204720 42365 ) ( 204720 42735 )
-    NEW met1 ( 204720 42365 ) ( 222000 42365 )
-    NEW met1 ( 222000 180375 ) ( 222960 180375 )
-    NEW met2 ( 222000 42365 ) ( 222000 180375 )
-    NEW li1 ( 72720 40515 ) ( 72720 42735 )
-    NEW met1 ( 72720 42735 ) ( 204720 42735 )
-    NEW met1 ( 48240 41625 ) ( 49200 41625 )
-    NEW met1 ( 48240 41255 ) ( 48240 41625 )
-    NEW met2 ( 48240 40515 ) ( 48240 41255 )
-    NEW met1 ( 47760 40515 ) ( 72720 40515 )
-    NEW met1 ( 222000 42365 ) M1M2_PR
-    NEW li1 ( 222000 180375 ) L1M1_PR_MR
-    NEW met1 ( 222000 180375 ) M1M2_PR
-    NEW li1 ( 222960 180375 ) L1M1_PR_MR
-    NEW li1 ( 72720 40515 ) L1M1_PR_MR
-    NEW li1 ( 72720 42735 ) L1M1_PR_MR
-    NEW li1 ( 47760 40515 ) L1M1_PR_MR
-    NEW li1 ( 49200 41625 ) L1M1_PR_MR
-    NEW met1 ( 48240 41255 ) M1M2_PR
-    NEW met1 ( 48240 40515 ) M1M2_PR
-    NEW met1 ( 222000 180375 ) RECT ( -355 -70 0 70 )
-    NEW met1 ( 48240 40515 ) RECT ( -595 -70 0 70 )
+- net587 ( ANTENNA_252 DIODE ) ( ANTENNA_251 DIODE ) ( output587 A ) ( _205_ LO ) 
+  + ROUTED met1 ( 205680 22015 ) ( 206640 22015 )
+    NEW met1 ( 205680 22015 ) ( 205680 22755 )
+    NEW met2 ( 49200 22755 ) ( 49200 41255 )
+    NEW met1 ( 46800 40515 ) ( 47280 40515 )
+    NEW met2 ( 46800 40515 ) ( 46800 41810 )
+    NEW met2 ( 46800 41810 ) ( 48240 41810 )
+    NEW met2 ( 48240 37370 ) ( 48240 41810 )
+    NEW met2 ( 48240 37370 ) ( 49200 37370 )
+    NEW met1 ( 49200 22755 ) ( 205680 22755 )
+    NEW li1 ( 205680 22755 ) L1M1_PR_MR
+    NEW li1 ( 206640 22015 ) L1M1_PR_MR
+    NEW li1 ( 49200 41255 ) L1M1_PR_MR
+    NEW met1 ( 49200 41255 ) M1M2_PR
+    NEW met1 ( 49200 22755 ) M1M2_PR
+    NEW li1 ( 47280 40515 ) L1M1_PR_MR
+    NEW met1 ( 46800 40515 ) M1M2_PR
+    NEW met1 ( 49200 41255 ) RECT ( 0 -70 355 70 )
 + USE SIGNAL ;
-- net588 ( output588 A ) ( _210_ LO ) 
-  + ROUTED met2 ( 54960 38665 ) ( 54960 97865 )
-    NEW met1 ( 54960 97865 ) ( 94800 97865 )
-    NEW li1 ( 94800 97865 ) L1M1_PR_MR
-    NEW li1 ( 54960 38665 ) L1M1_PR_MR
-    NEW met1 ( 54960 38665 ) M1M2_PR
-    NEW met1 ( 54960 97865 ) M1M2_PR
-    NEW met1 ( 54960 38665 ) RECT ( -355 -70 0 70 )
+- net588 ( ANTENNA_254 DIODE ) ( ANTENNA_253 DIODE ) ( output588 A ) ( _206_ LO ) 
+  + ROUTED met1 ( 46800 211085 ) ( 54480 211085 )
+    NEW met1 ( 45360 211085 ) ( 46800 211085 )
+    NEW met1 ( 53520 38665 ) ( 54480 38665 )
+    NEW met2 ( 54480 38665 ) ( 54480 211085 )
+    NEW li1 ( 46800 211085 ) L1M1_PR_MR
+    NEW met1 ( 54480 211085 ) M1M2_PR
+    NEW li1 ( 45360 211085 ) L1M1_PR_MR
+    NEW li1 ( 54480 38665 ) L1M1_PR_MR
+    NEW met1 ( 54480 38665 ) M1M2_PR
+    NEW li1 ( 53520 38665 ) L1M1_PR_MR
+    NEW met1 ( 54480 38665 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net589 ( output589 A ) ( _211_ LO ) 
-  + ROUTED met1 ( 53040 167055 ) ( 84240 167055 )
-    NEW met2 ( 53040 41995 ) ( 53040 167055 )
-    NEW met1 ( 53040 167055 ) M1M2_PR
-    NEW li1 ( 84240 167055 ) L1M1_PR_MR
+- net589 ( output589 A ) ( _207_ LO ) 
+  + ROUTED met2 ( 53040 41995 ) ( 53040 144485 )
+    NEW met1 ( 53040 144485 ) ( 141360 144485 )
+    NEW li1 ( 141360 144485 ) L1M1_PR_MR
     NEW li1 ( 53040 41995 ) L1M1_PR_MR
     NEW met1 ( 53040 41995 ) M1M2_PR
+    NEW met1 ( 53040 144485 ) M1M2_PR
     NEW met1 ( 53040 41995 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net590 ( ANTENNA_226 DIODE ) ( ANTENNA_225 DIODE ) ( output590 A ) ( _212_ LO ) 
-  + ROUTED met1 ( 226320 213675 ) ( 227280 213675 )
-    NEW met1 ( 57360 214045 ) ( 136800 214045 )
-    NEW met1 ( 136800 213675 ) ( 136800 214045 )
-    NEW met1 ( 136800 213675 ) ( 226320 213675 )
-    NEW met2 ( 56880 136800 ) ( 57360 136800 )
-    NEW met2 ( 57360 136800 ) ( 57360 214045 )
-    NEW met1 ( 55440 41995 ) ( 56880 41995 )
-    NEW met2 ( 56880 41995 ) ( 56880 136800 )
-    NEW li1 ( 226320 213675 ) L1M1_PR_MR
-    NEW li1 ( 227280 213675 ) L1M1_PR_MR
-    NEW met1 ( 57360 214045 ) M1M2_PR
-    NEW li1 ( 56880 41995 ) L1M1_PR_MR
-    NEW met1 ( 56880 41995 ) M1M2_PR
-    NEW li1 ( 55440 41995 ) L1M1_PR_MR
-    NEW met1 ( 56880 41995 ) RECT ( -355 -70 0 70 )
+- net590 ( ANTENNA_256 DIODE ) ( ANTENNA_255 DIODE ) ( output590 A ) ( _208_ LO ) 
+  + ROUTED met1 ( 87120 231065 ) ( 87600 231065 )
+    NEW met2 ( 74640 39035 ) ( 74640 40515 )
+    NEW met1 ( 74640 39035 ) ( 87120 39035 )
+    NEW met2 ( 87120 39035 ) ( 87120 231065 )
+    NEW met1 ( 56880 40515 ) ( 56880 41255 )
+    NEW met1 ( 55440 40885 ) ( 56880 40885 )
+    NEW met1 ( 56880 40515 ) ( 74640 40515 )
+    NEW li1 ( 87120 231065 ) L1M1_PR_MR
+    NEW met1 ( 87120 231065 ) M1M2_PR
+    NEW li1 ( 87600 231065 ) L1M1_PR_MR
+    NEW met1 ( 74640 40515 ) M1M2_PR
+    NEW met1 ( 74640 39035 ) M1M2_PR
+    NEW met1 ( 87120 39035 ) M1M2_PR
+    NEW li1 ( 56880 41255 ) L1M1_PR_MR
+    NEW li1 ( 55440 40885 ) L1M1_PR_MR
+    NEW met1 ( 87120 231065 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net591 ( output591 A ) ( _213_ LO ) 
-  + ROUTED met2 ( 62160 38665 ) ( 62160 87135 )
-    NEW met1 ( 62160 87135 ) ( 116880 87135 )
-    NEW li1 ( 116880 87135 ) L1M1_PR_MR
+- net591 ( output591 A ) ( _209_ LO ) 
+  + ROUTED met1 ( 62160 80845 ) ( 188400 80845 )
+    NEW met2 ( 62160 38665 ) ( 62160 80845 )
+    NEW li1 ( 188400 80845 ) L1M1_PR_MR
+    NEW met1 ( 62160 80845 ) M1M2_PR
     NEW li1 ( 62160 38665 ) L1M1_PR_MR
     NEW met1 ( 62160 38665 ) M1M2_PR
-    NEW met1 ( 62160 87135 ) M1M2_PR
     NEW met1 ( 62160 38665 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net592 ( ANTENNA_228 DIODE ) ( ANTENNA_227 DIODE ) ( output592 A ) ( _214_ LO ) 
-  + ROUTED met1 ( 194640 224405 ) ( 195600 224405 )
-    NEW met1 ( 60720 224405 ) ( 194640 224405 )
-    NEW met1 ( 59280 41995 ) ( 60720 41995 )
-    NEW met2 ( 60720 41995 ) ( 60720 224405 )
-    NEW li1 ( 194640 224405 ) L1M1_PR_MR
-    NEW li1 ( 195600 224405 ) L1M1_PR_MR
-    NEW met1 ( 60720 224405 ) M1M2_PR
+- net592 ( output592 A ) ( _210_ LO ) 
+  + ROUTED met1 ( 86400 110445 ) ( 86400 110815 )
+    NEW met1 ( 86400 110815 ) ( 136800 110815 )
+    NEW met1 ( 136800 110815 ) ( 136800 111185 )
+    NEW met1 ( 136800 111185 ) ( 167760 111185 )
+    NEW met1 ( 60720 110445 ) ( 86400 110445 )
+    NEW met2 ( 60720 41995 ) ( 60720 110445 )
+    NEW li1 ( 167760 111185 ) L1M1_PR_MR
+    NEW met1 ( 60720 110445 ) M1M2_PR
     NEW li1 ( 60720 41995 ) L1M1_PR_MR
     NEW met1 ( 60720 41995 ) M1M2_PR
-    NEW li1 ( 59280 41995 ) L1M1_PR_MR
     NEW met1 ( 60720 41995 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net593 ( ANTENNA_230 DIODE ) ( ANTENNA_229 DIODE ) ( output593 A ) ( _215_ LO ) 
-  + ROUTED met1 ( 66000 38295 ) ( 136800 38295 )
-    NEW met1 ( 136800 37925 ) ( 136800 38295 )
-    NEW met1 ( 136800 37925 ) ( 151440 37925 )
-    NEW met1 ( 151440 37555 ) ( 151440 37925 )
-    NEW met1 ( 151440 37555 ) ( 240720 37555 )
-    NEW met1 ( 240240 246975 ) ( 240720 246975 )
-    NEW met2 ( 240720 37555 ) ( 240720 246975 )
-    NEW met1 ( 64560 38295 ) ( 66000 38295 )
+- net593 ( output593 A ) ( _211_ LO ) 
+  + ROUTED met1 ( 196560 37555 ) ( 196560 37925 )
+    NEW met2 ( 196560 37925 ) ( 196560 133755 )
+    NEW met1 ( 149040 37925 ) ( 149040 38295 )
+    NEW met1 ( 149040 37925 ) ( 150960 37925 )
+    NEW met1 ( 150960 37555 ) ( 150960 37925 )
+    NEW met1 ( 150960 37555 ) ( 196560 37555 )
+    NEW met1 ( 66000 38295 ) ( 149040 38295 )
+    NEW li1 ( 196560 133755 ) L1M1_PR_MR
+    NEW met1 ( 196560 133755 ) M1M2_PR
+    NEW met1 ( 196560 37925 ) M1M2_PR
     NEW li1 ( 66000 38295 ) L1M1_PR_MR
-    NEW met1 ( 240720 37555 ) M1M2_PR
-    NEW li1 ( 240720 246975 ) L1M1_PR_MR
-    NEW met1 ( 240720 246975 ) M1M2_PR
-    NEW li1 ( 240240 246975 ) L1M1_PR_MR
-    NEW li1 ( 64560 38295 ) L1M1_PR_MR
-    NEW met1 ( 240720 246975 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 196560 133755 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net594 ( output594 A ) ( _216_ LO ) 
-  + ROUTED met1 ( 64560 64935 ) ( 145200 64935 )
-    NEW met2 ( 64560 41995 ) ( 64560 64935 )
-    NEW li1 ( 145200 64935 ) L1M1_PR_MR
-    NEW met1 ( 64560 64935 ) M1M2_PR
+- net594 ( ANTENNA_258 DIODE ) ( ANTENNA_257 DIODE ) ( output594 A ) ( _212_ LO ) 
+  + ROUTED met1 ( 64560 220335 ) ( 73680 220335 )
+    NEW met1 ( 73680 220335 ) ( 74640 220335 )
+    NEW met1 ( 63120 41995 ) ( 64560 41995 )
+    NEW met2 ( 64560 41995 ) ( 64560 220335 )
+    NEW li1 ( 73680 220335 ) L1M1_PR_MR
+    NEW met1 ( 64560 220335 ) M1M2_PR
+    NEW li1 ( 74640 220335 ) L1M1_PR_MR
     NEW li1 ( 64560 41995 ) L1M1_PR_MR
     NEW met1 ( 64560 41995 ) M1M2_PR
+    NEW li1 ( 63120 41995 ) L1M1_PR_MR
     NEW met1 ( 64560 41995 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net595 ( ANTENNA_232 DIODE ) ( ANTENNA_231 DIODE ) ( output595 A ) ( _189_ LO ) 
-  + ROUTED met1 ( 14640 180375 ) ( 36000 180375 )
-    NEW met1 ( 36000 180745 ) ( 84720 180745 )
-    NEW met1 ( 36000 180375 ) ( 36000 180745 )
-    NEW met1 ( 84720 180745 ) ( 85680 180745 )
-    NEW met1 ( 16560 38665 ) ( 16560 39405 )
-    NEW met1 ( 14640 39405 ) ( 16560 39405 )
-    NEW met2 ( 14640 39405 ) ( 14640 180375 )
-    NEW met1 ( 14640 180375 ) M1M2_PR
-    NEW li1 ( 84720 180745 ) L1M1_PR_MR
-    NEW li1 ( 85680 180745 ) L1M1_PR_MR
-    NEW li1 ( 14640 39405 ) L1M1_PR_MR
-    NEW met1 ( 14640 39405 ) M1M2_PR
-    NEW li1 ( 16560 38665 ) L1M1_PR_MR
-    NEW met1 ( 14640 39405 ) RECT ( 0 -70 355 70 )
+- net595 ( output595 A ) ( _185_ LO ) 
+  + ROUTED met1 ( 198000 38665 ) ( 198000 39035 )
+    NEW met1 ( 177360 38665 ) ( 177360 39035 )
+    NEW met1 ( 177360 38665 ) ( 198000 38665 )
+    NEW met2 ( 274320 39035 ) ( 274320 48285 )
+    NEW met2 ( 274320 48285 ) ( 274800 48285 )
+    NEW met2 ( 274800 48285 ) ( 274800 80475 )
+    NEW met1 ( 198000 39035 ) ( 274320 39035 )
+    NEW met1 ( 115200 39035 ) ( 177360 39035 )
+    NEW li1 ( 89040 37555 ) ( 89040 38665 )
+    NEW met1 ( 89040 38665 ) ( 115200 38665 )
+    NEW met1 ( 115200 38665 ) ( 115200 39035 )
+    NEW met2 ( 43920 36075 ) ( 43920 37555 )
+    NEW met1 ( 19440 36075 ) ( 43920 36075 )
+    NEW met2 ( 19440 36075 ) ( 19440 37925 )
+    NEW met1 ( 16560 37925 ) ( 19440 37925 )
+    NEW met1 ( 43920 37555 ) ( 89040 37555 )
+    NEW met1 ( 274320 39035 ) M1M2_PR
+    NEW li1 ( 274800 80475 ) L1M1_PR_MR
+    NEW met1 ( 274800 80475 ) M1M2_PR
+    NEW li1 ( 89040 37555 ) L1M1_PR_MR
+    NEW li1 ( 89040 38665 ) L1M1_PR_MR
+    NEW met1 ( 43920 37555 ) M1M2_PR
+    NEW met1 ( 43920 36075 ) M1M2_PR
+    NEW met1 ( 19440 36075 ) M1M2_PR
+    NEW met1 ( 19440 37925 ) M1M2_PR
+    NEW li1 ( 16560 37925 ) L1M1_PR_MR
+    NEW met1 ( 274800 80475 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net596 ( output596 A ) ( _217_ LO ) 
-  + ROUTED met1 ( 69840 37925 ) ( 71280 37925 )
-    NEW met2 ( 71280 14245 ) ( 71280 37925 )
-    NEW met1 ( 173040 13875 ) ( 173040 14245 )
-    NEW met1 ( 71280 14245 ) ( 173040 14245 )
-    NEW met1 ( 173040 13875 ) ( 218640 13875 )
-    NEW li1 ( 218640 13875 ) L1M1_PR_MR
-    NEW met1 ( 71280 14245 ) M1M2_PR
-    NEW met1 ( 71280 37925 ) M1M2_PR
-    NEW li1 ( 69840 37925 ) L1M1_PR_MR
+- net596 ( output596 A ) ( _213_ LO ) 
+  + ROUTED met1 ( 69840 38665 ) ( 69840 39035 )
+    NEW met2 ( 45840 39035 ) ( 45840 40515 )
+    NEW met1 ( 26640 40515 ) ( 45840 40515 )
+    NEW met1 ( 45840 39035 ) ( 69840 39035 )
+    NEW li1 ( 69840 38665 ) L1M1_PR_MR
+    NEW met1 ( 45840 39035 ) M1M2_PR
+    NEW met1 ( 45840 40515 ) M1M2_PR
+    NEW li1 ( 26640 40515 ) L1M1_PR_MR
 + USE SIGNAL ;
-- net597 ( output597 A ) ( _218_ LO ) 
-  + ROUTED met1 ( 68400 217745 ) ( 68880 217745 )
-    NEW met2 ( 68400 41995 ) ( 68400 217745 )
-    NEW met1 ( 68400 217745 ) M1M2_PR
-    NEW li1 ( 68880 217745 ) L1M1_PR_MR
-    NEW li1 ( 68400 41995 ) L1M1_PR_MR
-    NEW met1 ( 68400 41995 ) M1M2_PR
-    NEW met1 ( 68400 41995 ) RECT ( -355 -70 0 70 )
+- net597 ( output597 A ) ( _214_ LO ) 
+  + ROUTED met1 ( 115200 41995 ) ( 145200 41995 )
+    NEW met1 ( 68400 41625 ) ( 115200 41625 )
+    NEW met1 ( 115200 41625 ) ( 115200 41995 )
+    NEW li1 ( 145200 41995 ) L1M1_PR_MR
+    NEW li1 ( 68400 41625 ) L1M1_PR_MR
 + USE SIGNAL ;
-- net598 ( ANTENNA_234 DIODE ) ( ANTENNA_233 DIODE ) ( output598 A ) ( _190_ LO ) 
-  + ROUTED met1 ( 88560 34965 ) ( 88560 35705 )
-    NEW met1 ( 88560 34965 ) ( 103440 34965 )
-    NEW met2 ( 103440 61050 ) ( 103920 61050 )
-    NEW met2 ( 103440 34965 ) ( 103440 61050 )
-    NEW met1 ( 103920 277685 ) ( 104880 277685 )
-    NEW met1 ( 103920 276945 ) ( 103920 277685 )
-    NEW met2 ( 103920 61050 ) ( 103920 276945 )
-    NEW met1 ( 22800 35335 ) ( 22800 35705 )
-    NEW met1 ( 21360 35335 ) ( 22800 35335 )
-    NEW met1 ( 22800 35705 ) ( 88560 35705 )
-    NEW met1 ( 103440 34965 ) M1M2_PR
-    NEW li1 ( 103920 276945 ) L1M1_PR_MR
-    NEW met1 ( 103920 276945 ) M1M2_PR
-    NEW li1 ( 104880 277685 ) L1M1_PR_MR
+- net598 ( output598 A ) ( _186_ LO ) 
+  + ROUTED met1 ( 23760 93795 ) ( 67920 93795 )
+    NEW met1 ( 22800 35335 ) ( 23760 35335 )
+    NEW met2 ( 23760 35335 ) ( 23760 93795 )
+    NEW li1 ( 67920 93795 ) L1M1_PR_MR
+    NEW met1 ( 23760 93795 ) M1M2_PR
     NEW li1 ( 22800 35335 ) L1M1_PR_MR
-    NEW li1 ( 21360 35335 ) L1M1_PR_MR
-    NEW met1 ( 103920 276945 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 23760 35335 ) M1M2_PR
 + USE SIGNAL ;
-- net599 ( ANTENNA_236 DIODE ) ( ANTENNA_235 DIODE ) ( output599 A ) ( _191_ LO ) 
-  + ROUTED met1 ( 53520 177785 ) ( 54480 177785 )
-    NEW met1 ( 16560 177785 ) ( 53520 177785 )
+- net599 ( ANTENNA_260 DIODE ) ( ANTENNA_259 DIODE ) ( output599 A ) ( _187_ LO ) 
+  + ROUTED met1 ( 121200 240315 ) ( 121680 240315 )
+    NEW met2 ( 121680 40515 ) ( 121680 240315 )
+    NEW met2 ( 78960 37925 ) ( 78960 40515 )
+    NEW met1 ( 78960 40515 ) ( 121680 40515 )
+    NEW met1 ( 16560 41995 ) ( 17520 41995 )
+    NEW met1 ( 17520 41995 ) ( 17520 42735 )
+    NEW met1 ( 17520 42735 ) ( 40560 42735 )
+    NEW li1 ( 40560 41255 ) ( 40560 42735 )
+    NEW met1 ( 40560 41255 ) ( 47280 41255 )
+    NEW met2 ( 47280 38665 ) ( 47280 41255 )
+    NEW met1 ( 47280 38295 ) ( 47280 38665 )
+    NEW met1 ( 47280 38295 ) ( 55440 38295 )
+    NEW met1 ( 55440 37925 ) ( 55440 38295 )
     NEW met1 ( 15120 41995 ) ( 16560 41995 )
-    NEW met2 ( 16560 41995 ) ( 16560 177785 )
-    NEW met1 ( 16560 177785 ) M1M2_PR
-    NEW li1 ( 53520 177785 ) L1M1_PR_MR
-    NEW li1 ( 54480 177785 ) L1M1_PR_MR
+    NEW met1 ( 55440 37925 ) ( 78960 37925 )
+    NEW met1 ( 121680 40515 ) M1M2_PR
+    NEW li1 ( 121680 240315 ) L1M1_PR_MR
+    NEW li1 ( 121200 240315 ) L1M1_PR_MR
+    NEW met1 ( 121680 240315 ) M1M2_PR
+    NEW met1 ( 78960 37925 ) M1M2_PR
+    NEW met1 ( 78960 40515 ) M1M2_PR
     NEW li1 ( 16560 41995 ) L1M1_PR_MR
-    NEW met1 ( 16560 41995 ) M1M2_PR
+    NEW li1 ( 40560 42735 ) L1M1_PR_MR
+    NEW li1 ( 40560 41255 ) L1M1_PR_MR
+    NEW met1 ( 47280 41255 ) M1M2_PR
+    NEW met1 ( 47280 38665 ) M1M2_PR
     NEW li1 ( 15120 41995 ) L1M1_PR_MR
-    NEW met1 ( 16560 41995 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 121680 240315 ) RECT ( -595 -70 0 70 )
 + USE SIGNAL ;
-- net600 ( output600 A ) ( _192_ LO ) 
-  + ROUTED met1 ( 20400 73815 ) ( 39600 73815 )
-    NEW met2 ( 20400 38665 ) ( 20400 73815 )
-    NEW met1 ( 20400 73815 ) M1M2_PR
-    NEW li1 ( 39600 73815 ) L1M1_PR_MR
-    NEW li1 ( 20400 38665 ) L1M1_PR_MR
-    NEW met1 ( 20400 38665 ) M1M2_PR
-    NEW met1 ( 20400 38665 ) RECT ( -355 -70 0 70 )
+- net600 ( ANTENNA_262 DIODE ) ( ANTENNA_261 DIODE ) ( output600 A ) ( _188_ LO ) 
+  + ROUTED met1 ( 65040 210345 ) ( 65520 210345 )
+    NEW met2 ( 65520 210345 ) ( 65520 211085 )
+    NEW met2 ( 65520 40885 ) ( 65520 210345 )
+    NEW met1 ( 20400 37925 ) ( 37680 37925 )
+    NEW met2 ( 37680 35705 ) ( 37680 37925 )
+    NEW met1 ( 37680 35705 ) ( 39600 35705 )
+    NEW met1 ( 39600 35335 ) ( 39600 35705 )
+    NEW met1 ( 39600 35335 ) ( 44400 35335 )
+    NEW met1 ( 44400 35335 ) ( 44400 36075 )
+    NEW met1 ( 44400 36075 ) ( 57840 36075 )
+    NEW met2 ( 57840 36075 ) ( 57840 40885 )
+    NEW met1 ( 18960 38295 ) ( 20400 38295 )
+    NEW met1 ( 20400 37925 ) ( 20400 38295 )
+    NEW met1 ( 57840 40885 ) ( 65520 40885 )
+    NEW li1 ( 65040 210345 ) L1M1_PR_MR
+    NEW met1 ( 65520 210345 ) M1M2_PR
+    NEW li1 ( 65520 211085 ) L1M1_PR_MR
+    NEW met1 ( 65520 211085 ) M1M2_PR
+    NEW met1 ( 65520 40885 ) M1M2_PR
+    NEW li1 ( 20400 37925 ) L1M1_PR_MR
+    NEW met1 ( 37680 37925 ) M1M2_PR
+    NEW met1 ( 37680 35705 ) M1M2_PR
+    NEW met1 ( 57840 36075 ) M1M2_PR
+    NEW met1 ( 57840 40885 ) M1M2_PR
+    NEW li1 ( 18960 38295 ) L1M1_PR_MR
+    NEW met1 ( 65520 211085 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net601 ( output601 A ) ( _193_ LO ) 
-  + ROUTED met1 ( 88080 51245 ) ( 88560 51245 )
-    NEW met2 ( 88080 29415 ) ( 88080 51245 )
-    NEW met2 ( 29040 29415 ) ( 29040 34595 )
-    NEW met1 ( 26640 34595 ) ( 29040 34595 )
-    NEW met1 ( 29040 29415 ) ( 88080 29415 )
-    NEW met1 ( 88080 29415 ) M1M2_PR
-    NEW met1 ( 88080 51245 ) M1M2_PR
-    NEW li1 ( 88560 51245 ) L1M1_PR_MR
-    NEW met1 ( 29040 29415 ) M1M2_PR
-    NEW met1 ( 29040 34595 ) M1M2_PR
-    NEW li1 ( 26640 34595 ) L1M1_PR_MR
+- net601 ( ANTENNA_264 DIODE ) ( ANTENNA_263 DIODE ) ( output601 A ) ( _189_ LO ) 
+  + ROUTED met1 ( 210480 177045 ) ( 212400 177045 )
+    NEW met1 ( 212400 177785 ) ( 213360 177785 )
+    NEW met1 ( 212400 177045 ) ( 212400 177785 )
+    NEW met2 ( 210480 34965 ) ( 210480 177045 )
+    NEW met1 ( 64800 34965 ) ( 210480 34965 )
+    NEW met1 ( 26640 34965 ) ( 53520 34965 )
+    NEW met1 ( 53520 34965 ) ( 53520 35335 )
+    NEW met1 ( 53520 35335 ) ( 64800 35335 )
+    NEW met1 ( 64800 34965 ) ( 64800 35335 )
+    NEW met1 ( 25200 35335 ) ( 26640 35335 )
+    NEW met1 ( 26640 34965 ) ( 26640 35335 )
+    NEW met1 ( 210480 34965 ) M1M2_PR
+    NEW li1 ( 212400 177045 ) L1M1_PR_MR
+    NEW met1 ( 210480 177045 ) M1M2_PR
+    NEW li1 ( 213360 177785 ) L1M1_PR_MR
+    NEW li1 ( 26640 34965 ) L1M1_PR_MR
+    NEW li1 ( 25200 35335 ) L1M1_PR_MR
 + USE SIGNAL ;
-- net602 ( output602 A ) ( _194_ LO ) 
-  + ROUTED met1 ( 252720 124505 ) ( 253680 124505 )
-    NEW met2 ( 85680 39035 ) ( 85680 41625 )
-    NEW met1 ( 85680 41625 ) ( 237600 41625 )
-    NEW met1 ( 237600 41625 ) ( 237600 42365 )
-    NEW met1 ( 237600 42365 ) ( 253680 42365 )
-    NEW met2 ( 253680 42365 ) ( 253680 124505 )
-    NEW met1 ( 64800 39035 ) ( 85680 39035 )
-    NEW met1 ( 64800 39035 ) ( 64800 39405 )
-    NEW met1 ( 24240 38665 ) ( 24720 38665 )
-    NEW met2 ( 24720 38665 ) ( 24720 38850 )
-    NEW met3 ( 24720 38850 ) ( 42960 38850 )
-    NEW met2 ( 42960 38850 ) ( 42960 39405 )
-    NEW met1 ( 42960 39405 ) ( 64800 39405 )
-    NEW met1 ( 253680 124505 ) M1M2_PR
-    NEW li1 ( 252720 124505 ) L1M1_PR_MR
-    NEW met1 ( 85680 39035 ) M1M2_PR
-    NEW met1 ( 85680 41625 ) M1M2_PR
-    NEW met1 ( 253680 42365 ) M1M2_PR
+- net602 ( output602 A ) ( _190_ LO ) 
+  + ROUTED met2 ( 197520 39035 ) ( 197520 40515 )
+    NEW met1 ( 197520 40515 ) ( 231120 40515 )
+    NEW met2 ( 231120 40515 ) ( 231120 73815 )
+    NEW met1 ( 231120 73815 ) ( 234000 73815 )
+    NEW met1 ( 187200 39035 ) ( 197520 39035 )
+    NEW met1 ( 187200 39035 ) ( 187200 39405 )
+    NEW met1 ( 24240 38665 ) ( 24240 39405 )
+    NEW met1 ( 24240 39405 ) ( 41040 39405 )
+    NEW li1 ( 41040 39405 ) ( 41280 39405 )
+    NEW li1 ( 41280 39035 ) ( 41280 39405 )
+    NEW li1 ( 41280 39035 ) ( 42480 39035 )
+    NEW li1 ( 42480 39035 ) ( 42480 39405 )
+    NEW met1 ( 42480 39405 ) ( 187200 39405 )
+    NEW met1 ( 197520 39035 ) M1M2_PR
+    NEW met1 ( 197520 40515 ) M1M2_PR
+    NEW met1 ( 231120 40515 ) M1M2_PR
+    NEW met1 ( 231120 73815 ) M1M2_PR
+    NEW li1 ( 234000 73815 ) L1M1_PR_MR
     NEW li1 ( 24240 38665 ) L1M1_PR_MR
-    NEW met1 ( 24720 38665 ) M1M2_PR
-    NEW met2 ( 24720 38850 ) via2_FR
-    NEW met2 ( 42960 38850 ) via2_FR
-    NEW met1 ( 42960 39405 ) M1M2_PR
+    NEW li1 ( 41040 39405 ) L1M1_PR_MR
+    NEW li1 ( 42480 39405 ) L1M1_PR_MR
 + USE SIGNAL ;
-- net603 ( ANTENNA_238 DIODE ) ( ANTENNA_237 DIODE ) ( output603 A ) ( _195_ LO ) 
-  + ROUTED met1 ( 170640 203685 ) ( 170640 204425 )
-    NEW met1 ( 169680 203685 ) ( 170640 203685 )
-    NEW met1 ( 68880 41625 ) ( 68880 41995 )
-    NEW met1 ( 68880 41995 ) ( 169680 41995 )
-    NEW met2 ( 169680 41995 ) ( 169680 203685 )
-    NEW met1 ( 22800 41995 ) ( 22800 42365 )
-    NEW met1 ( 22800 42365 ) ( 49680 42365 )
-    NEW met1 ( 49680 41625 ) ( 49680 42365 )
-    NEW met1 ( 21360 41995 ) ( 22800 41995 )
-    NEW met1 ( 49680 41625 ) ( 68880 41625 )
-    NEW li1 ( 169680 203685 ) L1M1_PR_MR
-    NEW met1 ( 169680 203685 ) M1M2_PR
-    NEW li1 ( 170640 204425 ) L1M1_PR_MR
-    NEW met1 ( 169680 41995 ) M1M2_PR
+- net603 ( output603 A ) ( _191_ LO ) 
+  + ROUTED met1 ( 66960 41995 ) ( 66960 42365 )
+    NEW li1 ( 66960 40885 ) ( 66960 41995 )
+    NEW met1 ( 66960 40885 ) ( 89520 40885 )
+    NEW met2 ( 89520 40885 ) ( 89520 164465 )
+    NEW met2 ( 22800 41810 ) ( 22800 41995 )
+    NEW met3 ( 22800 41810 ) ( 50160 41810 )
+    NEW met2 ( 50160 41810 ) ( 50160 42365 )
+    NEW met1 ( 50160 42365 ) ( 66960 42365 )
+    NEW li1 ( 89520 164465 ) L1M1_PR_MR
+    NEW met1 ( 89520 164465 ) M1M2_PR
+    NEW li1 ( 66960 41995 ) L1M1_PR_MR
+    NEW li1 ( 66960 40885 ) L1M1_PR_MR
+    NEW met1 ( 89520 40885 ) M1M2_PR
     NEW li1 ( 22800 41995 ) L1M1_PR_MR
-    NEW li1 ( 21360 41995 ) L1M1_PR_MR
-    NEW met1 ( 169680 203685 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 22800 41995 ) M1M2_PR
+    NEW met2 ( 22800 41810 ) via2_FR
+    NEW met2 ( 50160 41810 ) via2_FR
+    NEW met1 ( 50160 42365 ) M1M2_PR
+    NEW met1 ( 89520 164465 ) RECT ( -355 -70 0 70 )
+    NEW met1 ( 22800 41995 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
-- net604 ( ANTENNA_240 DIODE ) ( ANTENNA_239 DIODE ) ( output604 A ) ( _196_ LO ) 
-  + ROUTED met1 ( 198480 41995 ) ( 198480 42365 )
-    NEW met1 ( 197520 42365 ) ( 198480 42365 )
-    NEW met2 ( 28080 38665 ) ( 28080 42735 )
-    NEW met1 ( 28080 42735 ) ( 50160 42735 )
-    NEW met1 ( 50160 42365 ) ( 50160 42735 )
-    NEW met1 ( 26640 38665 ) ( 28080 38665 )
-    NEW met1 ( 50160 42365 ) ( 197520 42365 )
-    NEW li1 ( 197520 42365 ) L1M1_PR_MR
-    NEW li1 ( 198480 41995 ) L1M1_PR_MR
+- net604 ( output604 A ) ( _192_ LO ) 
+  + ROUTED met2 ( 161040 40885 ) ( 161040 107115 )
+    NEW li1 ( 90000 40885 ) ( 90000 42735 )
+    NEW met1 ( 90000 40885 ) ( 161040 40885 )
+    NEW met2 ( 28080 38665 ) ( 28080 42365 )
+    NEW met1 ( 28080 42365 ) ( 39600 42365 )
+    NEW met1 ( 39600 41995 ) ( 39600 42365 )
+    NEW met1 ( 39600 41995 ) ( 49680 41995 )
+    NEW met2 ( 49680 41995 ) ( 49680 42735 )
+    NEW met1 ( 49680 42735 ) ( 90000 42735 )
+    NEW li1 ( 161040 107115 ) L1M1_PR_MR
+    NEW met1 ( 161040 107115 ) M1M2_PR
+    NEW met1 ( 161040 40885 ) M1M2_PR
+    NEW li1 ( 90000 42735 ) L1M1_PR_MR
+    NEW li1 ( 90000 40885 ) L1M1_PR_MR
     NEW li1 ( 28080 38665 ) L1M1_PR_MR
     NEW met1 ( 28080 38665 ) M1M2_PR
-    NEW met1 ( 28080 42735 ) M1M2_PR
-    NEW li1 ( 26640 38665 ) L1M1_PR_MR
+    NEW met1 ( 28080 42365 ) M1M2_PR
+    NEW met1 ( 49680 41995 ) M1M2_PR
+    NEW met1 ( 49680 42735 ) M1M2_PR
+    NEW met1 ( 161040 107115 ) RECT ( -355 -70 0 70 )
     NEW met1 ( 28080 38665 ) RECT ( -355 -70 0 70 )
 + USE SIGNAL ;
 END NETS
diff --git a/lef/user_proj_example.lef b/lef/user_proj_example.lef
index 16509b3..94df21f 100644
--- a/lef/user_proj_example.lef
+++ b/lef/user_proj_example.lef
@@ -4969,9 +4969,9 @@
   END vssa2
   OBS
       LAYER li1 ;
-        RECT 5.760 13.235 294.240 286.465 ;
+        RECT 5.760 10.460 294.240 286.465 ;
       LAYER met1 ;
-        RECT 0.080 5.975 299.440 286.625 ;
+        RECT 0.080 7.085 299.440 286.625 ;
       LAYER met2 ;
         RECT 0.110 295.720 0.780 296.000 ;
         RECT 1.620 295.720 3.180 296.000 ;
@@ -5224,7 +5224,7 @@
         RECT 295.380 4.000 295.500 4.280 ;
         RECT 297.780 4.000 297.900 4.280 ;
       LAYER met3 ;
-        RECT 21.280 12.045 253.280 286.545 ;
+        RECT 21.280 13.155 253.280 286.545 ;
   END
 END user_proj_example
 END LIBRARY
diff --git a/maglef/user_proj_example.mag b/maglef/user_proj_example.mag
index 0036e2c..b8a9df6 100644
--- a/maglef/user_proj_example.mag
+++ b/maglef/user_proj_example.mag
@@ -1,11 +1,11 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1624196802
+timestamp 1624918199
 << obsli1 >>
-rect 1152 2647 58848 57293
+rect 1152 2092 58848 57293
 << obsm1 >>
-rect 16 1195 59888 57325
+rect 16 1417 59888 57325
 << metal2 >>
 rect 212 59200 268 60000
 rect 692 59200 748 60000
@@ -863,7 +863,7 @@
 rect 59076 800 59100 856
 rect 59556 800 59580 856
 << obsm3 >>
-rect 4256 2409 50656 57309
+rect 4256 2631 50656 57309
 << metal4 >>
 rect 4256 2616 4576 57324
 rect 4916 2664 5236 57276
@@ -2127,7 +2127,7 @@
 string FIXED_BBOX 0 0 60000 60000
 string LEFview TRUE
 string GDS_FILE /project/openlane/user_proj_example/runs/user_proj_example/results/magic/user_proj_example.gds
-string GDS_END 2051940
-string GDS_START 138614
+string GDS_END 2177346
+string GDS_START 251226
 << end >>
 
diff --git a/signoff/user_proj_example/final_summary_report.csv b/signoff/user_proj_example/final_summary_report.csv
index cad2198..981a25a 100644
--- a/signoff/user_proj_example/final_summary_report.csv
+++ b/signoff/user_proj_example/final_summary_report.csv
@@ -1,2 +1,2 @@
 ,design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,suggested_clock_frequency,suggested_clock_period,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GLB_RT_ADJUSTMENT,STD_CELL_LIBRARY,CELL_PAD,DIODE_INSERTION_STRATEGY
-0,/project/openlane/user_proj_example,user_proj_example,user_proj_example,Flow_completed,0h14m40s,0h10m42s,5266.666666666666,0.09,2633.333333333333,7,434.46,237,0,0,0,0,0,0,129,8,0,-1,-1,75993,3020,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,-1,0.0,13.58,22.39,0.5,0.0,0.0,16,604,16,604,0,0,0,237,0,0,0,0,0,0,0,0,-1,-1,-1,164,882,241,1287,100.0,10.0,10,AREA 0,5,50,1,153.6,153.18,0.5,0,sky130_fd_sc_ls,4,3
+0,/project/openlane/user_proj_example,user_proj_example,user_proj_example,Flow_completed,0h10m11s,0h6m16s,5266.666666666666,0.09,2633.333333333333,7,424.57,237,0,0,0,0,0,0,0,8,0,-1,-1,79204,3046,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,0.0,-1,0.0,13.73,23.69,0.71,0.33,0.0,16,604,16,604,0,0,0,237,0,0,0,0,0,0,0,0,-1,-1,-1,164,882,265,1311,100.0,10.0,10,AREA 0,5,50,1,153.6,153.18,0.5,0,sky130_fd_sc_ls,4,3
diff --git a/spi/lvs/user_proj_example.spice b/spi/lvs/user_proj_example.spice
index ae0ce17..aae4f8c 100644
--- a/spi/lvs/user_proj_example.spice
+++ b/spi/lvs/user_proj_example.spice
@@ -4,20 +4,20 @@
 .subckt sky130_fd_sc_ls__decap_8 VGND VNB VPB VPWR
 .ends
 
-* Black-box entry subcircuit for sky130_fd_sc_ls__fill_1 abstract view
-.subckt sky130_fd_sc_ls__fill_1 VGND VNB VPB VPWR
-.ends
-
 * Black-box entry subcircuit for sky130_fd_sc_ls__fill_diode_2 abstract view
 .subckt sky130_fd_sc_ls__fill_diode_2 VGND VNB VPB VPWR
 .ends
 
+* Black-box entry subcircuit for sky130_fd_sc_ls__diode_2 abstract view
+.subckt sky130_fd_sc_ls__diode_2 DIODE VGND VNB VPB VPWR
+.ends
+
 * Black-box entry subcircuit for sky130_fd_sc_ls__decap_4 abstract view
 .subckt sky130_fd_sc_ls__decap_4 VGND VNB VPB VPWR
 .ends
 
-* Black-box entry subcircuit for sky130_fd_sc_ls__diode_2 abstract view
-.subckt sky130_fd_sc_ls__diode_2 DIODE VGND VNB VPB VPWR
+* Black-box entry subcircuit for sky130_fd_sc_ls__fill_1 abstract view
+.subckt sky130_fd_sc_ls__fill_1 VGND VNB VPB VPWR
 .ends
 
 * Black-box entry subcircuit for sky130_fd_sc_ls__clkbuf_2 abstract view
@@ -37,67 +37,91 @@
 .ends
 
 * Black-box entry subcircuit for NAND2X1 abstract view
-.subckt NAND2X1 vdd gnd Y B A
+.subckt NAND2X1 VPWR VGND Y B A
+.ends
+
+* Black-box entry subcircuit for sky130_fd_sc_ls__buf_1 abstract view
+.subckt sky130_fd_sc_ls__buf_1 A VGND VNB VPB VPWR X
+.ends
+
+* Black-box entry subcircuit for XNOR2X1 abstract view
+.subckt XNOR2X1 VPWR VGND Y B A
 .ends
 
 * Black-box entry subcircuit for AOI21X1 abstract view
-.subckt AOI21X1 vdd gnd Y C B A
+.subckt AOI21X1 VPWR VGND Y A C B
 .ends
 
 * Black-box entry subcircuit for XOR2X1 abstract view
-.subckt XOR2X1 vdd gnd Y A B
+.subckt XOR2X1 VPWR VGND Y A B
 .ends
 
 * Black-box entry subcircuit for INVX1 abstract view
-.subckt INVX1 vdd gnd Y A
+.subckt INVX1 VPWR VGND Y A
 .ends
 
 * Black-box entry subcircuit for INVX2 abstract view
-.subckt INVX2 vdd gnd Y A
+.subckt INVX2 VPWR VGND Y A
 .ends
 
 * Black-box entry subcircuit for INVX4 abstract view
-.subckt INVX4 vdd gnd Y A
+.subckt INVX4 VPWR VGND Y A
 .ends
 
 * Black-box entry subcircuit for OR2X1 abstract view
-.subckt OR2X1 vdd gnd Y B A
+.subckt OR2X1 VPWR VGND Y A B
 .ends
 
 * Black-box entry subcircuit for OR2X2 abstract view
-.subckt OR2X2 vdd gnd Y A B
+.subckt OR2X2 VPWR VGND Y A B
 .ends
 
 * Black-box entry subcircuit for sky130_fd_sc_ls__buf_2 abstract view
 .subckt sky130_fd_sc_ls__buf_2 A VGND VNB VPB VPWR X
 .ends
 
+* Black-box entry subcircuit for INVX8 abstract view
+.subckt INVX8 VPWR VGND Y A
+.ends
+
 * Black-box entry subcircuit for BUFX2 abstract view
-.subckt BUFX2 vdd gnd Y A
-.ends
-
-* Black-box entry subcircuit for NAND3X1 abstract view
-.subckt NAND3X1 vdd gnd Y A C B
-.ends
-
-* Black-box entry subcircuit for INV abstract view
-.subckt INV vdd gnd Y A
+.subckt BUFX2 VPWR VGND Y A
 .ends
 
 * Black-box entry subcircuit for sky130_fd_sc_ls__clkbuf_4 abstract view
 .subckt sky130_fd_sc_ls__clkbuf_4 A VGND VNB VPB VPWR X
 .ends
 
+* Black-box entry subcircuit for NAND3X1 abstract view
+.subckt NAND3X1 VPWR VGND Y C B A
+.ends
+
+* Black-box entry subcircuit for INV abstract view
+.subckt INV VPWR VGND Y A
+.ends
+
+* Black-box entry subcircuit for BUFX4 abstract view
+.subckt BUFX4 VPWR VGND Y A
+.ends
+
+* Black-box entry subcircuit for AOI22X1 abstract view
+.subckt AOI22X1 VPWR VGND Y D B C A
+.ends
+
+* Black-box entry subcircuit for CLKBUF1 abstract view
+.subckt CLKBUF1 VPWR VGND Y A
+.ends
+
 * Black-box entry subcircuit for MUX2X1 abstract view
-.subckt MUX2X1 vdd gnd Y A B S
+.subckt MUX2X1 VPWR VGND Y S A B
 .ends
 
 * Black-box entry subcircuit for AND2X1 abstract view
-.subckt AND2X1 vdd gnd Y A B
+.subckt AND2X1 VPWR VGND Y B A
 .ends
 
 * Black-box entry subcircuit for AND2X2 abstract view
-.subckt AND2X2 vdd gnd Y A B
+.subckt AND2X2 VPWR VGND Y A B
 .ends
 
 .subckt user_proj_example io_in[0] io_in[10] io_in[11] io_in[12] io_in[13] io_in[14]
@@ -196,8 +220,7 @@
 + vccd2 vssd2 vdda1 vssa1 vdda2 vssa2 vssa2_uq0 vssa1_uq0 vssd2_uq0 vdda2_uq0 vdda1_uq0
 + vccd2_uq0
 XFILLER_67_520 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_67_575 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_54_247 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_67_564 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_22_100 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_52_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_22_155 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -205,91 +228,92 @@
 XFILLER_77_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_77_339 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_58_564 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_18_406 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_73_512 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_45_214 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_73_567 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XANTENNA_213 _197_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_202 _065_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_224 _209_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_224 _143_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_202 _124_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_213 _135_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 XFILLER_45_269 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XANTENNA_235 _191_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_257 _212_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_235 _152_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_246 _201_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_26_52 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_13_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_13_166 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_41_464 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_9_159 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_42_84 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_42_84 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_5_365 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_68_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_3_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_49_520 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_64_501 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_49_575 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_49_575 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_76_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_64_556 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_51_206 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_32_453 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-Xoutput401 _220_/LO vssd1 vssd1 vccd1 vccd1 io_oeb[5] sky130_fd_sc_ls__clkbuf_2
-XFILLER_8_192 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_32_431 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xoutput401 _216_/LO vssd1 vssd1 vccd1 vccd1 io_oeb[5] sky130_fd_sc_ls__clkbuf_2
 Xoutput434 _057_/LO vssd1 vssd1 vccd1 vccd1 io_out[35] sky130_fd_sc_ls__clkbuf_2
-Xoutput423 _050_/LO vssd1 vssd1 vccd1 vccd1 io_out[25] sky130_fd_sc_ls__clkbuf_2
-Xoutput412 INVX1/Y vssd1 vssd1 vccd1 vccd1 io_out[15] sky130_fd_sc_ls__clkbuf_2
-Xoutput456 _168_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[110] sky130_fd_sc_ls__clkbuf_2
-Xoutput478 _073_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[15] sky130_fd_sc_ls__clkbuf_2
-Xoutput445 _158_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[100] sky130_fd_sc_ls__clkbuf_2
-Xoutput467 _178_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[120] sky130_fd_sc_ls__clkbuf_2
+Xoutput423 _051_/LO vssd1 vssd1 vccd1 vccd1 io_out[25] sky130_fd_sc_ls__clkbuf_2
+Xoutput412 _046_/LO vssd1 vssd1 vccd1 vccd1 io_out[15] sky130_fd_sc_ls__clkbuf_2
+Xoutput456 _164_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[110] sky130_fd_sc_ls__clkbuf_2
+Xoutput478 XOR2X1/Y vssd1 vssd1 vccd1 vccd1 la_data_out[15] sky130_fd_sc_ls__clkbuf_2
+Xoutput445 _154_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[100] sky130_fd_sc_ls__clkbuf_2
+Xoutput467 _174_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[120] sky130_fd_sc_ls__clkbuf_2
 XFILLER_59_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-Xoutput489 _083_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[25] sky130_fd_sc_ls__clkbuf_2
+Xoutput489 _079_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[25] sky130_fd_sc_ls__clkbuf_2
 XFILLER_59_339 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_67_394 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_67_394 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_67_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_55_512 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_27_214 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_55_567 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_63_28 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_27_269 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_927 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_70_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_916 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_905 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_949 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_938 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_23_486 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_10_136 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_10_147 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_2_357 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_46_501 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_58_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_18_225 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_58_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_18_214 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_73_331 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_18_247 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_46_556 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_73_386 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_61_504 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_33_206 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_33_217 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_61_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_61_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_53_50 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_53_72 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_14_453 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_41_261 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_5_151 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_38_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_68_136 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_68_147 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_37_512 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_49_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_76_191 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_64_320 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_37_567 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_37_567 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_49_394 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_64_375 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_52_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_20_434 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_74_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_28_501 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_74_27 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_55_331 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_28_556 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_70_312 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_70_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_55_386 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_15_206 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_15_217 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_43_504 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_43_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_43_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_702 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_70_367 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -300,16 +324,14 @@
 XPHY_768 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_757 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_746 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+X_200_ vssd1 vssd1 vccd1 vccd1 _200_/HI _200_/LO sky130_fd_sc_ls__conb_1
 XFILLER_23_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_23_261 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-X_200_ vssd1 vssd1 vccd1 vccd1 _200_/HI _200_/LO sky130_fd_sc_ls__conb_1
 XPHY_779 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-X_131_ vssd1 vssd1 vccd1 vccd1 _131_/HI _131_/LO sky130_fd_sc_ls__conb_1
 XFILLER_11_434 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_23_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_7_449 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+X_131_ vssd1 vssd1 vccd1 vccd1 _131_/HI _131_/LO sky130_fd_sc_ls__conb_1
 XFILLER_7_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_11_489 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_11_467 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 X_062_ vssd1 vssd1 vccd1 vccd1 _062_/HI _062_/LO sky130_fd_sc_ls__conb_1
 XFILLER_2_198 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_48_61 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -319,11 +341,12 @@
 XFILLER_46_320 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_0_57 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_19_567 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_34_515 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_46_375 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_34_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_61_378 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_9_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_80_92 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_6_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_9_99 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_6_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_69_434 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_69_489 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_56_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_37_331 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -334,21 +357,18 @@
 XFILLER_25_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_37_386 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_52_312 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_52_356 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_52_367 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_33_581 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_20_242 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_60_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_20_297 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_79_209 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_69_49 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_75_426 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_18_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_18_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_28_320 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_28_320 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_28_375 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_16_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_510 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_70_186 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_521 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_532 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_543 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
@@ -356,39 +376,33 @@
 XPHY_565 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_576 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_587 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_7_202 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_11_253 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_598 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 X_114_ vssd1 vssd1 vccd1 vccd1 _114_/HI _114_/LO sky130_fd_sc_ls__conb_1
 X_045_ vssd1 vssd1 vccd1 vccd1 _045_/HI _045_/LO sky130_fd_sc_ls__conb_1
-XFILLER_50_84 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_50_84 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_3_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_78_220 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_3_496 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_78_242 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_78_264 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_78_297 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_19_331 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_38_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_81_429 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_81_407 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_81_407 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_19_386 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_34_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_34_312 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_46_172 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_46_194 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_34_356 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_34_367 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_61_164 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_15_592 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_30_540 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_30_540 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_30_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_69_253 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_57_426 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_65_481 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_25_323 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_71_28 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_72_407 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_80_451 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_71_28 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_40_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_40_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_40_337 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_40_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_21_551 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_21_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_4_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
@@ -403,16 +417,17 @@
 XFILLER_75_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 Xinput367 wbs_we_i vssd1 vssd1 vccd1 vccd1 input367/X sky130_fd_sc_ls__clkbuf_1
 Xinput356 wbs_dat_i[4] vssd1 vssd1 vccd1 vccd1 input356/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_29_85 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_63_418 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_16_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_16_312 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_16_356 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_16_367 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_28_194 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_43_142 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_71_473 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_43_164 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_12_540 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_340 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_351 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_8_522 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_8_500 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_362 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_373 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
@@ -422,38 +437,33 @@
 XFILLER_12_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_8_566 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_6_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XANTENNA_5 _000_/HI vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XFILLER_6_45 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XANTENNA_5 _024_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_6_45 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 X_028_ vssd1 vssd1 vccd1 vccd1 _028_/HI _028_/LO sky130_fd_sc_ls__conb_1
 XFILLER_79_551 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_79_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_79_584 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_20_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_39_426 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_66_234 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_54_407 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_81_215 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_66_289 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_47_481 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_62_473 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_62_462 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_22_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_22_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_66_39 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_57_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_17_109 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_45_418 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_72_226 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_25_142 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_80_270 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_53_473 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_25_164 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_15_54 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_25_186 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_15_98 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_13_315 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_15_43 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_25_186 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_9_308 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_31_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_5_536 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_31_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_0_241 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_31_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_0_241 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 Xinput120 la_data_in[58] vssd1 vssd1 vccd1 vccd1 input120/X sky130_fd_sc_ls__clkbuf_1
 XFILLER_76_532 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_76_521 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
@@ -465,18 +475,16 @@
 Xinput186 la_oen[117] vssd1 vssd1 vccd1 vccd1 input186/X sky130_fd_sc_ls__clkbuf_1
 Xinput175 la_oen[107] vssd1 vssd1 vccd1 vccd1 input175/X sky130_fd_sc_ls__clkbuf_1
 Xinput164 la_data_in[98] vssd1 vssd1 vccd1 vccd1 input164/X sky130_fd_sc_ls__clkbuf_1
-XFILLER_36_407 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_63_237 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_56_61 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 Xinput197 la_oen[127] vssd1 vssd1 vccd1 vccd1 input197/X sky130_fd_sc_ls__clkbuf_1
-XFILLER_29_481 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_48_289 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_29_492 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_44_462 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_71_292 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_170 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_192 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_181 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_8_341 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_68_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_79_392 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_39_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -484,89 +492,84 @@
 XFILLER_27_418 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_35_473 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_62_281 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_50_454 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_7_8 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_58_532 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_58_521 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_58_576 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_18_407 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_58_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XANTENNA_225 _212_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_203 _139_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_214 _197_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_45_215 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XANTENNA_225 _144_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_203 _125_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_214 _135_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 XFILLER_26_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_45_237 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_60_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XANTENNA_236 _191_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XFILLER_26_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_236 _193_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_247 _203_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_258 _212_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_26_462 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_53_292 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_41_410 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_41_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_9_127 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_5_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_5_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_3_35 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_3_24 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_1_583 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_64_524 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_36_226 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_64_557 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_64_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_17_473 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_51_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_44_270 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_51_229 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_32_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-Xoutput402 _021_/HI vssd1 vssd1 vccd1 vccd1 io_oeb[6] sky130_fd_sc_ls__clkbuf_2
-XFILLER_8_182 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-Xoutput424 NAND2X1/Y vssd1 vssd1 vccd1 vccd1 io_out[26] sky130_fd_sc_ls__clkbuf_2
-Xoutput413 _044_/LO vssd1 vssd1 vccd1 vccd1 io_out[16] sky130_fd_sc_ls__clkbuf_2
-Xoutput435 OR2X2/Y vssd1 vssd1 vccd1 vccd1 io_out[36] sky130_fd_sc_ls__clkbuf_2
-Xoutput446 _159_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[101] sky130_fd_sc_ls__clkbuf_2
-Xoutput457 _169_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[111] sky130_fd_sc_ls__clkbuf_2
-Xoutput468 _179_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[121] sky130_fd_sc_ls__clkbuf_2
-Xoutput479 _074_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[16] sky130_fd_sc_ls__clkbuf_2
-XFILLER_27_237 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_70_516 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_44_281 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_51_229 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xoutput402 _019_/HI vssd1 vssd1 vccd1 vccd1 io_oeb[6] sky130_fd_sc_ls__clkbuf_2
+Xoutput424 INVX2/Y vssd1 vssd1 vccd1 vccd1 io_out[26] sky130_fd_sc_ls__clkbuf_2
+Xoutput413 BUFX2/Y vssd1 vssd1 vccd1 vccd1 io_out[16] sky130_fd_sc_ls__clkbuf_2
+Xoutput435 _058_/LO vssd1 vssd1 vccd1 vccd1 io_out[36] sky130_fd_sc_ls__clkbuf_2
+Xoutput446 _155_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[101] sky130_fd_sc_ls__clkbuf_2
+Xoutput457 _165_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[111] sky130_fd_sc_ls__clkbuf_2
+Xoutput468 _175_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[121] sky130_fd_sc_ls__clkbuf_2
+Xoutput479 _070_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[16] sky130_fd_sc_ls__clkbuf_2
+XFILLER_27_237 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_27_259 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_42_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_917 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_906 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_23_410 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_35_292 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_42_229 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_939 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_928 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_50_240 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_23_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_50_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_6_119 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_12_22 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_12_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_2_314 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_77_104 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_77_159 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_46_524 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_37_52 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_33_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_37_96 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_46_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_61_549 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XNAND2X1 vccd1 vssd1 NAND2X1/Y input18/X input17/X NAND2X1
 XFILLER_26_281 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XNAND2X1 vccd1 vssd1 NAND2X1/Y input30/X input29/X NAND2X1
 XFILLER_33_229 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_14_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_14_454 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_41_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_41_284 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_5_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_5_174 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_5_174 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_78_92 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_78_70 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_49_384 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_24_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_17_292 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_32_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_20_446 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_9_480 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_60_571 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_32_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_58_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_59_104 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_28_524 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_67_181 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_28_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_15_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_15_229 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_43_549 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XPHY_736 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_703 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_714 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
@@ -576,10 +579,12 @@
 XPHY_747 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_11_402 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_23_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-X_130_ vssd1 vssd1 vccd1 vccd1 _130_/HI _130_/LO sky130_fd_sc_ls__conb_1
 XFILLER_11_457 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_130_ vssd1 vssd1 vccd1 vccd1 _130_/HI _130_/LO sky130_fd_sc_ls__conb_1
 XFILLER_23_54 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_23_284 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_11_479 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_23_65 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 X_061_ vssd1 vssd1 vccd1 vccd1 _061_/HI _061_/LO sky130_fd_sc_ls__conb_1
 XFILLER_2_100 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_2_133 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
@@ -589,28 +594,28 @@
 XFILLER_73_151 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_64_61 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_61_324 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_46_398 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_9_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_9_45 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_9_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_61_379 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_14_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_80_60 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_9_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_50_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_69_402 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_69_424 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_69_457 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_56_129 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_20_210 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_20_265 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_69_17 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_69_28 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_75_449 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_75_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_28_332 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_55_151 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_18_65 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_43_324 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_70_154 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_70_132 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_500 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_34_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_70_187 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_31_519 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_511 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_522 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_34_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -623,26 +628,30 @@
 XPHY_577 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_588 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_599 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_7_247 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_7_225 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_7_247 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_11_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 X_113_ vssd1 vssd1 vccd1 vccd1 _113_/HI _113_/LO sky130_fd_sc_ls__conb_1
 X_044_ vssd1 vssd1 vccd1 vccd1 _044_/HI _044_/LO sky130_fd_sc_ls__conb_1
-XFILLER_78_210 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_59_94 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_59_72 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_38_107 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_78_265 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_66_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_81_419 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_75_82 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_75_60 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_74_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_61_132 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_61_143 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_15_571 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_61_198 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_15_593 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_69_243 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_69_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_69_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_57_449 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_57_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_37_151 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_65_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_37_195 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_65_471 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_25_324 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_52_132 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_80_485 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_25_379 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
@@ -656,12 +665,12 @@
 Xinput335 wbs_dat_i[14] vssd1 vssd1 vccd1 vccd1 input335/X sky130_fd_sc_ls__clkbuf_1
 Xinput346 wbs_dat_i[24] vssd1 vssd1 vccd1 vccd1 input346/X sky130_fd_sc_ls__clkbuf_1
 Xinput357 wbs_dat_i[5] vssd1 vssd1 vccd1 vccd1 input357/X sky130_fd_sc_ls__clkbuf_1
-XFILLER_29_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_29_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_48_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_29_97 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_56_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_324 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_71_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_43_143 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_357 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_45_52 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_31_316 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_45_96 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -673,42 +682,46 @@
 XPHY_363 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_374 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_385 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_8_545 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_8_545 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_12_574 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_396 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XANTENNA_6 _025_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 X_027_ vssd1 vssd1 vccd1 vccd1 _027_/HI _027_/LO sky130_fd_sc_ls__conb_1
 XFILLER_3_261 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_79_541 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_66_202 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_13_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_13_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_39_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_39_449 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_66_257 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_66_246 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_19_151 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_81_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_62_430 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_34_132 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_47_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_62_485 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_34_187 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_30_393 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_66_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_45_419 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_66_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_57_213 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_72_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_53_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_38_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_13_316 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_25_143 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_53_496 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_15_44 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_13_327 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_13_338 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_40_124 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_40_179 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_40_179 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_5_504 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_31_54 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_5_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_0_220 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 Xinput110 la_data_in[49] vssd1 vssd1 vccd1 vccd1 input110/X sky130_fd_sc_ls__clkbuf_1
 XFILLER_0_253 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 Xinput143 la_data_in[79] vssd1 vssd1 vccd1 vccd1 input143/X sky130_fd_sc_ls__clkbuf_1
 Xinput121 la_data_in[59] vssd1 vssd1 vccd1 vccd1 input121/X sky130_fd_sc_ls__clkbuf_1
-XFILLER_0_297 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_0_297 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 Xinput132 la_data_in[69] vssd1 vssd1 vccd1 vccd1 input132/X sky130_fd_sc_ls__clkbuf_1
 Xinput154 la_data_in[89] vssd1 vssd1 vccd1 vccd1 input154/X sky130_fd_sc_ls__clkbuf_1
 XFILLER_48_202 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -719,8 +732,7 @@
 XFILLER_48_257 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_56_84 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 Xinput198 la_oen[12] vssd1 vssd1 vccd1 vccd1 input198/X sky130_fd_sc_ls__clkbuf_1
-XFILLER_16_132 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_29_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_16_110 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_44_430 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_72_61 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_16_187 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
@@ -732,79 +744,87 @@
 XPHY_193 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_182 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_8_375 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_79_371 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_39_213 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_67_544 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_67_588 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_54_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_35_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_22_124 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_35_496 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_50_411 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_50_422 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_50_455 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_50_466 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_22_179 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_50_477 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_30_190 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_77_28 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_77_308 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_73_536 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XANTENNA_215 _198_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_204 _141_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_215 _138_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_204 _125_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 XFILLER_26_430 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XANTENNA_237 _195_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_226 _212_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_259 _187_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_226 _144_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_248 _203_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_237 _193_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 XFILLER_26_485 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_13_135 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_42_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_42_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_5_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_41_488 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_42_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_5_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_5_378 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_1_551 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_1_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_76_352 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_67_72 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_49_544 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_36_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_17_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_17_496 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_32_411 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_32_422 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_44_282 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_32_455 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_32_477 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_12_190 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_8_194 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-Xoutput425 _051_/LO vssd1 vssd1 vccd1 vccd1 io_out[27] sky130_fd_sc_ls__clkbuf_2
-Xoutput414 INVX2/Y vssd1 vssd1 vccd1 vccd1 io_out[17] sky130_fd_sc_ls__clkbuf_2
-Xoutput403 _022_/HI vssd1 vssd1 vccd1 vccd1 io_oeb[7] sky130_fd_sc_ls__clkbuf_2
-Xoutput436 _058_/LO vssd1 vssd1 vccd1 vccd1 io_out[37] sky130_fd_sc_ls__clkbuf_2
-Xoutput447 _160_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[102] sky130_fd_sc_ls__clkbuf_2
-Xoutput469 _180_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[122] sky130_fd_sc_ls__clkbuf_2
-Xoutput458 _170_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[112] sky130_fd_sc_ls__clkbuf_2
+XFILLER_8_183 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xoutput425 _052_/LO vssd1 vssd1 vccd1 vccd1 io_out[27] sky130_fd_sc_ls__clkbuf_2
+Xoutput414 _047_/LO vssd1 vssd1 vccd1 vccd1 io_out[17] sky130_fd_sc_ls__clkbuf_2
+Xoutput403 _020_/HI vssd1 vssd1 vccd1 vccd1 io_oeb[7] sky130_fd_sc_ls__clkbuf_2
+Xoutput436 NAND2X1/Y vssd1 vssd1 vccd1 vccd1 io_out[37] sky130_fd_sc_ls__clkbuf_2
+Xoutput447 _156_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[102] sky130_fd_sc_ls__clkbuf_2
+Xoutput469 _176_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[122] sky130_fd_sc_ls__clkbuf_2
+Xoutput458 _166_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[112] sky130_fd_sc_ls__clkbuf_2
 XFILLER_59_308 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_79_190 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_67_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_55_536 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_70_517 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_918 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_907 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_63_591 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_929 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_10_116 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_12_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_23_477 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_12_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_6_109 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_12_45 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_2_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_2_326 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_2_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_77_127 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_73_300 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_58_352 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_37_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_18_238 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_18_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_37_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_37_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_73_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_61_539 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_37_97 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_61_528 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_14_411 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_14_422 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_53_52 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_53_96 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_33_219 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_14_466 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_14_477 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_53_96 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_78_82 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_68_116 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_49_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_76_171 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -813,18 +833,19 @@
 XFILLER_52_517 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_64_399 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_45_591 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_60_572 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_20_414 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_20_436 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_20_458 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_20_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_9_492 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_9_481 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_59_127 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_74_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_55_300 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_74_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_67_182 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_55_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_70_336 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_15_219 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_43_528 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_43_539 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_704 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_715 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_726 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
@@ -833,8 +854,8 @@
 XPHY_737 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_51_583 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_23_44 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_7_418 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_23_88 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_7_418 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_23_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 X_060_ vssd1 vssd1 vccd1 vccd1 _060_/HI _060_/LO sky130_fd_sc_ls__conb_1
 XFILLER_78_414 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_78_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -843,20 +864,20 @@
 XFILLER_58_171 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_19_536 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_0_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_34_517 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_46_344 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_46_366 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_73_174 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_73_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_46_399 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_64_84 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_61_347 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_27_591 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_14_241 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_42_561 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_42_572 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_6_462 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_10_491 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 X_189_ vssd1 vssd1 vccd1 vccd1 _189_/HI _189_/LO sky130_fd_sc_ls__conb_1
 XFILLER_43_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_56_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_37_300 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_49_182 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_37_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_64_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -864,9 +885,10 @@
 XFILLER_40_509 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_52_336 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_60_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_33_583 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_47_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_47_119 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_28_344 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_18_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_70_100 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_55_174 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_55_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
@@ -874,7 +896,6 @@
 XFILLER_28_399 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_501 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_43_347 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_70_155 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_24_572 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_512 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_523 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
@@ -884,39 +905,37 @@
 XPHY_567 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_578 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_589 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_7_215 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 X_112_ vssd1 vssd1 vccd1 vccd1 _112_/HI _112_/LO sky130_fd_sc_ls__conb_1
 XFILLER_50_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 X_043_ vssd1 vssd1 vccd1 vccd1 _043_/HI _043_/LO sky130_fd_sc_ls__conb_1
 XFILLER_50_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_3_410 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_59_51 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_3_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_78_288 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_59_491 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_78_244 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_78_233 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_38_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_19_300 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_75_72 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_75_50 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_19_366 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_19_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_46_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_61_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_34_336 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_61_166 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_22_509 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_15_583 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_42_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_30_542 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_30_564 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_6_281 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_69_288 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_29_119 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_1_80 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_37_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_37_174 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_37_174 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_52_100 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_80_420 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_25_347 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_80_453 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_80_453 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_52_155 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_21_520 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_40_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_21_575 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_4_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_20_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -933,7 +952,7 @@
 XFILLER_75_269 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_28_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_45_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_16_336 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_347 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_43_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_45_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_43_166 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -953,9 +972,7 @@
 XFILLER_61_96 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_8_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XANTENNA_7 _025_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XFILLER_6_69 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 X_026_ vssd1 vssd1 vccd1 vccd1 _026_/HI _026_/LO sky130_fd_sc_ls__conb_1
-XFILLER_79_531 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_79_520 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_3_284 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_3_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
@@ -963,39 +980,35 @@
 XFILLER_19_174 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_34_100 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_34_155 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_62_497 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_22_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_30_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_57_214 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_30_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_57_269 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_65_291 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_25_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_15_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_25_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_25_166 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_80_272 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_13_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_15_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_25_199 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_40_136 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_40_147 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_21_361 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_21_372 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_21_394 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_31_44 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_31_88 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_0_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_76_501 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-Xinput111 la_data_in[4] vssd1 vssd1 vccd1 vccd1 input111/X sky130_fd_sc_ls__clkbuf_1
+Xinput111 la_data_in[4] vssd1 vssd1 vccd1 vccd1 OR2X1/A sky130_fd_sc_ls__buf_1
 Xinput100 la_data_in[3] vssd1 vssd1 vccd1 vccd1 input100/X sky130_fd_sc_ls__clkbuf_1
 Xinput133 la_data_in[6] vssd1 vssd1 vccd1 vccd1 input133/X sky130_fd_sc_ls__clkbuf_1
-Xinput122 la_data_in[5] vssd1 vssd1 vccd1 vccd1 input122/X sky130_fd_sc_ls__clkbuf_1
-Xinput144 la_data_in[7] vssd1 vssd1 vccd1 vccd1 input144/X sky130_fd_sc_ls__clkbuf_1
+Xinput122 la_data_in[5] vssd1 vssd1 vccd1 vccd1 OR2X1/B sky130_fd_sc_ls__buf_1
+XFILLER_0_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+Xinput144 la_data_in[7] vssd1 vssd1 vccd1 vccd1 OR2X2/A sky130_fd_sc_ls__buf_1
 XFILLER_76_556 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 Xinput177 la_oen[109] vssd1 vssd1 vccd1 vccd1 input177/X sky130_fd_sc_ls__clkbuf_1
-Xinput155 la_data_in[8] vssd1 vssd1 vccd1 vccd1 input155/X sky130_fd_sc_ls__clkbuf_1
+Xinput155 la_data_in[8] vssd1 vssd1 vccd1 vccd1 OR2X2/B sky130_fd_sc_ls__buf_1
 Xinput166 la_data_in[9] vssd1 vssd1 vccd1 vccd1 input166/X sky130_fd_sc_ls__clkbuf_1
 XFILLER_63_206 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 Xinput188 la_oen[119] vssd1 vssd1 vccd1 vccd1 input188/X sky130_fd_sc_ls__clkbuf_1
 Xinput199 la_oen[13] vssd1 vssd1 vccd1 vccd1 input199/X sky130_fd_sc_ls__clkbuf_1
-XFILLER_16_100 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_29_494 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_16_155 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_71_261 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_161 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
@@ -1004,33 +1017,36 @@
 XPHY_172 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_194 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_183 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_8_354 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_8_343 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_12_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 X_009_ vssd1 vssd1 vccd1 vccd1 _009_/HI _009_/LO sky130_fd_sc_ls__conb_1
+XFILLER_79_361 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_79_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_67_512 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_67_567 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_39_214 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_39_269 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_47_291 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_35_431 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_22_136 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_22_147 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_50_467 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_30_191 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_2_519 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_58_501 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_58_556 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_58_545 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_73_504 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_45_206 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_73_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_73_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XANTENNA_205 _143_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_216 _198_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_227 _214_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_205 _126_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_216 _138_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_249 _204_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_227 _144_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_26_44 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XANTENNA_238 _195_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 XFILLER_53_261 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_26_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_26_99 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_9_107 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_13_158 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_41_434 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_41_489 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_41_456 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_21_191 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_1_541 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_3_48 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
@@ -1038,18 +1054,16 @@
 XFILLER_76_320 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_49_567 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_76_375 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_49_589 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_64_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_32_445 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_64_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_32_423 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_32_467 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_73_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_12_191 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-Xoutput415 _045_/LO vssd1 vssd1 vccd1 vccd1 io_out[18] sky130_fd_sc_ls__clkbuf_2
-Xoutput404 _023_/HI vssd1 vssd1 vccd1 vccd1 io_oeb[8] sky130_fd_sc_ls__clkbuf_2
-Xoutput426 _052_/LO vssd1 vssd1 vccd1 vccd1 io_out[28] sky130_fd_sc_ls__clkbuf_2
-Xoutput437 _036_/LO vssd1 vssd1 vccd1 vccd1 io_out[3] sky130_fd_sc_ls__clkbuf_2
-Xoutput448 _161_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[103] sky130_fd_sc_ls__clkbuf_2
-Xoutput459 _171_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[113] sky130_fd_sc_ls__clkbuf_2
+Xoutput415 BUFX4/Y vssd1 vssd1 vccd1 vccd1 io_out[18] sky130_fd_sc_ls__clkbuf_2
+Xoutput404 _021_/HI vssd1 vssd1 vccd1 vccd1 io_oeb[8] sky130_fd_sc_ls__clkbuf_2
+Xoutput426 INVX4/Y vssd1 vssd1 vccd1 vccd1 io_out[28] sky130_fd_sc_ls__clkbuf_2
+Xoutput437 _037_/LO vssd1 vssd1 vccd1 vccd1 io_out[3] sky130_fd_sc_ls__clkbuf_2
+Xoutput448 _157_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[103] sky130_fd_sc_ls__clkbuf_2
+Xoutput459 _167_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[113] sky130_fd_sc_ls__clkbuf_2
 XFILLER_67_331 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_55_504 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_27_206 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -1059,22 +1073,25 @@
 XPHY_908 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_35_261 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_919 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_23_478 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_23_434 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_50_242 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_23_489 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_10_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_50_297 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_58_320 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_58_375 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_73_323 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_58_397 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_18_217 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_37_54 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_46_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_53_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_53_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_14_467 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_33_209 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_53_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_14_434 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_53_42 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_41_253 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_5_143 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_53_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_5_143 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_5_198 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_78_61 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_1_371 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_68_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_49_331 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -1088,10 +1105,13 @@
 XFILLER_64_356 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_37_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_17_261 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_60_540 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_32_242 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_32_275 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_60_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_32_297 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_9_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_59_106 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_74_19 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_28_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_70_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_70_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -1105,43 +1125,49 @@
 XFILLER_51_551 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_11_426 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_51_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_23_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_23_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_2_124 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_78_426 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_48_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_19_504 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_48_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_58_183 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_0_16 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_19_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_46_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_46_312 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_58_194 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_19_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_46_356 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_42_573 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_46_367 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_42_540 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_9_36 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_14_242 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_9_47 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_14_297 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_42_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_80_84 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_6_430 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_80_73 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 X_188_ vssd1 vssd1 vccd1 vccd1 _188_/HI _188_/LO sky130_fd_sc_ls__conb_1
-XFILLER_6_485 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_6_430 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_69_426 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_36_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_1_190 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_77_481 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_37_323 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_52_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_52_348 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_52_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_33_551 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_33_573 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_33_551 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_33_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_20_234 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_20_289 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_20_289 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_75_418 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_18_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_28_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_28_312 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_18_45 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_18_67 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_28_356 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_28_367 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_70_178 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_24_540 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_502 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_513 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
@@ -1155,31 +1181,27 @@
 XFILLER_11_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 X_111_ vssd1 vssd1 vccd1 vccd1 _111_/HI _111_/LO sky130_fd_sc_ls__conb_1
 XPHY_579 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_7_227 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_7_216 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 X_042_ vssd1 vssd1 vccd1 vccd1 _042_/HI _042_/LO sky130_fd_sc_ls__conb_1
-XFILLER_59_52 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_78_234 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_78_256 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_66_407 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_59_96 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_59_481 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_78_289 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_59_481 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_15_9 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_74_462 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_34_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_46_164 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_34_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_61_156 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_46_186 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_15_551 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_30_521 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_30_532 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_30_576 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_30_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_69_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_69_267 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_57_418 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_29_109 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_65_473 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_25_315 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_80_443 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_80_487 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_40_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 Xinput304 wbs_adr_i[16] vssd1 vssd1 vccd1 vccd1 input304/X sky130_fd_sc_ls__clkbuf_1
 Xinput326 wbs_adr_i[7] vssd1 vssd1 vccd1 vccd1 input326/X sky130_fd_sc_ls__clkbuf_1
 Xinput315 wbs_adr_i[26] vssd1 vssd1 vccd1 vccd1 input315/X sky130_fd_sc_ls__clkbuf_1
@@ -1189,12 +1211,15 @@
 Xinput348 wbs_dat_i[26] vssd1 vssd1 vccd1 vccd1 input348/X sky130_fd_sc_ls__clkbuf_1
 Xinput359 wbs_dat_i[7] vssd1 vssd1 vccd1 vccd1 input359/X sky130_fd_sc_ls__clkbuf_1
 Xinput337 wbs_dat_i[16] vssd1 vssd1 vccd1 vccd1 input337/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_29_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_56_462 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_16_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_71_410 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_71_410 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_43_134 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_45_54 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_71_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_310 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_16_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_12_521 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XPHY_321 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_332 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
@@ -1208,24 +1233,28 @@
 XFILLER_12_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_387 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_398 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_6_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_6_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_6_26 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XANTENNA_8 _027_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 X_025_ vssd1 vssd1 vccd1 vccd1 _025_/HI _025_/LO sky130_fd_sc_ls__conb_1
+XANTENNA_8 _003_/HI vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 XFILLER_66_226 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_39_418 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_81_207 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_47_473 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_74_281 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_62_454 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_30_351 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_57_237 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_72_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_38_462 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_65_292 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_65_270 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_53_410 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_53_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_25_134 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_80_262 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_13_307 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_80_262 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_13_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_15_35 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_21_351 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_21_384 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_31_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_5_528 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_31_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -1233,7 +1262,6 @@
 Xinput101 la_data_in[40] vssd1 vssd1 vccd1 vccd1 input101/X sky130_fd_sc_ls__clkbuf_1
 XFILLER_76_524 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 Xinput145 la_data_in[80] vssd1 vssd1 vccd1 vccd1 input145/X sky130_fd_sc_ls__clkbuf_1
-XFILLER_0_277 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 Xinput112 la_data_in[50] vssd1 vssd1 vccd1 vccd1 input112/X sky130_fd_sc_ls__clkbuf_1
 Xinput123 la_data_in[60] vssd1 vssd1 vccd1 vccd1 input123/X sky130_fd_sc_ls__clkbuf_1
 Xinput134 la_data_in[70] vssd1 vssd1 vccd1 vccd1 input134/X sky130_fd_sc_ls__clkbuf_1
@@ -1246,9 +1274,11 @@
 XFILLER_63_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_56_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 Xinput189 la_oen[11] vssd1 vssd1 vccd1 vccd1 input189/X sky130_fd_sc_ls__clkbuf_1
-XFILLER_29_473 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_29_473 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_63_229 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_56_270 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_56_281 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_29_484 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_134 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_44_454 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_71_284 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_71_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
@@ -1259,38 +1289,40 @@
 XPHY_162 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_173 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_184 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_12_351 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_8_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XPHY_195 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_8_399 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 X_008_ vssd1 vssd1 vccd1 vccd1 _008_/HI _008_/LO sky130_fd_sc_ls__conb_1
 XFILLER_4_594 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_4_583 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_39_237 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_54_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_35_410 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_47_270 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_47_292 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_35_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_62_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_50_446 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_30_192 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_50_446 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_7_80 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_58_524 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_58_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_45_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XANTENNA_206 _147_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_45_207 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_206 _126_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 XFILLER_26_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_38_281 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_45_229 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XANTENNA_239 _196_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_228 _214_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XFILLER_26_45 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_26_454 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XANTENNA_217 _200_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_228 _145_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_217 _138_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_239 _195_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_26_454 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_81_571 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_53_284 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_53_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_13_104 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_41_402 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_41_457 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_9_119 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_13_159 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_42_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_42_99 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_5_347 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_5_325 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_3_16 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
@@ -1300,59 +1332,55 @@
 XFILLER_17_410 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_36_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_29_292 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XXNOR2X1 vccd1 vssd1 XNOR2X1/Y input61/X input50/X XNOR2X1
 XFILLER_17_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_44_262 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_44_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_12_170 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_12_192 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_66_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_8_174 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-Xoutput416 INVX4/Y vssd1 vssd1 vccd1 vccd1 io_out[19] sky130_fd_sc_ls__clkbuf_2
-Xoutput405 _221_/LO vssd1 vssd1 vccd1 vccd1 io_oeb[9] sky130_fd_sc_ls__clkbuf_2
+Xoutput416 _048_/LO vssd1 vssd1 vccd1 vccd1 io_out[19] sky130_fd_sc_ls__clkbuf_2
+Xoutput405 _217_/LO vssd1 vssd1 vccd1 vccd1 io_oeb[9] sky130_fd_sc_ls__clkbuf_2
 Xoutput427 _053_/LO vssd1 vssd1 vccd1 vccd1 io_out[29] sky130_fd_sc_ls__clkbuf_2
-Xoutput438 _037_/LO vssd1 vssd1 vccd1 vccd1 io_out[4] sky130_fd_sc_ls__clkbuf_2
-Xoutput449 _162_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[104] sky130_fd_sc_ls__clkbuf_2
+Xoutput438 _038_/LO vssd1 vssd1 vccd1 vccd1 io_out[4] sky130_fd_sc_ls__clkbuf_2
+Xoutput449 _158_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[104] sky130_fd_sc_ls__clkbuf_2
 XFILLER_4_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_79_181 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_27_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_27_229 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_70_508 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_909 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_23_402 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_35_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_23_435 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_23_457 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_35_284 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_50_232 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_50_210 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_50_265 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_31_490 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_12_69 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_2_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_5_8 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_58_332 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_73_324 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_37_44 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_37_88 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_73_379 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_26_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_54_593 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_53_54 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_14_446 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_14_446 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_41_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_53_54 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_41_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_5_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_5_166 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_78_84 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_78_62 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_1_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_1_394 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_49_376 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_17_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_17_284 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_32_210 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_60_563 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_20_405 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_32_265 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_20_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_9_472 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_9_494 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_4_92 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_67_151 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_67_173 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_55_324 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_55_379 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_63_390 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_23_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_706 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_717 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
@@ -1368,67 +1396,70 @@
 XFILLER_64_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_64_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_61_316 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_34_519 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_73_198 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_14_210 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_14_265 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_42_541 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_80_41 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_9_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_13_90 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 X_187_ vssd1 vssd1 vccd1 vccd1 _187_/HI _187_/LO sky130_fd_sc_ls__conb_1
 XFILLER_69_449 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_69_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_29_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_77_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_49_151 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_37_324 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_64_132 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_37_379 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_64_187 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_20_202 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_20_246 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_20_257 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_28_324 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_68_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_55_143 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_18_79 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_43_316 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_70_124 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_55_198 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_34_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_70_146 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_503 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_514 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_525 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_34_45 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_70_179 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_11_213 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_536 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_547 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_558 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_569 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_51_371 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 X_110_ vssd1 vssd1 vccd1 vccd1 _110_/HI _110_/LO sky130_fd_sc_ls__conb_1
-XFILLER_7_239 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_7_239 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 X_041_ vssd1 vssd1 vccd1 vccd1 _041_/HI _041_/LO sky130_fd_sc_ls__conb_1
 XFILLER_50_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_50_99 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_3_434 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_78_202 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_59_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_78_202 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_59_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_59_86 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_59_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_3_489 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_78_246 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_78_257 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_75_52 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_74_430 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_59_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_19_324 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_46_132 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_75_96 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_75_74 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_74_485 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_15_596 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_65_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_19_379 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_61_135 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_15_585 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_69_235 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_65_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_65_463 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_25_316 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_37_143 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_65_496 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_25_327 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_37_187 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_37_198 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_52_124 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_80_477 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_80_455 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_18_390 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_33_371 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_52_179 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_21_544 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
@@ -1443,14 +1474,11 @@
 XFILLER_29_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_56_430 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_28_132 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_29_89 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_71_433 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_56_485 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_28_187 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_45_44 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_300 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_31_308 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_43_135 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_45_88 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_311 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_322 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
@@ -1461,14 +1489,16 @@
 XPHY_377 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_51_190 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_61_54 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_8_537 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_8_537 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XPHY_388 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_399 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XANTENNA_9 _027_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_9 _003_/HI vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 X_024_ vssd1 vssd1 vccd1 vccd1 _024_/HI _024_/LO sky130_fd_sc_ls__conb_1
 XFILLER_3_253 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_79_533 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_66_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_47_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_81_208 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_19_143 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_62_422 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_62_411 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
@@ -1476,16 +1506,16 @@
 XFILLER_34_124 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_47_496 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_62_466 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_62_477 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_15_371 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_34_179 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_7_581 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_57_205 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_38_430 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_38_485 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_13_308 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_15_36 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_25_135 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_15_25 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_40_116 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_33_190 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_0_223 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 Xinput102 la_data_in[41] vssd1 vssd1 vccd1 vccd1 input102/X sky130_fd_sc_ls__clkbuf_1
 Xinput135 la_data_in[71] vssd1 vssd1 vccd1 vccd1 input135/X sky130_fd_sc_ls__clkbuf_1
 Xinput113 la_data_in[51] vssd1 vssd1 vccd1 vccd1 input113/X sky130_fd_sc_ls__clkbuf_1
@@ -1497,10 +1527,10 @@
 XFILLER_48_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 Xinput179 la_oen[110] vssd1 vssd1 vccd1 vccd1 input179/X sky130_fd_sc_ls__clkbuf_1
 XFILLER_29_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_102 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_29_496 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_44_411 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_44_422 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_16_124 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_44_466 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_72_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_72_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -1514,28 +1544,28 @@
 XFILLER_8_312 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_185 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_174 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_12_352 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_196 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_8_367 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_4_551 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_21_90 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 X_007_ vssd1 vssd1 vccd1 vccd1 _007_/HI _007_/LO sky130_fd_sc_ls__conb_1
-XFILLER_79_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_39_205 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_67_536 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_11_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_75_591 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_22_116 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_50_414 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_15_190 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_50_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_30_182 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_30_171 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_73_528 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XANTENNA_207 _147_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XFILLER_26_411 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XANTENNA_207 _129_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 XFILLER_26_422 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XANTENNA_229 _215_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_218 _200_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_45_219 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XANTENNA_218 _139_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_229 _145_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 XFILLER_81_561 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_26_68 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_26_79 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_26_466 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_26_477 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_13_127 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_9_109 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
@@ -1543,49 +1573,47 @@
 XFILLER_42_45 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_21_182 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_3_28 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_76_300 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_67_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_67_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_49_536 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_76_344 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_64_517 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_76_399 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_57_591 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_29_271 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_44_230 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_29_260 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_72_572 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_32_414 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_44_274 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_72_594 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_32_414 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_32_447 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_90 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_32_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_12_171 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-Xoutput417 _035_/LO vssd1 vssd1 vccd1 vccd1 io_out[1] sky130_fd_sc_ls__clkbuf_2
-Xoutput406 _034_/LO vssd1 vssd1 vccd1 vccd1 io_out[0] sky130_fd_sc_ls__clkbuf_2
+XFILLER_8_175 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xoutput417 _036_/LO vssd1 vssd1 vccd1 vccd1 io_out[1] sky130_fd_sc_ls__clkbuf_2
+Xoutput406 _035_/LO vssd1 vssd1 vccd1 vccd1 io_out[0] sky130_fd_sc_ls__clkbuf_2
 XFILLER_59_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 Xoutput439 AND2X2/Y vssd1 vssd1 vccd1 vccd1 io_out[5] sky130_fd_sc_ls__clkbuf_2
 Xoutput428 AND2X1/Y vssd1 vssd1 vccd1 vccd1 io_out[2] sky130_fd_sc_ls__clkbuf_2
 XFILLER_79_160 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_67_300 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_79_182 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_67_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_55_528 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_70_509 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_63_583 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XAOI21X1 vccd1 vssd1 AOI21X1/Y input37/X input36/X input35/X AOI21X1
+XAOI21X1 vccd1 vssd1 AOI21X1/Y input35/X input37/X input36/X AOI21X1
 XFILLER_10_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_12_26 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_12_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_77_119 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_77_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_58_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_58_344 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_37_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_37_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_46_517 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_73_347 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_26_230 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_37_89 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_39_591 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_54_572 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_14_414 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_53_44 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_53_88 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_14_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_53_88 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_68_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_49_300 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_49_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -1593,17 +1621,19 @@
 XFILLER_37_528 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_64_336 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_52_509 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_60_531 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_45_583 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_60_564 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_59_119 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_59_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_60_575 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_9_473 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_59_119 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_4_71 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_67_174 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_67_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_28_517 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_55_347 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_36_572 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_70_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_23_211 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_707 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_718 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_51_520 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -1618,76 +1648,76 @@
 XFILLER_0_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_46_336 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_73_166 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_34_509 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_34_509 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_61_339 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_61_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_54_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_27_583 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_42_531 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_9_16 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_14_233 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_42_553 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_42_564 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_6_454 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_10_494 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_10_483 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_13_80 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 X_186_ vssd1 vssd1 vccd1 vccd1 _186_/HI _186_/LO sky130_fd_sc_ls__conb_1
+XFILLER_6_487 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_49_141 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_64_100 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_49_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_49_174 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_37_347 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_64_155 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_18_561 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_33_531 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_18_572 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_18_594 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_33_520 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_52_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_60_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_33_575 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_9_292 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_55_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_28_336 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_55_166 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_16_509 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_18_69 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_43_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_43_339 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_70_147 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_70_136 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_24_564 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_504 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_515 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_526 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_36_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_36_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_11_214 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_537 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_548 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_559 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_51_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_51_394 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_7_229 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_11_269 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_50_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 X_040_ vssd1 vssd1 vccd1 vccd1 _040_/HI _040_/LO sky130_fd_sc_ls__conb_1
 XFILLER_50_45 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_3_402 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_59_43 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_3_457 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_59_54 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_78_225 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_75_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_46_100 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_75_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_75_42 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_19_347 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_19_358 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_46_155 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_19_347 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_46_155 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_15_520 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_34_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_46_188 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_15_575 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_30_501 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_30_556 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_42_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 X_169_ vssd1 vssd1 vccd1 vccd1 _169_/HI _169_/LO sky130_fd_sc_ls__conb_1
-XFILLER_6_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_6_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_41_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_69_214 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_69_269 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_1_50 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_37_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_1_72 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_37_166 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_25_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_25_339 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_18_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_52_136 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_52_147 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_21_512 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -1696,7 +1726,6 @@
 XFILLER_33_394 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_21_567 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_20_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_0_416 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 Xinput306 wbs_adr_i[18] vssd1 vssd1 vccd1 vccd1 input306/X sky130_fd_sc_ls__clkbuf_1
 XFILLER_0_449 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 Xinput317 wbs_adr_i[28] vssd1 vssd1 vccd1 vccd1 input317/X sky130_fd_sc_ls__clkbuf_1
@@ -1706,9 +1735,11 @@
 XFILLER_28_100 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_28_155 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_45_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_16_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_71_434 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_16_339 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_45_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_301 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_43_158 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_71_489 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_12_501 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_312 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
@@ -1719,7 +1750,6 @@
 XPHY_367 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_24_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_61_44 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_8_516 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_12_567 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XPHY_378 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_389 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
@@ -1732,28 +1762,28 @@
 XFILLER_10_92 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_19_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XXOR2X1 vccd1 vssd1 XOR2X1/Y XOR2X1/A XOR2X1/B XOR2X1
+XFILLER_19_90 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_19_166 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_34_136 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_34_147 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_62_489 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_15_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_15_394 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_30_320 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_42_191 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XPHY_890 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_30_353 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_30_375 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_57_206 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_65_283 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_65_261 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_57_217 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_53_434 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_25_158 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_80_253 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_53_489 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_40_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_21_331 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_21_364 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_21_386 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_31_36 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_0_213 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_0_213 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 Xinput103 la_data_in[42] vssd1 vssd1 vccd1 vccd1 input103/X sky130_fd_sc_ls__clkbuf_1
-XFILLER_0_279 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 Xinput114 la_data_in[52] vssd1 vssd1 vccd1 vccd1 input114/X sky130_fd_sc_ls__clkbuf_1
 Xinput125 la_data_in[62] vssd1 vssd1 vccd1 vccd1 input125/X sky130_fd_sc_ls__clkbuf_1
 Xinput136 la_data_in[72] vssd1 vssd1 vccd1 vccd1 input136/X sky130_fd_sc_ls__clkbuf_1
@@ -1761,9 +1791,8 @@
 Xinput169 la_oen[101] vssd1 vssd1 vccd1 vccd1 input169/X sky130_fd_sc_ls__clkbuf_1
 Xinput158 la_data_in[92] vssd1 vssd1 vccd1 vccd1 input158/X sky130_fd_sc_ls__clkbuf_1
 Xinput147 la_data_in[82] vssd1 vssd1 vccd1 vccd1 input147/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_29_431 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_56_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_56_294 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_16_136 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_16_147 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_71_253 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_142 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
@@ -1777,102 +1806,99 @@
 XPHY_186 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_197 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_12_375 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-X_006_ vssd1 vssd1 vccd1 vccd1 _006_/HI _006_/LO sky130_fd_sc_ls__conb_1
+XFILLER_8_357 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_4_530 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+X_006_ vssd1 vssd1 vccd1 vccd1 _006_/HI _006_/LO sky130_fd_sc_ls__conb_1
 XFILLER_4_585 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_4_574 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_79_331 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_4_596 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_79_375 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_67_504 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_39_206 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_79_386 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_67_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_67_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_67_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_39_217 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_47_261 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_47_283 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_35_401 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_35_423 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_62_242 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_35_434 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_62_264 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_0 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_35_489 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_62_297 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_22_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_30_194 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_58_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XANTENNA_208 _149_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_219 _201_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_26_412 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XANTENNA_219 _139_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_208 _129_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 XFILLER_81_584 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_53_253 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_13_106 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_26_69 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_26_467 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_41_426 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_42_57 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_41_448 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_1_533 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_76_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_49_504 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_76_312 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_67_54 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_49_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_76_367 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_76_356 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_49_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_29_261 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_29_272 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_72_540 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_17_434 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_17_489 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_32_437 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_80_8 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_44_242 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_72_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_16_80 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_44_297 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_8_154 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_8_132 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_12_194 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-Xoutput407 _041_/LO vssd1 vssd1 vccd1 vccd1 io_out[10] sky130_fd_sc_ls__clkbuf_2
-Xoutput429 NAND3X1/Y vssd1 vssd1 vccd1 vccd1 io_out[30] sky130_fd_sc_ls__clkbuf_2
-Xoutput418 _046_/LO vssd1 vssd1 vccd1 vccd1 io_out[20] sky130_fd_sc_ls__clkbuf_2
-XFILLER_79_194 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_48_581 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+Xoutput407 _042_/LO vssd1 vssd1 vccd1 vccd1 io_out[10] sky130_fd_sc_ls__clkbuf_2
+Xoutput429 INVX8/Y vssd1 vssd1 vccd1 vccd1 io_out[30] sky130_fd_sc_ls__clkbuf_2
+Xoutput418 CLKBUF1/Y vssd1 vssd1 vccd1 vccd1 io_out[20] sky130_fd_sc_ls__clkbuf_2
 XFILLER_63_551 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_35_253 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_63_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_23_426 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_23_426 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_50_234 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_50_289 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_12_16 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_31_481 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_2_308 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_58_312 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_58_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_58_367 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_18_209 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_58_356 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_73_315 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_58_389 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_54_540 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_81_381 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_53_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_26_242 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_54_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_26_297 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_53_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_53_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_53_34 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_41_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_53_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_5_135 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_78_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_78_75 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_78_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_78_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_1_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_64_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_64_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_17_253 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_45_551 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_72_381 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_60_532 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_60_521 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_45_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_32_234 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_60_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_60_576 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_20_407 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_32_289 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_9_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_9_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_13_481 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_71_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_9_496 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_67_197 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_9_496 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_55_315 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_36_540 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_36_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XPHY_708 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_63_392 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_23_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_719 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_11_418 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -1882,33 +1908,32 @@
 XFILLER_48_45 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_46_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_27_551 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_46_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_46_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_64_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_14_201 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_27_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_42_521 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_14_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_42_565 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_14_234 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_42_532 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_9_28 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_14_289 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_42_576 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_42_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_80_65 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_80_98 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_6_411 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_10_462 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_6_422 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 X_185_ vssd1 vssd1 vccd1 vccd1 _185_/HI _185_/LO sky130_fd_sc_ls__conb_1
-XFILLER_6_422 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_6_477 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_6_477 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_6_466 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_69_418 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_69_418 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_1_182 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_77_473 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_37_315 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_18_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_33_565 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_18_540 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_20_226 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_68_462 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_28_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_18_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_28_348 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_28_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_24_521 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_24_532 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -1921,35 +1946,29 @@
 XPHY_538 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_549 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_11_237 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_7_219 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_7_208 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_78_226 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_59_44 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-Xoutput590 _212_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[25] sky130_fd_sc_ls__clkbuf_2
-XFILLER_59_88 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_75_10 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_7_208 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xoutput590 _208_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[25] sky130_fd_sc_ls__clkbuf_2
+XFILLER_78_237 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_59_473 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_75_54 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_75_98 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_74_454 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_61_104 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_27_370 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_61_148 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_15_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_61_159 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_30_524 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_30_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-X_168_ vssd1 vssd1 vccd1 vccd1 _168_/HI _168_/LO sky130_fd_sc_ls__conb_1
 XFILLER_10_281 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_168_ vssd1 vssd1 vccd1 vccd1 _168_/HI _168_/LO sky130_fd_sc_ls__conb_1
 X_099_ vssd1 vssd1 vccd1 vccd1 _099_/HI _099_/LO sky130_fd_sc_ls__conb_1
 XFILLER_34_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_69_237 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_69_259 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_77_292 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_65_410 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_1_40 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_65_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_25_307 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_80_402 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_25_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_80_435 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_65_487 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_80_435 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_0_417 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 Xinput318 wbs_adr_i[29] vssd1 vssd1 vccd1 vccd1 input318/X sky130_fd_sc_ls__clkbuf_1
 Xinput307 wbs_adr_i[19] vssd1 vssd1 vccd1 vccd1 input307/X sky130_fd_sc_ls__clkbuf_1
 XFILLER_75_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
@@ -1957,11 +1976,11 @@
 XFILLER_29_36 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_75_229 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_68_281 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_56_454 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_56_454 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_71_402 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_43_104 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_71_457 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_43_159 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_43_126 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_302 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_313 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_324 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
@@ -1972,12 +1991,12 @@
 XPHY_357 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_368 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_61_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_12_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_12_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_379 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 X_022_ vssd1 vssd1 vccd1 vccd1 _022_/HI _022_/LO sky130_fd_sc_ls__conb_1
-XFILLER_6_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_6_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_6_18 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_79_524 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_79_524 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_66_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_47_410 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_59_292 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -1985,22 +2004,20 @@
 XFILLER_74_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_62_446 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_880 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_30_343 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_30_332 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XPHY_891 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_30_387 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_57_229 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_57_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_38_410 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_38_454 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_65_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_65_284 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_65_262 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_53_402 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_25_104 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_80_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_80_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_53_457 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_25_126 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_25_159 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_80_287 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_21_343 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_0_225 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_0_269 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_0_269 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 Xinput104 la_data_in[43] vssd1 vssd1 vccd1 vccd1 input104/X sky130_fd_sc_ls__clkbuf_1
 Xinput115 la_data_in[53] vssd1 vssd1 vccd1 vccd1 input115/X sky130_fd_sc_ls__clkbuf_1
 Xinput126 la_data_in[63] vssd1 vssd1 vccd1 vccd1 input126/X sky130_fd_sc_ls__clkbuf_1
@@ -2008,12 +2025,12 @@
 Xinput148 la_data_in[83] vssd1 vssd1 vccd1 vccd1 input148/X sky130_fd_sc_ls__clkbuf_1
 Xinput137 la_data_in[73] vssd1 vssd1 vccd1 vccd1 input137/X sky130_fd_sc_ls__clkbuf_1
 Xinput159 la_data_in[93] vssd1 vssd1 vccd1 vccd1 input159/X sky130_fd_sc_ls__clkbuf_1
-XFILLER_29_410 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_48_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_56_45 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_16_104 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_56_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_29_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_71_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_126 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_44_446 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_71_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_72_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
@@ -2024,63 +2041,64 @@
 XPHY_154 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_165 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_176 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_8_336 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_198 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_187 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_8_347 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 X_005_ vssd1 vssd1 vccd1 vccd1 _005_/HI _005_/LO sky130_fd_sc_ls__conb_1
+XFILLER_39_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_39_229 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_35_402 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_47_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_47_262 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_62_210 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_62_265 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_47_284 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_1 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_35_457 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_50_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_15_181 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_58_549 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_38_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_81_541 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_81_530 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_53_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XANTENNA_209 _149_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XFILLER_26_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_209 _131_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_26_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_26_446 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_53_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_41_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_41_449 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_21_151 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_42_69 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_5_317 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_5_339 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_1_512 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_1_567 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_76_302 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_67_44 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_49_549 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_67_88 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_17_402 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_57_593 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_29_284 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_44_210 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_44_210 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_17_457 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_72_596 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_16_70 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_44_298 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_16_92 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_44_265 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_8_100 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_12_162 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_40_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-Xoutput408 BUFX2/Y vssd1 vssd1 vccd1 vccd1 io_out[11] sky130_fd_sc_ls__clkbuf_2
-Xoutput419 _047_/LO vssd1 vssd1 vccd1 vccd1 io_out[21] sky130_fd_sc_ls__clkbuf_2
+Xoutput408 _043_/LO vssd1 vssd1 vccd1 vccd1 io_out[11] sky130_fd_sc_ls__clkbuf_2
+Xoutput419 _049_/LO vssd1 vssd1 vccd1 vccd1 io_out[21] sky130_fd_sc_ls__clkbuf_2
 XFILLER_4_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_79_173 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_67_324 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_67_379 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_35_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_63_541 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_23_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_35_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_50_202 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_50_224 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_50_202 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_23_449 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_50_246 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_50_257 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_31_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_58_357 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_73_316 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_31_482 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_37_36 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_26_210 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_73_327 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_26_210 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_14_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_26_265 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_81_393 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -2089,22 +2107,21 @@
 XFILLER_1_386 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_49_324 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_76_132 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_49_379 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_76_187 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_17_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_27_80 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_17_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_32_202 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_60_555 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_32_246 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_32_257 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_60_577 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_13_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_9_464 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_64_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_4_191 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_4_180 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_4_84 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_67_143 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_55_316 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_67_198 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_55_327 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_63_371 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_709 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_51_544 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
@@ -2115,24 +2132,24 @@
 XINVX1 vccd1 vssd1 INVX1/Y INVX1/A INVX1
 XFILLER_73_135 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_64_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_58_187 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_64_45 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_61_308 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_9_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_14_202 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_42_511 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_14_246 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_14_257 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_42_577 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_10_430 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 X_184_ vssd1 vssd1 vccd1 vccd1 _184_/HI _184_/LO sky130_fd_sc_ls__conb_1
-XFILLER_13_82 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_1_150 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_77_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_49_143 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_77_496 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_64_124 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_37_327 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_49_198 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_37_316 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_49_198 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_64_179 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_18_596 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_45_371 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_33_544 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_60_352 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_9_261 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_20_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -2145,7 +2162,6 @@
 XPHY_506 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_517 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_34_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_11_205 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_528 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_539 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_51_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -2153,22 +2169,24 @@
 XFILLER_3_426 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_59_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_59_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-Xoutput580 _203_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[16] sky130_fd_sc_ls__clkbuf_2
-XFILLER_78_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-Xoutput591 _213_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[26] sky130_fd_sc_ls__clkbuf_2
+Xoutput580 _199_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[16] sky130_fd_sc_ls__clkbuf_2
+XFILLER_78_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xoutput591 _209_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[26] sky130_fd_sc_ls__clkbuf_2
 XFILLER_59_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_75_44 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_74_422 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_74_411 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_59_496 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_59_485 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_19_316 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_46_124 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_75_88 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_75_66 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_74_466 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_74_477 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_61_127 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_27_371 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_46_179 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_61_127 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_15_544 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_27_382 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_15_577 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_42_352 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_24_81 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_24_92 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -2177,28 +2195,26 @@
 X_098_ vssd1 vssd1 vccd1 vccd1 _098_/HI _098_/LO sky130_fd_sc_ls__conb_1
 XFILLER_6_297 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_27_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_65_455 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_37_135 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_80_414 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_1_96 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_37_179 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_25_308 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_52_116 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_18_382 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_45_190 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_80_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_33_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_60_171 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_60_171 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_21_536 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_0_429 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 Xinput308 wbs_adr_i[1] vssd1 vssd1 vccd1 vccd1 input308/X sky130_fd_sc_ls__clkbuf_1
 Xinput319 wbs_adr_i[2] vssd1 vssd1 vccd1 vccd1 input319/X sky130_fd_sc_ls__clkbuf_1
 XFILLER_56_422 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_56_411 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_56_466 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_28_124 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_71_425 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_56_477 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_28_179 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_45_36 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_43_127 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_303 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_314 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_325 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
@@ -2206,52 +2222,53 @@
 XPHY_336 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_347 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_358 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_51_160 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_51_182 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_12_569 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XPHY_369 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 X_021_ vssd1 vssd1 vccd1 vccd1 _021_/HI _021_/LO sky130_fd_sc_ls__conb_1
 XFILLER_3_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_10_61 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_79_547 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_19_102 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_19_135 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_62_414 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_34_116 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_62_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_62_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_15_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_27_190 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_30_311 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_35_80 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_42_171 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_881 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_870 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_30_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XPHY_892 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-X_219_ vssd1 vssd1 vccd1 vccd1 _219_/HI _219_/LO sky130_fd_sc_ls__conb_1
 XFILLER_7_551 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_11_580 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_11_580 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_30_399 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_7_573 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_57_219 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_38_400 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_38_422 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_65_241 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_65_274 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_38_466 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_38_477 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_25_127 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_80_255 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_80_244 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_15_28 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_15_17 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_40_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_80_299 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_21_300 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_21_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_33_182 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_21_377 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_33_193 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_76_517 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 Xinput116 la_data_in[54] vssd1 vssd1 vccd1 vccd1 input116/X sky130_fd_sc_ls__clkbuf_1
 Xinput105 la_data_in[44] vssd1 vssd1 vccd1 vccd1 input105/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_0_248 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 Xinput127 la_data_in[64] vssd1 vssd1 vccd1 vccd1 input127/X sky130_fd_sc_ls__clkbuf_1
 Xinput138 la_data_in[74] vssd1 vssd1 vccd1 vccd1 input138/X sky130_fd_sc_ls__clkbuf_1
 Xinput149 la_data_in[84] vssd1 vssd1 vccd1 vccd1 input149/X sky130_fd_sc_ls__clkbuf_1
 XFILLER_69_591 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_29_477 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_44_414 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_16_116 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_72_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_44_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_100 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
@@ -2265,35 +2282,36 @@
 XPHY_155 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_144 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_166 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_12_344 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_12_344 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_8_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_199 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_188 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_177 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_12_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_8_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_12_399 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 X_004_ vssd1 vssd1 vccd1 vccd1 _004_/HI _004_/LO sky130_fd_sc_ls__conb_1
 XFILLER_4_543 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_4_521 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_21_82 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_79_300 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_21_93 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_79_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_79_399 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_79_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_79_399 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_67_528 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_39_219 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_75_583 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_47_241 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_47_274 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XPHY_2 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_22_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_15_182 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_30_130 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_30_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_30_174 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_7_40 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_7_40 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_58_517 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_66_572 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_26_414 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_81_575 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_81_553 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_26_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_13_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_13_119 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_21_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_42_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -2304,35 +2322,32 @@
 XFILLER_49_528 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_76_336 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_64_509 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_57_583 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_44_222 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_57_561 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_29_252 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_29_274 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_72_564 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_72_586 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_16_60 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_16_60 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_32_439 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_16_82 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_12_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_8_167 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_8_167 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_32_81 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_32_92 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-Xoutput409 _042_/LO vssd1 vssd1 vccd1 vccd1 io_out[12] sky130_fd_sc_ls__clkbuf_2
-XFILLER_79_174 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xoutput409 _044_/LO vssd1 vssd1 vccd1 vccd1 io_out[12] sky130_fd_sc_ls__clkbuf_2
 XFILLER_79_152 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_67_347 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_48_561 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_48_572 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_63_520 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_63_575 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_23_439 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_31_494 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_12_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_58_347 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_58_336 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_46_509 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_73_339 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_73_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_26_222 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_39_583 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_81_350 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_66_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_54_564 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_53_36 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_81_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_41_214 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_41_269 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_5_159 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
@@ -2341,22 +2356,23 @@
 XFILLER_76_155 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_64_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_45_520 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_60_501 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_60_501 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_45_575 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_60_556 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_9_410 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_43_80 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_57_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_9_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_57_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_4_63 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_67_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_67_166 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_67_166 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_28_509 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_55_339 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_55_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_48_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_23_203 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_23_214 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_36_564 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_51_512 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_63_394 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_63_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_23_28 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_23_269 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
@@ -2365,109 +2381,111 @@
 XINVX2 vccd1 vssd1 INVX2/Y INVX2/A INVX2
 XFILLER_58_155 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_48_69 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_39_380 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_27_520 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_46_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_54_350 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_27_575 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_42_501 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_54_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_14_225 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_14_247 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_42_545 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_42_556 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_80_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 X_183_ vssd1 vssd1 vccd1 vccd1 _183_/HI _183_/LO sky130_fd_sc_ls__conb_1
-XFILLER_10_486 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_6_446 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_1_162 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_49_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_49_166 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_18_520 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_37_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_37_339 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_64_147 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_64_136 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_18_553 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_18_564 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_18_586 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_33_512 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_72_191 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_60_320 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_33_523 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_45_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_45_394 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_33_589 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_45_394 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_33_567 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_60_375 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_13_291 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_9_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_9_284 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_28_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_24_501 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_70_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_70_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_507 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_36_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_51_331 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_24_556 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_518 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_529 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_11_217 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_11_206 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_51_386 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_50_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_3_449 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_3_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-Xoutput570 _157_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[99] sky130_fd_sc_ls__clkbuf_2
-Xoutput581 _204_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[17] sky130_fd_sc_ls__clkbuf_2
-Xoutput592 _214_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[27] sky130_fd_sc_ls__clkbuf_2
-XFILLER_75_34 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_78_217 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_59_35 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xoutput570 _153_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[99] sky130_fd_sc_ls__clkbuf_2
+Xoutput581 _200_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[17] sky130_fd_sc_ls__clkbuf_2
+Xoutput592 _210_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[27] sky130_fd_sc_ls__clkbuf_2
+XFILLER_75_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_19_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_19_339 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_75_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_75_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_46_136 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_46_147 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_15_512 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_27_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_27_394 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_42_320 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_54_191 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_15_567 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_15_567 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_42_375 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_30_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_6_210 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_6_210 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 X_166_ vssd1 vssd1 vccd1 vccd1 _166_/HI _166_/LO sky130_fd_sc_ls__conb_1
 X_097_ vssd1 vssd1 vccd1 vccd1 _097_/HI _097_/LO sky130_fd_sc_ls__conb_1
-XFILLER_6_265 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_40_70 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_6_265 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_40_92 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_69_206 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_2_460 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_77_261 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_65_434 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_1_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_65_489 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_18_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_52_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_21_504 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_33_331 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_45_191 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_190 _117_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 XFILLER_33_386 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XANTENNA_190 _124_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 XFILLER_60_194 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_21_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_21_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_20_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_0_419 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 Xinput309 wbs_adr_i[20] vssd1 vssd1 vccd1 vccd1 input309/X sky130_fd_sc_ls__clkbuf_1
 XFILLER_28_136 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_28_147 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_56_467 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_71_437 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_71_426 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_24_320 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_304 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_315 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_36_191 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XPHY_326 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_337 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_348 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_359 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_24_375 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_61_36 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_8_519 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_8_508 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_12_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_12_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 X_020_ vssd1 vssd1 vccd1 vccd1 _020_/HI _020_/LO sky130_fd_sc_ls__conb_1
 XFILLER_79_504 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_79_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_79_526 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_10_84 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_59_261 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_19_82 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_47_434 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_74_242 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_47_489 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
@@ -2476,36 +2494,33 @@
 XFILLER_15_331 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_15_386 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_30_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_70_492 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_30_312 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_871 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_860 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_42_183 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_30_345 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_42_194 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_893 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_882 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_30_367 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_30_389 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-X_218_ vssd1 vssd1 vccd1 vccd1 _218_/HI _218_/LO sky130_fd_sc_ls__conb_1
 XFILLER_7_530 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_51_80 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 X_149_ vssd1 vssd1 vccd1 vccd1 _149_/HI _149_/LO sky130_fd_sc_ls__conb_1
 XFILLER_38_412 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_65_253 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_25_106 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_53_426 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_15_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_18_191 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_61_481 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_31_28 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_0_205 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_0_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 Xinput106 la_data_in[45] vssd1 vssd1 vccd1 vccd1 input106/X sky130_fd_sc_ls__clkbuf_1
 Xinput117 la_data_in[55] vssd1 vssd1 vccd1 vccd1 input117/X sky130_fd_sc_ls__clkbuf_1
 Xinput128 la_data_in[65] vssd1 vssd1 vccd1 vccd1 input128/X sky130_fd_sc_ls__clkbuf_1
 Xinput139 la_data_in[75] vssd1 vssd1 vccd1 vccd1 input139/X sky130_fd_sc_ls__clkbuf_1
-XFILLER_29_434 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_29_423 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_56_242 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_56_69 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_29_489 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_56_286 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_56_297 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_16_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_71_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_134 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
@@ -2520,86 +2535,91 @@
 XFILLER_24_194 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_189 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_178 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_12_356 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_12_367 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XANTENNA_90 OR2X2/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_90 _056_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 X_003_ vssd1 vssd1 vccd1 vccd1 _003_/HI _003_/LO sky130_fd_sc_ls__conb_1
 XFILLER_4_566 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_79_367 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_75_551 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_47_253 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_75_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_35_426 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_62_256 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_62_234 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_3 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_50_407 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_62_289 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_43_481 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_690 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_7_63 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_7_52 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_7_382 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_7_96 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_7_96 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_66_540 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_26_404 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_38_242 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_66_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_38_297 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_81_543 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_53_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_26_459 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_41_418 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_21_186 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_1_525 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_76_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_76_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_57_551 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_29_253 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_57_551 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_72_532 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_72_521 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_57_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_17_426 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_44_234 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_72_576 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_32_407 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_32_418 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_44_289 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_94 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_25_481 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_40_462 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_8_124 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_12_186 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_8_146 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_4_352 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_79_131 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_79_164 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_79_197 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_48_540 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_48_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_35_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_23_418 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_31_473 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_7_190 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_50_226 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_58_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_73_307 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_58_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_39_551 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_73_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_54_521 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_39_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_54_532 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_81_373 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_54_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_26_234 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_54_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_54_576 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_14_407 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_26_289 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_53_26 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_41_237 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_22_462 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_5_127 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_78_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_1_300 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_78_67 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_78_45 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_78_78 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_1_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_57_370 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_72_340 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_17_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_60_524 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_60_513 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_60_524 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_32_226 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_60_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_13_473 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_40_281 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_9_488 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_4_182 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_4_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_67_189 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_55_307 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_55_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_36_521 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_36_532 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_36_576 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
@@ -2610,30 +2630,27 @@
 XFILLER_48_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_73_104 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_73_159 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_27_521 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_64_69 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_54_351 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_81_192 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_42_524 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_80_24 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_14_226 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_42_524 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_42_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_80_79 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 X_182_ vssd1 vssd1 vccd1 vccd1 _182_/HI _182_/LO sky130_fd_sc_ls__conb_1
 XFILLER_22_281 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_6_414 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_10_454 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_10_476 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_6_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_77_410 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_1_174 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_77_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_37_307 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_37_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_38_81 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_18_521 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_18_532 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_18_532 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_38_92 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_18_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_18_576 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_20_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_13_292 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_68_454 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_55_104 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_18_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -2642,69 +2659,63 @@
 XPHY_508 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_24_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_519 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_11_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_11_229 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_78_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_59_36 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-Xoutput571 _067_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[9] sky130_fd_sc_ls__clkbuf_2
-Xoutput560 _066_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[8] sky130_fd_sc_ls__clkbuf_2
+Xoutput571 OR2X2/Y vssd1 vssd1 vccd1 vccd1 la_data_out[9] sky130_fd_sc_ls__clkbuf_2
+Xoutput560 _065_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[8] sky130_fd_sc_ls__clkbuf_2
 XFILLER_59_410 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-Xoutput593 _215_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[28] sky130_fd_sc_ls__clkbuf_2
-Xoutput582 _205_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[18] sky130_fd_sc_ls__clkbuf_2
+Xoutput593 _211_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[28] sky130_fd_sc_ls__clkbuf_2
+Xoutput582 _201_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[18] sky130_fd_sc_ls__clkbuf_2
 XFILLER_59_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_59_487 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_74_446 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_27_362 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_46_159 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_27_384 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_24_61 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_24_61 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_10_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 X_165_ vssd1 vssd1 vccd1 vccd1 _165_/HI _165_/LO sky130_fd_sc_ls__conb_1
 X_096_ vssd1 vssd1 vccd1 vccd1 _096_/HI _096_/LO sky130_fd_sc_ls__conb_1
 XFILLER_40_82 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_69_229 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_69_229 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_69_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_49_80 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_77_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_65_402 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_65_402 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_1_32 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_37_104 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_77_284 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_65_457 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_1_54 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_65_479 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_37_159 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_80_427 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XANTENNA_180 _062_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_191 _124_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XFILLER_0_409 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_45_170 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_60_173 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XANTENNA_191 _117_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_180 _106_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_0_409 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_29_28 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_68_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_56_446 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_71_449 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_36_170 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_71_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XPHY_305 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_316 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_36_192 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XPHY_327 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_338 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_349 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_51_151 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_3_214 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_3_269 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_79_549 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-Xoutput390 _219_/LO vssd1 vssd1 vccd1 vccd1 io_oeb[2] sky130_fd_sc_ls__clkbuf_2
+Xoutput390 _215_/LO vssd1 vssd1 vccd1 vccd1 io_oeb[2] sky130_fd_sc_ls__clkbuf_2
 XFILLER_59_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_47_402 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_74_210 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_59_284 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_74_265 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_19_94 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_19_159 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_47_457 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_62_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_70_460 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_70_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_872 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_861 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_850 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_30_335 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_894 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_883 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_30_357 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
@@ -2712,15 +2723,13 @@
 X_148_ vssd1 vssd1 vccd1 vccd1 _148_/HI _148_/LO sky130_fd_sc_ls__conb_1
 X_079_ vssd1 vssd1 vccd1 vccd1 _079_/HI _079_/LO sky130_fd_sc_ls__conb_1
 XFILLER_32_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_78_593 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_65_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_38_446 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_65_254 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_80_213 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_80_202 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_65_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_65_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_53_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_25_118 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_80_257 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_53_449 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_80_279 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_33_151 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -2732,7 +2741,9 @@
 XFILLER_29_402 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_56_210 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_56_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_56_265 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_29_457 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_118 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_44_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_124 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_113 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
@@ -2745,29 +2756,30 @@
 XFILLER_8_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_168 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_179 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_8_339 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-X_002_ vssd1 vssd1 vccd1 vccd1 _002_/HI _002_/LO sky130_fd_sc_ls__conb_1
-XANTENNA_91 OR2X2/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_12_357 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XANTENNA_91 _056_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_80 INVX4/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 XFILLER_4_501 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XANTENNA_80 NAND3X1/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+X_002_ vssd1 vssd1 vccd1 vccd1 _002_/HI _002_/LO sky130_fd_sc_ls__conb_1
 XFILLER_79_324 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_79_379 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_47_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_75_541 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_47_254 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_62_202 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_35_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_47_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_62_257 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_47_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_62_246 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_35_449 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_46_81 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_46_92 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_15_151 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_15_173 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_43_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_30_132 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_680 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_30_187 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_691 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_7_350 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_7_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_7_394 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_38_210 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_81_522 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -2775,7 +2787,6 @@
 XFILLER_26_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_26_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_38_265 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_81_555 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_81_533 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_81_577 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_34_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -2784,91 +2795,101 @@
 XFILLER_1_504 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_1_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_67_36 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_29_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_29_265 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_29_210 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_29_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_57_585 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_29_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_44_202 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_17_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_17_449 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_44_246 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_44_257 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_16_84 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_44_257 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_84 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_25_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_12_132 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_12_154 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_40_430 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_8_136 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_12_187 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_40_485 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_8_147 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_32_61 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_4_320 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_4_375 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_67_316 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_57_80 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_75_371 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_63_544 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_63_533 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_460 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_16_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_50_216 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_50_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_31_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_31_496 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_73_308 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_31_474 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_31_496 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_7_180 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_37_28 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_26_202 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_81_330 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_26_246 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_81_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_26_257 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_22_430 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_22_485 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_5_106 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_78_57 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_76_124 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_49_316 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_76_179 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_57_382 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_45_544 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_13_430 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_352 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_27_94 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_60_547 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_13_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_32_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_9_434 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_9_456 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_13_496 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_9_489 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_4_194 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_4_172 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_4_172 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_4_21 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_67_135 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_55_308 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_55_319 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 Xinput290 la_oen[96] vssd1 vssd1 vccd1 vccd1 input290/X sky130_fd_sc_ls__clkbuf_1
 XFILLER_75_190 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_63_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_51_536 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_58_124 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XINVX4 vccd1 vssd1 INVX4/Y INVX4/A INVX4
-XFILLER_58_179 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_39_371 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_58_179 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_39_371 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_73_127 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_27_544 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_64_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_42_503 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_14_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_10_411 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_10_422 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 X_181_ vssd1 vssd1 vccd1 vccd1 _181_/HI _181_/LO sky130_fd_sc_ls__conb_1
+XFILLER_10_422 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_10_466 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_13_52 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_13_74 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_6_448 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_10_499 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_13_96 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_1_142 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_1_164 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_49_135 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_49_135 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_49_157 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_64_116 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_18_500 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_37_308 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_18_522 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_57_190 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_18_577 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_45_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_72_171 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_160 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_33_536 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_60_344 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_54_92 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_54_92 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_54_81 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_33_547 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_33_558 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_60_399 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_41_591 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_70_80 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_9_253 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_62_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_5_481 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
@@ -2879,86 +2900,83 @@
 XFILLER_55_127 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_70_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_36_352 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_48_190 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_63_182 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_51_300 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_51_311 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_509 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_34_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_51_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_11_219 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_3_418 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-Xoutput550 _138_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[80] sky130_fd_sc_ls__clkbuf_2
-Xoutput572 _186_/LO vssd1 vssd1 vccd1 vccd1 wbs_ack_o sky130_fd_sc_ls__clkbuf_2
-Xoutput561 _148_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[90] sky130_fd_sc_ls__clkbuf_2
+Xoutput550 _134_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[80] sky130_fd_sc_ls__clkbuf_2
+Xoutput572 _182_/LO vssd1 vssd1 vccd1 vccd1 wbs_ack_o sky130_fd_sc_ls__clkbuf_2
+Xoutput561 _144_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[90] sky130_fd_sc_ls__clkbuf_2
 XFILLER_1_8 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-Xoutput594 _216_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[29] sky130_fd_sc_ls__clkbuf_2
-Xoutput583 _206_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[19] sky130_fd_sc_ls__clkbuf_2
+Xoutput594 _212_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[29] sky130_fd_sc_ls__clkbuf_2
+Xoutput583 _202_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[19] sky130_fd_sc_ls__clkbuf_2
+XFILLER_75_36 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_74_414 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_19_308 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_46_116 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_74_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_61_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_39_190 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_61_119 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_54_171 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_15_536 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_27_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_42_344 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_30_517 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_24_84 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_23_591 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_42_399 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_6_234 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 X_164_ vssd1 vssd1 vccd1 vccd1 _164_/HI _164_/LO sky130_fd_sc_ls__conb_1
+XFILLER_6_234 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 X_095_ vssd1 vssd1 vccd1 vccd1 _095_/HI _095_/LO sky130_fd_sc_ls__conb_1
+XFILLER_40_61 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_6_289 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_2_473 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_2_495 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_37_105 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_1_44 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_37_127 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_1_88 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_18_352 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_73_480 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_65_80 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_18_374 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_33_300 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_52_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_60_130 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XANTENNA_170 _097_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 XFILLER_33_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_45_182 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XANTENNA_192 _127_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_192 _118_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_181 _109_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 XFILLER_60_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XANTENNA_181 _062_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 XFILLER_21_528 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_14_591 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_56_414 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_28_116 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_56_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_45_28 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_71_439 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_71_417 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_36_171 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_43_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_43_119 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_43_119 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_306 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_24_344 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_36_182 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_12_517 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_317 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_328 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_339 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_51_152 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_51_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_24_399 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_51_174 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_20_572 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_20_561 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_10_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_3_237 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_10_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-Xoutput380 _004_/HI vssd1 vssd1 vccd1 vccd1 io_oeb[20] sky130_fd_sc_ls__clkbuf_2
-XFILLER_79_539 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-Xoutput391 _031_/LO vssd1 vssd1 vccd1 vccd1 io_oeb[30] sky130_fd_sc_ls__clkbuf_2
+Xoutput380 _027_/LO vssd1 vssd1 vccd1 vccd1 io_oeb[20] sky130_fd_sc_ls__clkbuf_2
+Xoutput391 _032_/LO vssd1 vssd1 vccd1 vccd1 io_oeb[30] sky130_fd_sc_ls__clkbuf_2
 XFILLER_19_127 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_15_300 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_34_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_27_182 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_15_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_35_72 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_42_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_862 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_851 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
@@ -2967,31 +2985,29 @@
 XPHY_884 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_873 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 X_216_ vssd1 vssd1 vccd1 vccd1 _216_/HI _216_/LO sky130_fd_sc_ls__conb_1
-X_147_ vssd1 vssd1 vccd1 vccd1 _147_/HI _147_/LO sky130_fd_sc_ls__conb_1
+XFILLER_11_572 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_7_565 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+X_147_ vssd1 vssd1 vccd1 vccd1 _147_/HI _147_/LO sky130_fd_sc_ls__conb_1
 X_078_ vssd1 vssd1 vccd1 vccd1 _078_/HI _078_/LO sky130_fd_sc_ls__conb_1
 XFILLER_2_281 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_78_572 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_25_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_38_414 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_38_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_65_299 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_25_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_25_119 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_80_236 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_18_171 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_33_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_33_174 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_21_347 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_21_369 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 Xinput108 la_data_in[47] vssd1 vssd1 vccd1 vccd1 input108/X sky130_fd_sc_ls__clkbuf_1
 XFILLER_76_509 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 Xinput119 la_data_in[57] vssd1 vssd1 vccd1 vccd1 input119/X sky130_fd_sc_ls__clkbuf_1
 XFILLER_69_583 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_71_214 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_16_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_72_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_71_269 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_125 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_114 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_24_130 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_103 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_158 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_147 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
@@ -2999,23 +3015,23 @@
 XFILLER_12_336 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_24_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_169 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XANTENNA_81 NAND3X1/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_92 _056_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_70 INV/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_81 _053_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 X_001_ vssd1 vssd1 vccd1 vccd1 _001_/HI _001_/LO sky130_fd_sc_ls__conb_1
-XANTENNA_70 _051_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_92 _058_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XFILLER_20_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_4_524 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_21_52 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_21_63 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_4_535 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_21_85 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_21_74 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_4_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_79_347 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_75_520 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_75_520 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_75_575 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_47_299 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_35_417 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_35_439 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XPHY_5 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_15_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_15_174 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_30_100 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_62_81 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_30_155 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -3026,7 +3042,6 @@
 XFILLER_7_54 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_7_32 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_7_384 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_7_65 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_58_509 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_78_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_66_564 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -3040,39 +3055,39 @@
 Xinput90 la_data_in[30] vssd1 vssd1 vccd1 vccd1 input90/X sky130_fd_sc_ls__clkbuf_1
 XFILLER_1_549 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_76_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_57_520 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_29_244 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_72_501 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_57_575 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_44_214 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_72_556 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_52 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_12_100 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_12_155 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_8_159 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_32_73 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_32_84 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_79_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_79_166 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_79_144 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_67_339 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_67_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_48_553 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_48_520 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_63_512 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_48_564 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_75_394 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_75_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_35_214 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_63_567 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_35_269 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_73_80 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_58_339 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_58_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_31_453 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_7_192 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_58_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_39_520 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_66_350 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_54_501 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_39_575 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_81_331 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_66_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_26_214 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_54_556 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_41_206 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_53_28 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_81_375 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_1_324 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_1_379 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_49_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
@@ -3080,18 +3095,16 @@
 XFILLER_76_147 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_76_136 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_45_512 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_72_320 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_72_320 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_57_394 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_17_214 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_17_269 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_45_567 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_72_397 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_72_375 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_60_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XOR2X1 vccd1 vssd1 OR2X1/Y OR2X1/B OR2X1/A OR2X1
+XFILLER_72_375 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XOR2X1 vccd1 vssd1 OR2X1/Y OR2X1/A OR2X1/B OR2X1
 XFILLER_9_402 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_43_72 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_68_80 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_9_457 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 Xinput280 la_oen[87] vssd1 vssd1 vccd1 vccd1 input280/X sky130_fd_sc_ls__clkbuf_1
 XFILLER_36_501 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_63_331 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -3099,61 +3112,70 @@
 XFILLER_48_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_36_556 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_51_504 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_63_386 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_63_386 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_23_206 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_51_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_51_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_31_261 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_58_147 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_58_136 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_27_512 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_27_545 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_27_512 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_39_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_39_394 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_54_342 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_66_191 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_27_567 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_54_375 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_14_217 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_42_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_80_48 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_80_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_80_26 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 X_180_ vssd1 vssd1 vccd1 vccd1 _180_/HI _180_/LO sky130_fd_sc_ls__conb_1
 XFILLER_13_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_10_478 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_13_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_6_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_1_121 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_77_434 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_1_198 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_77_489 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_18_501 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_38_61 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_64_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_18_545 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_18_556 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_33_504 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_45_331 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_60_312 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_33_515 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_60_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_45_386 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_72_194 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_183 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_60_356 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_33_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_33_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_60_367 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_13_261 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_9_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_13_283 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_9_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_70_92 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_70_81 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_55_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_5_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_36_320 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_48_191 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_36_375 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_24_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_50_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-Xoutput562 _149_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[91] sky130_fd_sc_ls__clkbuf_2
-Xoutput551 _139_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[81] sky130_fd_sc_ls__clkbuf_2
-Xoutput540 _129_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[71] sky130_fd_sc_ls__clkbuf_2
-Xoutput595 _189_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[2] sky130_fd_sc_ls__clkbuf_2
-Xoutput573 _187_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[0] sky130_fd_sc_ls__clkbuf_2
-Xoutput584 _188_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[1] sky130_fd_sc_ls__clkbuf_2
+XFILLER_78_209 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_59_27 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xoutput562 _145_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[91] sky130_fd_sc_ls__clkbuf_2
+Xoutput551 _135_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[81] sky130_fd_sc_ls__clkbuf_2
+Xoutput540 _125_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[71] sky130_fd_sc_ls__clkbuf_2
+Xoutput595 _185_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[2] sky130_fd_sc_ls__clkbuf_2
+Xoutput573 _183_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[0] sky130_fd_sc_ls__clkbuf_2
+Xoutput584 _184_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[1] sky130_fd_sc_ls__clkbuf_2
 XFILLER_59_434 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_75_26 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_59_489 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_46_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_27_331 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_27_331 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_15_504 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_27_386 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_42_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
@@ -3166,27 +3188,27 @@
 XFILLER_10_242 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 X_163_ vssd1 vssd1 vccd1 vccd1 _163_/HI _163_/LO sky130_fd_sc_ls__conb_1
 XFILLER_6_202 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-X_094_ vssd1 vssd1 vccd1 vccd1 _094_/HI _094_/LO sky130_fd_sc_ls__conb_1
-XFILLER_6_257 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_6_257 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_6_246 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_10_297 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_40_62 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_094_ vssd1 vssd1 vccd1 vccd1 _094_/HI _094_/LO sky130_fd_sc_ls__conb_1
 XFILLER_40_84 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_2_452 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_77_253 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_65_448 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_65_426 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_1_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_18_320 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_80_418 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_73_492 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XANTENNA_160 _091_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_193 _127_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_171 _097_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_73_481 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_18_375 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_160 _077_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_45_183 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_171 _098_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_193 _118_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 XANTENNA_182 _109_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XFILLER_68_242 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_68_297 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_28_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_56_459 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_71_418 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_24_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XPHY_307 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_24_312 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -3195,81 +3217,83 @@
 XPHY_329 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_24_356 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_24_367 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_51_164 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_61_28 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_20_540 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_20_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-Xoutput370 _222_/LO vssd1 vssd1 vccd1 vccd1 io_oeb[11] sky130_fd_sc_ls__clkbuf_2
-Xoutput392 _012_/HI vssd1 vssd1 vccd1 vccd1 io_oeb[31] sky130_fd_sc_ls__clkbuf_2
+Xoutput370 _023_/HI vssd1 vssd1 vccd1 vccd1 io_oeb[11] sky130_fd_sc_ls__clkbuf_2
+Xoutput392 _010_/HI vssd1 vssd1 vccd1 vccd1 io_oeb[31] sky130_fd_sc_ls__clkbuf_2
 Xoutput381 _005_/HI vssd1 vssd1 vccd1 vccd1 io_oeb[21] sky130_fd_sc_ls__clkbuf_2
 XFILLER_59_253 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_19_52 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_19_74 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_19_106 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_47_426 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_74_234 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_74_289 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_62_407 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_55_481 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_15_323 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_30_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_70_462 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_30_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_42_131 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_863 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_852 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_841 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_830 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_30_337 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_896 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_885 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_874 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_11_551 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_30_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 X_215_ vssd1 vssd1 vccd1 vccd1 _215_/HI _215_/LO sky130_fd_sc_ls__conb_1
-XFILLER_7_522 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_51_72 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 X_146_ vssd1 vssd1 vccd1 vccd1 _146_/HI _146_/LO sky130_fd_sc_ls__conb_1
 X_077_ vssd1 vssd1 vccd1 vccd1 _077_/HI _077_/LO sky130_fd_sc_ls__conb_1
 XFILLER_78_540 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_78_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_18_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_65_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_53_418 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_80_237 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_18_194 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_18_194 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_61_473 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_21_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 Xinput109 la_data_in[48] vssd1 vssd1 vccd1 vccd1 input109/X sky130_fd_sc_ls__clkbuf_1
 XFILLER_69_551 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_69_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_29_426 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_29_415 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_56_234 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_56_278 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_56_289 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_44_407 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_71_237 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_37_481 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_37_492 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XPHY_115 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_104 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_52_440 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_52_462 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_148 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_137 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_126 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_12_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_159 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_12_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XANTENNA_71 _051_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_60 _047_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_93 _058_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_82 _054_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XFILLER_21_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_82 _053_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_60 BUFX4/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 X_000_ vssd1 vssd1 vccd1 vccd1 _000_/HI _000_/LO sky130_fd_sc_ls__conb_1
-XFILLER_21_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_47_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_35_418 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_20_381 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_21_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_93 MUX2X1/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_71 _050_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_79_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_46_61 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_62_226 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_6 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_70_281 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_43_473 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_15_197 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_660 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_671 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_682 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_693 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_7_374 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_7_88 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_7_88 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 X_129_ vssd1 vssd1 vccd1 vccd1 _129_/HI _129_/LO sky130_fd_sc_ls__conb_1
 XFILLER_66_521 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_66_532 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -3277,40 +3301,42 @@
 XFILLER_81_513 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_66_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_66_576 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_26_407 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_38_289 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_81_557 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_53_237 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_19_481 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_21_101 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_34_462 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_34_451 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_61_292 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 Xinput80 la_data_in[21] vssd1 vssd1 vccd1 vccd1 input80/X sky130_fd_sc_ls__clkbuf_1
 Xinput91 la_data_in[31] vssd1 vssd1 vccd1 vccd1 input91/X sky130_fd_sc_ls__clkbuf_1
 XFILLER_1_517 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_29_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_57_543 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_17_418 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_72_524 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_72_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_16_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_16_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_16_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_44_226 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_25_473 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_40_454 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_52_281 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_8_116 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_8_116 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_12_178 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_32_52 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_4_344 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_79_123 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_4_399 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_79_189 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_0_561 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_48_521 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_48_532 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_48_576 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_48_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_35_237 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_16_462 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_31_410 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_50_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_43_292 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_31_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_490 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_7_182 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_54_524 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_26_226 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_81_365 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_54_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_41_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
@@ -3321,21 +3347,20 @@
 XFILLER_78_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_1_347 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_57_362 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_57_340 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_57_384 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_17_237 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_27_52 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_72_332 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_27_96 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_60_505 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_13_410 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_32_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_25_292 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XOR2X2 vccd1 vssd1 OR2X2/Y OR2X2/A OR2X2/B OR2X2
 XFILLER_13_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_40_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_4_45 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_68_81 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_67_104 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_68_92 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_0_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_67_159 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 Xinput281 la_oen[88] vssd1 vssd1 vccd1 vccd1 input281/X sky130_fd_sc_ls__clkbuf_1
 Xinput270 la_oen[78] vssd1 vssd1 vccd1 vccd1 input270/X sky130_fd_sc_ls__clkbuf_1
@@ -3343,98 +3368,83 @@
 Xinput292 la_oen[98] vssd1 vssd1 vccd1 vccd1 input292/X sky130_fd_sc_ls__clkbuf_1
 XFILLER_36_524 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_36_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_63_398 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_23_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_23_229 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_16_281 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_31_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_31_284 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_48_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_39_384 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_66_192 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_80_16 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_22_240 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_54_343 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_14_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_22_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_10_446 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_13_54 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_13_98 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_1_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_1_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_77_402 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_1_166 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_49_104 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_77_457 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_49_159 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_18_524 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_38_84 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_60_302 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_18_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_18_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_54_61 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_33_549 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_45_398 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_54_94 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_13_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_13_284 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_70_82 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_5_461 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_79_80 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_48_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_68_446 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_48_170 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_48_192 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_63_151 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_51_324 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_36_398 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_51_379 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_59_28 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-Xoutput541 _130_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[72] sky130_fd_sc_ls__clkbuf_2
-Xoutput530 _120_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[62] sky130_fd_sc_ls__clkbuf_2
-Xoutput563 _150_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[92] sky130_fd_sc_ls__clkbuf_2
-Xoutput552 _140_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[82] sky130_fd_sc_ls__clkbuf_2
+Xoutput541 _126_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[72] sky130_fd_sc_ls__clkbuf_2
+Xoutput530 _116_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[62] sky130_fd_sc_ls__clkbuf_2
+Xoutput563 _146_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[92] sky130_fd_sc_ls__clkbuf_2
+Xoutput552 _136_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[82] sky130_fd_sc_ls__clkbuf_2
 XFILLER_59_402 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-Xoutput596 _217_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[30] sky130_fd_sc_ls__clkbuf_2
-Xoutput585 _207_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[20] sky130_fd_sc_ls__clkbuf_2
-Xoutput574 _197_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[10] sky130_fd_sc_ls__clkbuf_2
+Xoutput596 _213_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[30] sky130_fd_sc_ls__clkbuf_2
+Xoutput585 _203_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[20] sky130_fd_sc_ls__clkbuf_2
+Xoutput574 _193_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[10] sky130_fd_sc_ls__clkbuf_2
 XFILLER_59_457 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_74_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_39_181 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_27_354 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_24_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_10_210 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_24_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 X_162_ vssd1 vssd1 vccd1 vccd1 _162_/HI _162_/LO sky130_fd_sc_ls__conb_1
 XFILLER_10_265 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 X_093_ vssd1 vssd1 vccd1 vccd1 _093_/HI _093_/LO sky130_fd_sc_ls__conb_1
+XFILLER_40_74 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_2_453 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_77_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_49_72 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_77_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_65_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_1_24 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_65_449 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_45_151 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XANTENNA_150 _086_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_161 _091_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XFILLER_18_398 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_73_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XANTENNA_161 _078_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_150 _069_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 XFILLER_33_324 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XANTENNA_172 _061_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_194 _128_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_183 _109_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_194 _119_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_60_132 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XANTENNA_183 _110_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_172 _098_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 XFILLER_33_379 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_81_70 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_60_187 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_14_593 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_41_390 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_68_210 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_68_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_68_265 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 Xinput1 io_in[0] vssd1 vssd1 vccd1 vccd1 input1/X sky130_fd_sc_ls__buf_2
 XFILLER_56_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_36_162 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_64_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_308 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_319 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_51_143 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_51_198 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_3_206 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 Xoutput371 _000_/HI vssd1 vssd1 vccd1 vccd1 io_oeb[12] sky130_fd_sc_ls__clkbuf_2
 XFILLER_10_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-Xoutput393 _013_/HI vssd1 vssd1 vccd1 vccd1 io_oeb[32] sky130_fd_sc_ls__clkbuf_2
-Xoutput382 _006_/HI vssd1 vssd1 vccd1 vccd1 io_oeb[22] sky130_fd_sc_ls__clkbuf_2
+Xoutput393 _011_/HI vssd1 vssd1 vccd1 vccd1 io_oeb[32] sky130_fd_sc_ls__clkbuf_2
+Xoutput382 _028_/LO vssd1 vssd1 vccd1 vccd1 io_oeb[22] sky130_fd_sc_ls__clkbuf_2
 XFILLER_59_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_19_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_74_202 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -3443,20 +3453,18 @@
 XFILLER_47_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_74_257 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_74_246 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_19_86 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_47_449 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_27_151 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_70_430 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_55_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_15_324 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_35_52 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XPHY_820 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_42_132 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_70_485 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_70_485 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_853 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_842 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_831 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_15_379 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_30_327 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_35_96 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_42_187 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_897 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
@@ -3465,66 +3473,66 @@
 XPHY_864 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 X_214_ vssd1 vssd1 vccd1 vccd1 _214_/HI _214_/LO sky130_fd_sc_ls__conb_1
 XFILLER_7_512 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_11_574 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_11_596 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 X_145_ vssd1 vssd1 vccd1 vccd1 _145_/HI _145_/LO sky130_fd_sc_ls__conb_1
-X_076_ vssd1 vssd1 vccd1 vccd1 _076_/HI _076_/LO sky130_fd_sc_ls__conb_1
+XFILLER_11_596 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_7_589 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_076_ vssd1 vssd1 vccd1 vccd1 _076_/HI _076_/LO sky130_fd_sc_ls__conb_1
+XFILLER_65_213 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_38_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_76_92 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_76_81 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_80_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_65_246 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_80_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_46_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_61_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_33_143 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_61_496 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_21_316 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_33_198 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_56_202 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_56_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_56_246 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_29_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_29_449 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_56_257 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_37_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XPHY_116 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_52_430 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_105 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_24_132 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_52_430 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_149 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_138 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_127 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_24_187 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_52_485 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XANTENNA_50 INVX1/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_83 _054_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_72 _051_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_61 _048_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_94 _058_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_50 _045_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_72 _050_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_61 BUFX4/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_83 AND2X1/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_94 MUX2X1/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 XFILLER_21_54 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_4_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_21_65 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_21_98 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_79_316 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_75_533 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_75_544 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_47_246 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_28_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_46_84 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_62_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_62_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XPHY_7 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_15_143 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_43_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_43_496 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_62_61 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_15_198 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_30_124 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_30_124 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_650 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_661 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_30_179 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_672 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_683 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_694 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_7_342 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_7_342 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_7_331 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_11_371 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 X_128_ vssd1 vssd1 vccd1 vccd1 _128_/HI _128_/LO sky130_fd_sc_ls__conb_1
-XFILLER_7_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_7_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_7_386 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 X_059_ vssd1 vssd1 vccd1 vccd1 _059_/HI _059_/LO sky130_fd_sc_ls__conb_1
 XFILLER_3_570 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
@@ -3532,6 +3540,7 @@
 XFILLER_38_202 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_38_246 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_38_257 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_81_547 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_19_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_34_430 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_34_485 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -3541,41 +3550,42 @@
 Xinput92 la_data_in[32] vssd1 vssd1 vccd1 vccd1 input92/X sky130_fd_sc_ls__clkbuf_1
 XFILLER_67_28 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_69_371 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_57_544 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_44_238 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_57_511 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_57_577 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_57_555 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_29_268 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_25_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_44_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_76 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_40_411 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_12_124 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_25_496 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_40_422 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_12_146 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_32_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_40_466 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_40_477 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_8_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_12_179 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_32_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_8_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_4_312 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_4_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_4_367 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_4_356 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_79_168 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_67_308 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_57_50 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_48_500 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_48_522 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_75_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_57_72 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_48_577 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_63_536 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_16_430 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_452 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_16_485 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_50_208 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_71_591 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_480 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_31_466 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_78_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_491 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_11_190 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_39_544 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_26_216 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_26_238 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_81_322 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_81_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_26_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_81_388 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_22_411 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
@@ -3587,22 +3597,21 @@
 XFILLER_69_190 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_27_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_45_536 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_344 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_27_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_60_517 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_13_400 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_13_422 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_27_86 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_60_539 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_399 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_53_591 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_9_426 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_43_52 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_9_448 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_43_96 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_4_131 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_4_164 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_4_57 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_68_60 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_4_79 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_68_82 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_67_127 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_0_381 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_0_381 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 Xinput271 la_oen[79] vssd1 vssd1 vccd1 vccd1 input271/X sky130_fd_sc_ls__clkbuf_1
 Xinput260 la_oen[69] vssd1 vssd1 vccd1 vccd1 input260/X sky130_fd_sc_ls__clkbuf_1
 XFILLER_63_300 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -3610,191 +3619,191 @@
 Xinput282 la_oen[89] vssd1 vssd1 vccd1 vccd1 input282/X sky130_fd_sc_ls__clkbuf_1
 XFILLER_75_182 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_63_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_23_219 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_51_528 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_8_492 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_8_492 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_58_116 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_73_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_73_119 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_66_160 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_54_311 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_54_300 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_66_171 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_54_311 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_27_536 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_39_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_81_130 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_64_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_54_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_81_141 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_42_517 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_81_185 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_54_399 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_35_591 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_42_539 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_10_414 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_50_572 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_6_407 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_10_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_13_44 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_13_88 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_1_134 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_49_127 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_49_149 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_64_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_45_300 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_57_182 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_18_569 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_72_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_33_528 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_45_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_60_336 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_54_84 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_33_539 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_41_583 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_70_61 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_9_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_70_72 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_5_473 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_79_70 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_68_414 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_68_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_55_119 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_55_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_48_171 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_36_344 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_63_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_24_517 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_51_303 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_63_174 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_17_591 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_36_399 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_51_347 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_32_572 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-Xoutput520 _111_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[53] sky130_fd_sc_ls__clkbuf_2
-Xoutput553 _141_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[83] sky130_fd_sc_ls__clkbuf_2
-Xoutput542 _131_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[73] sky130_fd_sc_ls__clkbuf_2
-Xoutput531 _121_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[63] sky130_fd_sc_ls__clkbuf_2
-Xoutput564 _151_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[93] sky130_fd_sc_ls__clkbuf_2
-Xoutput586 _208_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[21] sky130_fd_sc_ls__clkbuf_2
-Xoutput575 _198_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[11] sky130_fd_sc_ls__clkbuf_2
-Xoutput597 _218_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[31] sky130_fd_sc_ls__clkbuf_2
+Xoutput520 _107_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[53] sky130_fd_sc_ls__clkbuf_2
+Xoutput553 _137_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[83] sky130_fd_sc_ls__clkbuf_2
+Xoutput542 _127_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[73] sky130_fd_sc_ls__clkbuf_2
+Xoutput531 _117_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[63] sky130_fd_sc_ls__clkbuf_2
+Xoutput564 _147_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[93] sky130_fd_sc_ls__clkbuf_2
+Xoutput586 _204_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[21] sky130_fd_sc_ls__clkbuf_2
+Xoutput575 _194_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[11] sky130_fd_sc_ls__clkbuf_2
+Xoutput597 _214_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[31] sky130_fd_sc_ls__clkbuf_2
+XFILLER_75_28 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_27_300 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_46_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_39_182 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_54_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_27_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_15_528 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_42_336 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_30_509 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_24_65 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_23_583 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_23_583 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 X_161_ vssd1 vssd1 vccd1 vccd1 _161_/HI _161_/LO sky130_fd_sc_ls__conb_1
 XFILLER_50_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_6_226 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_6_226 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_40_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 X_092_ vssd1 vssd1 vccd1 vccd1 _092_/HI _092_/LO sky130_fd_sc_ls__conb_1
+XFILLER_40_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_2_421 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_2_410 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_2_487 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_65_406 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_37_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_18_300 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_37_119 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_18_344 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_80_409 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_73_472 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_65_72 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_45_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_73_494 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_60_122 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_60_100 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XANTENNA_140 _080_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_151 _086_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XFILLER_45_174 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_151 _070_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_60_100 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XANTENNA_140 _174_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_18_399 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_173 _099_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 XFILLER_60_155 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XANTENNA_162 _092_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_184 _113_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_173 _061_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_184 _112_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_162 _078_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 XFILLER_33_347 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XANTENNA_195 _128_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_195 _119_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 XFILLER_14_572 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_14_583 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_81_93 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_60_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_5_292 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-Xinput2 io_in[10] vssd1 vssd1 vccd1 vccd1 BUFX2/A sky130_fd_sc_ls__buf_2
+XFILLER_68_244 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+Xinput2 io_in[10] vssd1 vssd1 vccd1 vccd1 input2/X sky130_fd_sc_ls__buf_2
 XFILLER_28_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_64_450 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_24_336 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_36_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_51_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XPHY_309 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_12_509 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_51_144 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_309 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_51_166 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_32_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_20_564 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_3_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_10_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_3_229 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_10_45 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-Xoutput383 _029_/LO vssd1 vssd1 vccd1 vccd1 io_oeb[23] sky130_fd_sc_ls__clkbuf_2
-Xoutput394 _032_/LO vssd1 vssd1 vccd1 vccd1 io_oeb[33] sky130_fd_sc_ls__clkbuf_2
-Xoutput372 _025_/LO vssd1 vssd1 vccd1 vccd1 io_oeb[13] sky130_fd_sc_ls__clkbuf_2
+Xoutput383 _006_/HI vssd1 vssd1 vccd1 vccd1 io_oeb[23] sky130_fd_sc_ls__clkbuf_2
+Xoutput394 _012_/HI vssd1 vssd1 vccd1 vccd1 io_oeb[33] sky130_fd_sc_ls__clkbuf_2
+Xoutput372 _001_/HI vssd1 vssd1 vccd1 vccd1 io_oeb[13] sky130_fd_sc_ls__clkbuf_2
 XFILLER_19_54 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_19_119 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_19_98 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_27_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_27_174 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_35_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_42_100 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_810 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_15_347 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_35_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_35_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_854 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_843 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_832 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_821 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_30_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_42_155 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_887 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_876 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_865 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_11_520 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 X_213_ vssd1 vssd1 vccd1 vccd1 _213_/HI _213_/LO sky130_fd_sc_ls__conb_1
+XFILLER_11_520 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_898 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_11_564 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_7_524 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_11_564 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_51_52 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_7_546 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 X_144_ vssd1 vssd1 vccd1 vccd1 _144_/HI _144_/LO sky130_fd_sc_ls__conb_1
+XFILLER_7_546 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_51_96 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 X_075_ vssd1 vssd1 vccd1 vccd1 _075_/HI _075_/LO sky130_fd_sc_ls__conb_1
 XFILLER_2_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_78_564 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_2_295 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_65_214 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_38_406 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_80_206 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_65_269 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_80_239 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_80_206 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_18_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_33_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_73_291 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_21_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_33_166 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_14_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_21_339 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_21_339 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_69_520 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_69_575 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_29_439 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_71_206 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_37_461 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_24_100 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_37_494 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_72_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_106 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_128 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_117 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_24_155 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_52_464 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_12_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XANTENNA_40 _041_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_40 _034_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_51 _045_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_84 AND2X1/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_73 INVX1/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 XANTENNA_62 _048_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_51 INVX2/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XFILLER_20_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XANTENNA_84 OR2X1/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_73 _052_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_95 MUX2X1/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 XFILLER_21_44 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XANTENNA_95 _036_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XFILLER_21_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_79_339 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_79_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_75_512 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_47_214 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_75_567 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_47_269 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_35_409 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_55_291 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_8 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_15_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_15_166 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_15_166 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_30_136 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XPHY_640 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_651 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_662 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
@@ -3804,7 +3813,7 @@
 XPHY_684 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_695 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_7_310 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_7_24 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_7_24 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_11_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 X_127_ vssd1 vssd1 vccd1 vccd1 _127_/HI _127_/LO sky130_fd_sc_ls__conb_1
 XFILLER_11_394 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -3817,53 +3826,48 @@
 XFILLER_81_515 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_53_206 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_81_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_46_291 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_61_261 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 Xinput60 la_data_in[119] vssd1 vssd1 vccd1 vccd1 input60/X sky130_fd_sc_ls__clkbuf_1
-Xinput71 la_data_in[13] vssd1 vssd1 vccd1 vccd1 input71/X sky130_fd_sc_ls__clkbuf_1
+Xinput71 la_data_in[13] vssd1 vssd1 vccd1 vccd1 XOR2X1/A sky130_fd_sc_ls__buf_1
 Xinput82 la_data_in[23] vssd1 vssd1 vccd1 vccd1 input82/X sky130_fd_sc_ls__clkbuf_1
 Xinput93 la_data_in[33] vssd1 vssd1 vccd1 vccd1 input93/X sky130_fd_sc_ls__clkbuf_1
-XFILLER_57_512 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_69_394 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_69_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_29_214 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_57_567 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_29_236 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_72_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_37_291 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_44 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_12_136 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_12_147 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_88 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_32_65 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_20_191 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_79_103 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_79_136 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_0_541 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_48_501 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_0_585 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_48_545 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_75_331 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_63_504 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_48_556 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_75_386 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_35_206 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_63_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_63_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_73_72 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_73_50 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_43_261 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_31_434 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_470 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_481 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_492 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_31_489 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_7_184 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_66_320 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_39_512 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_78_191 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_66_353 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_66_342 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_39_567 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_81_323 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_66_375 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_81_356 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_81_334 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_54_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_1_316 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_76_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_57_331 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_57_331 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_72_312 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_72_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_57_386 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -3873,19 +3877,20 @@
 XFILLER_72_356 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_45_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_45_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_72_389 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_72_367 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_53_592 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_13_434 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_25_261 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_43_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_40_242 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_43_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_9_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_9_449 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_13_489 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_40_297 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_4_143 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_4_25 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_4_187 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_68_61 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_0_360 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 Xinput272 la_oen[7] vssd1 vssd1 vccd1 vccd1 input272/X sky130_fd_sc_ls__clkbuf_1
 Xinput250 la_oen[5] vssd1 vssd1 vccd1 vccd1 input250/X sky130_fd_sc_ls__clkbuf_1
@@ -3897,34 +3902,36 @@
 XFILLER_36_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_31_253 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_58_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XINVX8 vccd1 vssd1 INVX8/Y INVX8/A INVX8
 XFILLER_39_331 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_54_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_27_504 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_39_375 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_39_386 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_66_194 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_54_334 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_27_537 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_27_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_27_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_81_153 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_54_367 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_14_209 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_81_197 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_81_186 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_80_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_22_242 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_50_540 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_13_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_50_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_13_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_22_297 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_77_426 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_38_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_38_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_18_537 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_18_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_60_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_175 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_60_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_41_551 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_13_253 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_41_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_70_84 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_5_474 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_5_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_5_496 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_36_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
@@ -3934,75 +3941,73 @@
 XFILLER_36_367 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_32_540 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_32_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-Xoutput510 _102_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[44] sky130_fd_sc_ls__clkbuf_2
-Xoutput554 _142_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[84] sky130_fd_sc_ls__clkbuf_2
-Xoutput543 _132_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[74] sky130_fd_sc_ls__clkbuf_2
-Xoutput532 _122_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[64] sky130_fd_sc_ls__clkbuf_2
-Xoutput521 _112_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[54] sky130_fd_sc_ls__clkbuf_2
-Xoutput587 _209_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[22] sky130_fd_sc_ls__clkbuf_2
-Xoutput565 _152_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[94] sky130_fd_sc_ls__clkbuf_2
-Xoutput576 _199_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[12] sky130_fd_sc_ls__clkbuf_2
+Xoutput510 _098_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[44] sky130_fd_sc_ls__clkbuf_2
+Xoutput554 _138_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[84] sky130_fd_sc_ls__clkbuf_2
+Xoutput543 _128_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[74] sky130_fd_sc_ls__clkbuf_2
+Xoutput532 _118_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[64] sky130_fd_sc_ls__clkbuf_2
+Xoutput521 _108_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[54] sky130_fd_sc_ls__clkbuf_2
+Xoutput587 _205_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[22] sky130_fd_sc_ls__clkbuf_2
+Xoutput565 _148_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[94] sky130_fd_sc_ls__clkbuf_2
+Xoutput576 _195_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[12] sky130_fd_sc_ls__clkbuf_2
 XFILLER_5_90 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_59_426 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-Xoutput598 _190_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[3] sky130_fd_sc_ls__clkbuf_2
-XFILLER_75_18 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xoutput598 _186_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[3] sky130_fd_sc_ls__clkbuf_2
 XFILLER_74_407 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_67_481 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_27_378 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_42_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_23_551 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_42_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_160_ vssd1 vssd1 vccd1 vccd1 _160_/HI _160_/LO sky130_fd_sc_ls__conb_1
 XFILLER_10_234 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_24_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_23_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-X_160_ vssd1 vssd1 vccd1 vccd1 _160_/HI _160_/LO sky130_fd_sc_ls__conb_1
-XFILLER_6_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_10_289 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_40_54 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 X_091_ vssd1 vssd1 vccd1 vccd1 _091_/HI _091_/LO sky130_fd_sc_ls__conb_1
+XFILLER_6_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_10_289 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_2_455 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_49_52 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_77_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_49_96 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_49_96 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_65_418 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_18_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_37_109 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_18_312 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_18_356 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_18_367 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XANTENNA_152 _087_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_141 _080_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_130 _070_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_174 _102_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_163 _092_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_18_367 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_73_473 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_141 _176_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_152 _070_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_130 _166_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_45_175 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_163 _082_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_185 _112_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_174 _099_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 XFILLER_14_540 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XANTENNA_185 _063_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_196 _129_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XFILLER_53_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_196 _120_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_14_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_5_271 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_68_234 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_53_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_68_289 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_56_407 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-Xinput3 io_in[11] vssd1 vssd1 vccd1 vccd1 input3/X sky130_fd_sc_ls__clkbuf_1
+Xinput3 io_in[11] vssd1 vssd1 vccd1 vccd1 input3/X sky130_fd_sc_ls__buf_2
 XFILLER_49_481 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_64_462 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_24_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_24_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_20_521 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_20_532 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_20_576 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_20_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-Xoutput395 _014_/HI vssd1 vssd1 vccd1 vccd1 io_oeb[34] sky130_fd_sc_ls__clkbuf_2
-Xoutput384 _007_/HI vssd1 vssd1 vccd1 vccd1 io_oeb[24] sky130_fd_sc_ls__clkbuf_2
-Xoutput373 _001_/HI vssd1 vssd1 vccd1 vccd1 io_oeb[14] sky130_fd_sc_ls__clkbuf_2
+Xoutput395 _033_/LO vssd1 vssd1 vccd1 vccd1 io_oeb[34] sky130_fd_sc_ls__clkbuf_2
+Xoutput384 _029_/LO vssd1 vssd1 vccd1 vccd1 io_oeb[24] sky130_fd_sc_ls__clkbuf_2
+Xoutput373 _024_/LO vssd1 vssd1 vccd1 vccd1 io_oeb[14] sky130_fd_sc_ls__clkbuf_2
 XFILLER_59_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_19_44 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_47_418 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_74_226 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_55_473 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_15_315 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_70_454 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_70_454 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_811 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_800 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_35_54 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_42_123 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_844 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_833 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_822 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
@@ -4020,42 +4025,41 @@
 XFILLER_78_532 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_78_576 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_76_61 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_65_237 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_80_229 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_65_237 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_80_229 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_61_410 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_46_462 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_73_292 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_61_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_29_418 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_56_226 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_71_229 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_71_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_37_473 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_37_484 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_64_281 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_107 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_129 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_118 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XANTENNA_30 _016_/HI vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_41 BUFX2/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_52 INVX2/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_74 _052_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_63 MUX2X1/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_52_454 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_41 _034_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_30 _009_/HI vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_63 _048_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_74 INVX1/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_52 AOI22X1/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 XFILLER_21_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XANTENNA_85 OR2X1/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_96 _036_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_96 _057_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_85 INVX8/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 XFILLER_4_528 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_4_517 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_21_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_47_237 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_21_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_47_237 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_46_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_62_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_28_462 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_43_410 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_46_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_55_292 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_9 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_43_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_70_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_30_126 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_15_189 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_630 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_641 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_652 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
@@ -4063,8 +4067,8 @@
 XPHY_674 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_685 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_696 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_7_366 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 X_126_ vssd1 vssd1 vccd1 vccd1 _126_/HI _126_/LO sky130_fd_sc_ls__conb_1
+XFILLER_7_366 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 X_057_ vssd1 vssd1 vccd1 vccd1 _057_/HI _057_/LO sky130_fd_sc_ls__conb_1
 XFILLER_66_524 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_16_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -4074,62 +4078,63 @@
 XFILLER_53_229 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_53_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_19_473 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_34_454 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_34_443 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_46_281 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_61_284 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_61_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_21_159 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-Xinput50 la_data_in[10] vssd1 vssd1 vccd1 vccd1 input50/X sky130_fd_sc_ls__clkbuf_1
-Xinput61 la_data_in[11] vssd1 vssd1 vccd1 vccd1 input61/X sky130_fd_sc_ls__clkbuf_1
-Xinput72 la_data_in[14] vssd1 vssd1 vccd1 vccd1 input72/X sky130_fd_sc_ls__clkbuf_1
+Xinput50 la_data_in[10] vssd1 vssd1 vccd1 vccd1 input50/X sky130_fd_sc_ls__buf_1
+Xinput61 la_data_in[11] vssd1 vssd1 vccd1 vccd1 input61/X sky130_fd_sc_ls__buf_1
+Xinput72 la_data_in[14] vssd1 vssd1 vccd1 vccd1 XOR2X1/B sky130_fd_sc_ls__buf_1
 Xinput94 la_data_in[34] vssd1 vssd1 vccd1 vccd1 input94/X sky130_fd_sc_ls__clkbuf_1
 Xinput83 la_data_in[24] vssd1 vssd1 vccd1 vccd1 input83/X sky130_fd_sc_ls__clkbuf_1
-XFILLER_29_237 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_16_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_16_23 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_57_535 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_29_215 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_57_557 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_44_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_25_410 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_16_45 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_16_78 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_37_292 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_25_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_80_593 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_52_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_8_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_8_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_40_446 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_32_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_32_44 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_4_336 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_0_531 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_48_524 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_57_96 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_48_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_48_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_35_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_35_229 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_56_590 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_63_549 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_16_410 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_28_281 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_16_454 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_31_402 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_43_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_43_284 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_460 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_471 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_31_457 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_482 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_493 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_11_181 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_7_174 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_7_174 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_7_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 X_109_ vssd1 vssd1 vccd1 vccd1 _109_/HI _109_/LO sky130_fd_sc_ls__conb_1
-XFILLER_66_321 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_7_196 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_26_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_81_346 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_81_335 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_19_292 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_34_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_22_446 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_78_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_1_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_1_339 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_57_354 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_57_321 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_17_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_27_44 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_72_324 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_17_229 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_27_88 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_13_402 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_25_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_25_284 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_40_210 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -4153,142 +4158,148 @@
 XFILLER_31_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_31_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_290 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_54_302 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_66_184 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_27_549 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_54_335 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_54_357 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_42_519 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_22_210 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_22_232 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_13_13 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_22_265 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_10_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_1_158 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_77_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_77_449 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_57_151 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_72_121 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_18_516 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_45_324 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_72_143 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_72_132 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_54_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_45_379 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_72_187 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_54_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_13_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_9_214 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_13_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_13_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_9_269 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_5_420 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_68_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_48_162 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_76_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_63_143 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_51_316 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_51_327 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_63_198 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_8_291 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-Xoutput511 _103_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[45] sky130_fd_sc_ls__clkbuf_2
-Xoutput500 _093_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[35] sky130_fd_sc_ls__clkbuf_2
-Xoutput533 _123_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[65] sky130_fd_sc_ls__clkbuf_2
-Xoutput544 _133_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[75] sky130_fd_sc_ls__clkbuf_2
-Xoutput522 _113_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[55] sky130_fd_sc_ls__clkbuf_2
-Xoutput566 _153_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[95] sky130_fd_sc_ls__clkbuf_2
-Xoutput555 _143_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[85] sky130_fd_sc_ls__clkbuf_2
+XFILLER_8_280 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xoutput511 _099_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[45] sky130_fd_sc_ls__clkbuf_2
+Xoutput500 _089_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[35] sky130_fd_sc_ls__clkbuf_2
+Xoutput533 _119_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[65] sky130_fd_sc_ls__clkbuf_2
+Xoutput544 _129_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[75] sky130_fd_sc_ls__clkbuf_2
+Xoutput522 _109_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[55] sky130_fd_sc_ls__clkbuf_2
+Xoutput566 _149_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[95] sky130_fd_sc_ls__clkbuf_2
+Xoutput555 _139_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[85] sky130_fd_sc_ls__clkbuf_2
 XFILLER_5_80 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-Xoutput577 _200_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[13] sky130_fd_sc_ls__clkbuf_2
+Xoutput577 _196_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[13] sky130_fd_sc_ls__clkbuf_2
 XFILLER_59_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-Xoutput588 _210_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[23] sky130_fd_sc_ls__clkbuf_2
-Xoutput599 _191_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[4] sky130_fd_sc_ls__clkbuf_2
+Xoutput588 _206_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[23] sky130_fd_sc_ls__clkbuf_2
+Xoutput599 _187_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[4] sky130_fd_sc_ls__clkbuf_2
 XFILLER_59_449 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_39_151 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_67_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_27_324 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_54_132 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_27_346 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_54_187 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_27_379 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_24_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_24_45 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_10_202 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-X_090_ vssd1 vssd1 vccd1 vccd1 _090_/HI _090_/LO sky130_fd_sc_ls__conb_1
 XFILLER_10_246 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_10_257 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_090_ vssd1 vssd1 vccd1 vccd1 _090_/HI _090_/LO sky130_fd_sc_ls__conb_1
+XFILLER_40_66 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_2_412 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_2_445 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_2_445 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_49_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_49_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_1_16 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_58_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_73_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_18_302 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_73_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_65_52 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_45_143 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_73_496 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XANTENNA_120 _178_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 XFILLER_65_96 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XANTENNA_142 _082_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_131 _070_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_60_102 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XANTENNA_120 _155_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_142 _176_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_131 _166_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 XFILLER_33_316 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XANTENNA_175 _102_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_153 _087_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XFILLER_45_198 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XANTENNA_164 _093_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XFILLER_60_179 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XANTENNA_197 _130_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_175 _100_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_60_124 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_164 _082_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_153 _071_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 XANTENNA_186 _063_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_60_179 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_197 _120_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 XFILLER_41_371 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_46_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_68_202 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_68_246 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_68_213 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_68_202 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_68_257 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-Xinput4 io_in[12] vssd1 vssd1 vccd1 vccd1 INV/A sky130_fd_sc_ls__buf_2
+Xinput4 io_in[12] vssd1 vssd1 vccd1 vccd1 input4/X sky130_fd_sc_ls__buf_2
 XFILLER_49_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_64_430 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_36_132 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_64_485 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_36_154 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_51_135 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_36_187 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_51_135 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_20_577 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_10_69 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-Xoutput396 _015_/HI vssd1 vssd1 vccd1 vccd1 io_oeb[35] sky130_fd_sc_ls__clkbuf_2
-Xoutput385 _008_/HI vssd1 vssd1 vccd1 vccd1 io_oeb[25] sky130_fd_sc_ls__clkbuf_2
-Xoutput374 _026_/LO vssd1 vssd1 vccd1 vccd1 io_oeb[15] sky130_fd_sc_ls__clkbuf_2
+Xoutput396 _013_/HI vssd1 vssd1 vccd1 vccd1 io_oeb[35] sky130_fd_sc_ls__clkbuf_2
+Xoutput385 _007_/HI vssd1 vssd1 vccd1 vccd1 io_oeb[25] sky130_fd_sc_ls__clkbuf_2
+Xoutput374 _002_/HI vssd1 vssd1 vccd1 vccd1 io_oeb[15] sky130_fd_sc_ls__clkbuf_2
 XFILLER_19_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_19_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_74_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_55_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_27_143 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_70_411 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_15_327 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_15_316 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_70_422 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_801 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_55_496 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_27_198 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_35_44 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_42_124 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_42_135 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_70_477 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_70_466 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XPHY_845 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_834 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_823 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_812 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_30_319 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_35_88 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_42_179 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_42_179 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_878 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_867 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_856 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_23_371 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 X_211_ vssd1 vssd1 vccd1 vccd1 _211_/HI _211_/LO sky130_fd_sc_ls__conb_1
 XPHY_889 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_7_504 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+X_142_ vssd1 vssd1 vccd1 vccd1 _142_/HI _142_/LO sky130_fd_sc_ls__conb_1
+XFILLER_7_504 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_11_544 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_11_555 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-X_142_ vssd1 vssd1 vccd1 vccd1 _142_/HI _142_/LO sky130_fd_sc_ls__conb_1
+XFILLER_50_190 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_51_54 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_11_588 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_7_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 X_073_ vssd1 vssd1 vccd1 vccd1 _073_/HI _073_/LO sky130_fd_sc_ls__conb_1
+XFILLER_7_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_2_242 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_65_205 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_25_9 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_38_408 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_76_84 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_18_132 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_46_430 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_80_208 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_46_485 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_61_433 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_18_187 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_18_198 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_33_135 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_21_308 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_41_190 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -4299,24 +4310,25 @@
 XFILLER_2_92 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_37_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_52_411 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_24_124 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_24_124 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_37_496 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_52_422 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_119 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_52_466 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_52_477 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_24_179 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XANTENNA_20 _030_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_31 _019_/HI vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_64 MUX2X1/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_42 BUFX2/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_75 _052_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_53 _045_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_31 _009_/HI vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_20 _029_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_53 AOI22X1/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_64 _036_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 XFILLER_20_352 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XANTENNA_86 OR2X1/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_97 _037_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_75 INVX2/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_42 _017_/HI vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_86 INVX8/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_97 _057_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 XFILLER_79_308 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_75_525 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_75_536 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_28_430 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_28_485 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_15_135 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -4327,9 +4339,11 @@
 XPHY_631 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_642 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_653 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_23_190 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_664 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_675 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_686 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_7_323 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_11_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_697 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 X_125_ vssd1 vssd1 vccd1 vccd1 _125_/HI _125_/LO sky130_fd_sc_ls__conb_1
@@ -4337,16 +4351,15 @@
 X_056_ vssd1 vssd1 vccd1 vccd1 _056_/HI _056_/LO sky130_fd_sc_ls__conb_1
 XFILLER_3_551 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_3_540 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_11_90 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_78_352 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_78_330 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_19_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_38_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_19_496 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_34_411 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_34_422 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_34_466 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_34_477 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_21_127 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_14_190 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 Xinput40 la_data_in[100] vssd1 vssd1 vccd1 vccd1 input40/X sky130_fd_sc_ls__clkbuf_1
 Xinput62 la_data_in[120] vssd1 vssd1 vccd1 vccd1 input62/X sky130_fd_sc_ls__clkbuf_1
 Xinput73 la_data_in[15] vssd1 vssd1 vccd1 vccd1 input73/X sky130_fd_sc_ls__clkbuf_1
@@ -4354,44 +4367,46 @@
 Xinput84 la_data_in[25] vssd1 vssd1 vccd1 vccd1 input84/X sky130_fd_sc_ls__clkbuf_1
 Xinput95 la_data_in[35] vssd1 vssd1 vccd1 vccd1 input95/X sky130_fd_sc_ls__clkbuf_1
 XFILLER_69_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_57_536 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_57_503 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_57_569 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_57_547 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_72_517 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_37_260 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_65_591 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_16_68 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_12_116 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_40_414 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_32_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_40_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_32_45 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_20_171 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_4_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_4_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_79_127 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_0_521 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_75_311 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_57_42 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_0_521 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_75_300 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_57_31 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_57_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_0_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_75_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_57_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_48_569 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_63_528 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_16_411 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_16_422 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_16_466 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_16_422 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_73_52 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_16_444 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_73_96 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_71_583 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_16_477 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_450 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_461 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_31_458 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_472 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_483 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_494 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_11_182 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 X_108_ vssd1 vssd1 vccd1 vccd1 _108_/HI _108_/LO sky130_fd_sc_ls__conb_1
 X_039_ vssd1 vssd1 vccd1 vccd1 _039_/HI _039_/LO sky130_fd_sc_ls__conb_1
 XFILLER_78_171 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_39_536 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_54_517 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_66_399 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_47_591 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_62_550 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_62_572 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_22_414 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_22_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -4399,11 +4414,12 @@
 XFILLER_76_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_57_300 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_69_182 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_57_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_27_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_45_528 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_336 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_27_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_60_509 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_13_414 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_53_583 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_43_44 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_9_418 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_43_88 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -4411,11 +4427,10 @@
 XFILLER_4_156 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_4_16 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_4_27 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_68_52 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_67_119 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_67_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_0_373 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_48_311 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_48_311 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 Xinput263 la_oen[71] vssd1 vssd1 vccd1 vccd1 input263/X sky130_fd_sc_ls__clkbuf_1
 Xinput252 la_oen[61] vssd1 vssd1 vccd1 vccd1 input252/X sky130_fd_sc_ls__clkbuf_1
 Xinput241 la_oen[51] vssd1 vssd1 vccd1 vccd1 input241/X sky130_fd_sc_ls__clkbuf_1
@@ -4430,17 +4445,15 @@
 XFILLER_29_591 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_48_399 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_63_347 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_44_572 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_280 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_291 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_76_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_8_462 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_58_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_39_300 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_66_152 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_39_322 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_66_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_39_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_42_509 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_81_177 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_27_528 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_35_583 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_62_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_50_564 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -4449,43 +4462,43 @@
 XFILLER_1_126 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_49_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_49_119 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_18_517 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_38_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_72_100 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_57_174 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_57_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_72_155 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_45_347 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_60_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_26_572 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_41_520 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_41_575 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_70_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_70_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_9_237 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_70_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_5_476 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_13_299 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_79_95 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_0_192 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_63_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_36_336 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_48_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_24_509 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_63_166 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_17_583 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_51_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_17_583 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_44_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_51_339 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_32_564 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-Xoutput501 _094_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[36] sky130_fd_sc_ls__clkbuf_2
-Xoutput523 _114_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[56] sky130_fd_sc_ls__clkbuf_2
-Xoutput545 _134_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[76] sky130_fd_sc_ls__clkbuf_2
-Xoutput534 _124_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[66] sky130_fd_sc_ls__clkbuf_2
-Xoutput512 _104_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[46] sky130_fd_sc_ls__clkbuf_2
-Xoutput567 _154_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[96] sky130_fd_sc_ls__clkbuf_2
-Xoutput556 _144_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[86] sky130_fd_sc_ls__clkbuf_2
-Xoutput578 _201_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[14] sky130_fd_sc_ls__clkbuf_2
-Xoutput589 _211_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[24] sky130_fd_sc_ls__clkbuf_2
+Xoutput501 _090_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[36] sky130_fd_sc_ls__clkbuf_2
+Xoutput523 _110_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[56] sky130_fd_sc_ls__clkbuf_2
+Xoutput545 _130_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[76] sky130_fd_sc_ls__clkbuf_2
+Xoutput534 _120_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[66] sky130_fd_sc_ls__clkbuf_2
+Xoutput512 _100_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[46] sky130_fd_sc_ls__clkbuf_2
+Xoutput567 _150_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[96] sky130_fd_sc_ls__clkbuf_2
+Xoutput556 _140_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[86] sky130_fd_sc_ls__clkbuf_2
+Xoutput578 _197_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[14] sky130_fd_sc_ls__clkbuf_2
+Xoutput589 _207_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[24] sky130_fd_sc_ls__clkbuf_2
 XFILLER_54_100 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_39_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_39_174 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_39_174 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_27_347 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_54_155 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_42_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_23_520 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -4493,61 +4506,60 @@
 XFILLER_50_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_6_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_40_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_40_45 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_40_78 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_40_45 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_77_214 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_2_479 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_49_54 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_49_98 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_77_269 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_65_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_45_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_65_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_18_336 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_73_464 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XANTENNA_143 _082_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_121 _178_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_110 _039_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_121 _158_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_143 _176_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_132 _167_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 XFILLER_33_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_45_166 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XANTENNA_110 _068_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_132 _071_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XFILLER_60_114 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XANTENNA_176 _104_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_154 _088_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_165 _093_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_45_166 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_60_136 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XANTENNA_165 _088_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_176 _100_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_154 _071_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_14_520 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_26_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_33_339 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_45_199 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_81_52 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_60_147 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XANTENNA_198 _130_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_187 _119_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_198 _121_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_187 _063_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 XFILLER_14_564 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_81_85 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_41_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_41_394 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_5_284 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_5_284 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_39_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-Xinput5 io_in[13] vssd1 vssd1 vccd1 vccd1 input5/X sky130_fd_sc_ls__clkbuf_1
+Xinput5 io_in[13] vssd1 vssd1 vccd1 vccd1 input5/X sky130_fd_sc_ls__buf_2
 XFILLER_36_100 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_64_464 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_36_155 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_24_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_36_188 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_20_501 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_20_501 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_32_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_20_556 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XBUFX2 vccd1 vssd1 BUFX2/Y BUFX2/A BUFX2
+XFILLER_20_556 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_10_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XBUFX2 vccd1 vssd1 BUFX2/Y BUFX2/A BUFX2
 Xoutput386 _030_/LO vssd1 vssd1 vccd1 vccd1 io_oeb[26] sky130_fd_sc_ls__clkbuf_2
-Xoutput375 _002_/HI vssd1 vssd1 vccd1 vccd1 io_oeb[16] sky130_fd_sc_ls__clkbuf_2
-Xoutput397 _033_/LO vssd1 vssd1 vccd1 vccd1 io_oeb[36] sky130_fd_sc_ls__clkbuf_2
-XFILLER_59_214 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+Xoutput375 _025_/LO vssd1 vssd1 vccd1 vccd1 io_oeb[16] sky130_fd_sc_ls__clkbuf_2
+Xoutput397 _014_/HI vssd1 vssd1 vccd1 vccd1 io_oeb[36] sky130_fd_sc_ls__clkbuf_2
 XFILLER_59_269 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_27_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_27_166 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_35_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_802 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_15_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_15_339 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_35_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_42_136 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XPHY_835 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_824 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_813 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
@@ -4563,46 +4575,48 @@
 XFILLER_23_394 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_51_44 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_7_538 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_7_516 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_51_88 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 X_072_ vssd1 vssd1 vccd1 vccd1 _072_/HI _072_/LO sky130_fd_sc_ls__conb_1
 XFILLER_78_501 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_2_265 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_2_287 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_78_556 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_65_206 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_65_217 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_18_100 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_73_261 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_61_401 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_18_155 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_73_283 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_61_434 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_61_489 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_14_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_6_593 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_69_512 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_69_567 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_52_434 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_37_453 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_109 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_24_136 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_24_147 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_52_456 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XANTENNA_32 _019_/HI vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_21 _011_/HI vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_10 _018_/HI vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_21 _029_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_32 _033_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_10 _004_/HI vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 XFILLER_20_320 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_32_191 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XANTENNA_65 MUX2X1/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_54 _045_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_43 _042_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XFILLER_20_375 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XANTENNA_87 _056_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_98 _037_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_76 _053_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_43 _017_/HI vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_65 _036_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_54 _046_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_20_375 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XANTENNA_76 INVX2/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_98 _057_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_87 _055_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_20_397 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_21_36 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_75_504 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_47_206 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_75_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_75_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_55_261 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_46_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_55_283 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_15_103 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_43_434 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_70_242 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_610 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
@@ -4617,50 +4631,45 @@
 XPHY_665 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_676 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_687 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_7_16 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_7_16 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_698 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_7_335 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_7_335 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_11_386 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 X_124_ vssd1 vssd1 vccd1 vccd1 _124_/HI _124_/LO sky130_fd_sc_ls__conb_1
 X_055_ vssd1 vssd1 vccd1 vccd1 _055_/HI _055_/LO sky130_fd_sc_ls__conb_1
 XFILLER_3_563 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_78_320 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_78_375 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_66_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_46_261 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_46_283 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_61_253 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_14_191 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-Xinput30 io_in[36] vssd1 vssd1 vccd1 vccd1 input30/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_34_467 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_21_106 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+Xinput30 io_in[36] vssd1 vssd1 vccd1 vccd1 input30/X sky130_fd_sc_ls__clkbuf_4
 Xinput63 la_data_in[121] vssd1 vssd1 vccd1 vccd1 input63/X sky130_fd_sc_ls__clkbuf_1
 Xinput41 la_data_in[101] vssd1 vssd1 vccd1 vccd1 input41/X sky130_fd_sc_ls__clkbuf_1
 Xinput52 la_data_in[111] vssd1 vssd1 vccd1 vccd1 input52/X sky130_fd_sc_ls__clkbuf_1
 Xinput96 la_data_in[36] vssd1 vssd1 vccd1 vccd1 input96/X sky130_fd_sc_ls__clkbuf_1
 Xinput74 la_data_in[16] vssd1 vssd1 vccd1 vccd1 input74/X sky130_fd_sc_ls__clkbuf_1
 Xinput85 la_data_in[26] vssd1 vssd1 vccd1 vccd1 input85/X sky130_fd_sc_ls__clkbuf_1
-XFILLER_69_320 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_69_331 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_69_386 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_57_504 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_29_206 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_57_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_57_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_29_206 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_29_228 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_65_592 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_25_434 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_37_272 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_37_283 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_37_261 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_36 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_52_242 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_80_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_25_489 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_12_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_12_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_52_297 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_20_194 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_0_500 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_57_10 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_79_128 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_0_533 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_0_577 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_57_54 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_48_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_73_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_412 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_73_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_73_42 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_71_551 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_71_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_31_426 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -4671,23 +4680,20 @@
 XPHY_473 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_484 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_495 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_7_143 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_7_143 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 X_107_ vssd1 vssd1 vccd1 vccd1 _107_/HI _107_/LO sky130_fd_sc_ls__conb_1
-XFILLER_7_198 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 X_038_ vssd1 vssd1 vccd1 vccd1 _038_/HI _038_/LO sky130_fd_sc_ls__conb_1
 XFILLER_3_371 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_66_312 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_66_312 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_66_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_21_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_39_504 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_78_194 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_66_345 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_78_194 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_39_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_39_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_81_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_66_367 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_81_337 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_19_261 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_62_540 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_34_242 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_62_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
@@ -4697,18 +4703,19 @@
 XFILLER_72_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_57_378 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_72_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_72_348 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_53_551 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_53_584 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_53_562 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_53_551 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_25_253 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_43_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_53_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_13_426 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_40_234 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_43_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_21_481 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_40_289 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_4_135 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_68_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_4_179 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_68_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 Xinput220 la_oen[32] vssd1 vssd1 vccd1 vccd1 input220/X sky130_fd_sc_ls__clkbuf_1
 XFILLER_48_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 Xinput253 la_oen[62] vssd1 vssd1 vccd1 vccd1 input253/X sky130_fd_sc_ls__clkbuf_1
@@ -4719,8 +4726,9 @@
 Xinput264 la_oen[72] vssd1 vssd1 vccd1 vccd1 input264/X sky130_fd_sc_ls__clkbuf_1
 Xinput297 wbs_adr_i[0] vssd1 vssd1 vccd1 vccd1 input297/X sky130_fd_sc_ls__clkbuf_1
 XFILLER_48_367 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_16_242 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_44_540 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_17_90 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_44_573 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_16_297 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_44_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XPHY_270 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
@@ -4728,16 +4736,18 @@
 XFILLER_8_430 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_281 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_292 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_69_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_8_485 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_3_190 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_79_481 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_66_131 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_81_101 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_54_326 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_54_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_27_529 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_54_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_81_178 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_81_167 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_35_551 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_22_234 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_35_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_50_521 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_50_532 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -4745,40 +4755,42 @@
 XFILLER_50_576 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_22_289 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_50_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_8_8 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_77_418 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_38_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_38_45 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_38_45 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_26_540 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_167 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_156 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_54_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_53_381 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_26_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_13_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_79_30 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_5_466 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_79_41 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_5_466 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_68_407 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_76_462 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_0_193 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_36_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_48_186 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_36_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_17_551 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_51_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_17_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_32_521 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_32_532 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_32_576 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_32_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-Xoutput502 _095_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[37] sky130_fd_sc_ls__clkbuf_2
-Xoutput535 _125_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[67] sky130_fd_sc_ls__clkbuf_2
-Xoutput524 _115_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[57] sky130_fd_sc_ls__clkbuf_2
-Xoutput513 _105_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[47] sky130_fd_sc_ls__clkbuf_2
-Xoutput568 _155_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[97] sky130_fd_sc_ls__clkbuf_2
-Xoutput557 _145_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[87] sky130_fd_sc_ls__clkbuf_2
-Xoutput546 _135_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[77] sky130_fd_sc_ls__clkbuf_2
+Xoutput502 _091_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[37] sky130_fd_sc_ls__clkbuf_2
+Xoutput535 _121_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[67] sky130_fd_sc_ls__clkbuf_2
+Xoutput524 _111_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[57] sky130_fd_sc_ls__clkbuf_2
+Xoutput513 _101_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[47] sky130_fd_sc_ls__clkbuf_2
+Xoutput568 _151_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[97] sky130_fd_sc_ls__clkbuf_2
+Xoutput557 _141_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[87] sky130_fd_sc_ls__clkbuf_2
+Xoutput546 _131_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[77] sky130_fd_sc_ls__clkbuf_2
 XFILLER_59_418 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-Xoutput579 _202_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[15] sky130_fd_sc_ls__clkbuf_2
+Xoutput579 _198_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[15] sky130_fd_sc_ls__clkbuf_2
 XFILLER_67_473 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_39_197 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_24_69 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_23_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_10_226 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_2_414 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_2_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
@@ -4788,56 +4800,58 @@
 XFILLER_73_410 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_58_462 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_18_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XANTENNA_100 AND2X2/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_100 _058_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 XFILLER_65_54 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_18_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XANTENNA_122 _179_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_133 _071_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_111 _068_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_144 _083_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_166 _094_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_155 _088_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XFILLER_14_521 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_73_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_133 _169_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_122 _158_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_111 _040_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_155 _073_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_166 _088_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_144 _178_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 XFILLER_14_532 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XNAND3X1 vccd1 vssd1 NAND3X1/Y input20/X input22/X input21/X NAND3X1
-XANTENNA_199 _134_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_188 _122_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_177 _104_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XNAND3X1 vccd1 vssd1 NAND3X1/Y input89/X input78/X input39/X NAND3X1
+XANTENNA_188 _114_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_177 _102_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_199 _123_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 XFILLER_14_576 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_5_296 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_68_226 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-Xinput6 io_in[14] vssd1 vssd1 vccd1 vccd1 INVX1/A sky130_fd_sc_ls__buf_2
+XFILLER_68_237 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+Xinput6 io_in[14] vssd1 vssd1 vccd1 vccd1 input6/X sky130_fd_sc_ls__clkbuf_1
 XFILLER_49_473 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_76_281 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_64_454 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_36_178 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_76_281 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_17_370 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_51_104 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_20_513 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_51_159 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_20_524 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_9_580 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_20_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-Xoutput387 _009_/HI vssd1 vssd1 vccd1 vccd1 io_oeb[27] sky130_fd_sc_ls__clkbuf_2
-Xoutput376 _027_/LO vssd1 vssd1 vccd1 vccd1 io_oeb[17] sky130_fd_sc_ls__clkbuf_2
-Xoutput398 _016_/HI vssd1 vssd1 vccd1 vccd1 io_oeb[37] sky130_fd_sc_ls__clkbuf_2
+Xoutput387 _008_/HI vssd1 vssd1 vccd1 vccd1 io_oeb[27] sky130_fd_sc_ls__clkbuf_2
+Xoutput376 _003_/HI vssd1 vssd1 vccd1 vccd1 io_oeb[17] sky130_fd_sc_ls__clkbuf_2
+Xoutput398 _034_/LO vssd1 vssd1 vccd1 vccd1 io_oeb[37] sky130_fd_sc_ls__clkbuf_2
 XFILLER_59_237 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_59_215 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_19_36 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_74_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_55_410 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_67_292 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_55_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_15_307 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_15_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_42_115 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_70_446 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_836 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_825 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_814 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_803 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_42_137 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XPHY_869 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_858 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_847 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_51_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_11_557 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 X_140_ vssd1 vssd1 vccd1 vccd1 _140_/HI _140_/LO sky130_fd_sc_ls__conb_1
+XFILLER_11_557 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_50_170 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_50_192 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_51_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 X_071_ vssd1 vssd1 vccd1 vccd1 _071_/HI _071_/LO sky130_fd_sc_ls__conb_1
 XFILLER_2_211 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
@@ -4846,42 +4860,41 @@
 XFILLER_76_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_76_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_65_229 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_65_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_58_281 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_46_410 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_61_402 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_46_454 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_73_284 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_73_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_33_104 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_61_457 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_33_159 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_25_90 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_90 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_51_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_56_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_2_61 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_37_410 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_49_292 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_37_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_37_476 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_64_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XANTENNA_22 _011_/HI vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_11 _018_/HI vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_44 _042_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_66 _049_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_55 INVX4/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_33 _220_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_88 _057_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_77 _053_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_99 AND2X2/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_24_126 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_52_446 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_11 _004_/HI vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_22 _007_/HI vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_33 _033_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_66 _036_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_55 _046_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_44 _019_/HI vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_88 _055_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_99 _058_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_77 _052_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 XINV vccd1 vssd1 INV/Y INV/A INV
 XFILLER_4_509 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_47_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_75_549 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_46_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_47_229 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_28_454 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_46_45 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_70_210 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_55_284 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_55_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_43_402 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_15_159 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
@@ -4902,54 +4915,58 @@
 XFILLER_7_358 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 X_054_ vssd1 vssd1 vccd1 vccd1 _054_/HI _054_/LO sky130_fd_sc_ls__conb_1
 XFILLER_3_586 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_78_354 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_38_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_19_410 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_19_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_46_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_61_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_34_446 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_61_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-Xinput31 io_in[37] vssd1 vssd1 vccd1 vccd1 XOR2X1/A sky130_fd_sc_ls__clkbuf_4
-Xinput20 io_in[27] vssd1 vssd1 vccd1 vccd1 input20/X sky130_fd_sc_ls__clkbuf_4
+XFILLER_14_192 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+Xinput20 io_in[27] vssd1 vssd1 vccd1 vccd1 INVX4/A sky130_fd_sc_ls__clkbuf_4
+Xinput31 io_in[37] vssd1 vssd1 vccd1 vccd1 input31/X sky130_fd_sc_ls__clkbuf_1
 Xinput64 la_data_in[122] vssd1 vssd1 vccd1 vccd1 input64/X sky130_fd_sc_ls__clkbuf_1
 Xinput53 la_data_in[112] vssd1 vssd1 vccd1 vccd1 input53/X sky130_fd_sc_ls__clkbuf_1
 Xinput42 la_data_in[102] vssd1 vssd1 vccd1 vccd1 input42/X sky130_fd_sc_ls__clkbuf_1
 Xinput97 la_data_in[37] vssd1 vssd1 vccd1 vccd1 input97/X sky130_fd_sc_ls__clkbuf_1
 Xinput75 la_data_in[17] vssd1 vssd1 vccd1 vccd1 input75/X sky130_fd_sc_ls__clkbuf_1
 Xinput86 la_data_in[27] vssd1 vssd1 vccd1 vccd1 input86/X sky130_fd_sc_ls__clkbuf_1
-XFILLER_6_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_29_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_29_229 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_6_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_57_527 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_57_549 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_65_560 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_25_402 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_37_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_37_284 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_52_210 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_80_552 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_16_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_25_457 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_80_574 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_52_265 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_40_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_32_69 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_32_36 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_4_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_79_107 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_0_501 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_0_589 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_48_516 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_75_324 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_75_379 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_57_88 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_28_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_73_54 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_16_446 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_43_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_43_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_430 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_441 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_452 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_31_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_31_449 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_31_449 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_1040 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_463 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_474 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_485 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_7_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_11_151 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_11_173 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_496 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 X_106_ vssd1 vssd1 vccd1 vccd1 _106_/HI _106_/LO sky130_fd_sc_ls__conb_1
 XFILLER_7_166 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -4958,38 +4975,31 @@
 XFILLER_3_394 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_3_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_14_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_66_357 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_19_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_19_251 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_19_284 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_47_593 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_34_210 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_34_265 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_22_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_8_60 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_8_82 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_30_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_69_151 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_57_324 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_57_346 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_27_36 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_25_210 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_25_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_25_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_40_202 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_53_596 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_13_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_13_449 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_40_246 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_40_257 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_21_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_4_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_68_76 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 Xinput210 la_oen[23] vssd1 vssd1 vccd1 vccd1 input210/X sky130_fd_sc_ls__clkbuf_1
 XFILLER_0_353 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 Xinput254 la_oen[63] vssd1 vssd1 vccd1 vccd1 input254/X sky130_fd_sc_ls__clkbuf_1
 Xinput221 la_oen[33] vssd1 vssd1 vccd1 vccd1 input221/X sky130_fd_sc_ls__clkbuf_1
 Xinput243 la_oen[53] vssd1 vssd1 vccd1 vccd1 input243/X sky130_fd_sc_ls__clkbuf_1
 Xinput232 la_oen[43] vssd1 vssd1 vccd1 vccd1 input232/X sky130_fd_sc_ls__clkbuf_1
-XFILLER_48_313 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_48_335 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_75_143 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 Xinput287 la_oen[93] vssd1 vssd1 vccd1 vccd1 input287/X sky130_fd_sc_ls__clkbuf_1
@@ -4999,8 +5009,9 @@
 XFILLER_63_316 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 Xinput298 wbs_adr_i[10] vssd1 vssd1 vccd1 vccd1 input298/X sky130_fd_sc_ls__clkbuf_1
 XFILLER_75_198 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_16_210 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_16_265 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_44_552 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_71_371 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_260 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_271 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
@@ -5008,48 +5019,54 @@
 XPHY_293 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_12_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_79_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_39_324 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_81_113 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_66_176 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_66_187 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_54_327 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_39_379 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_22_202 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_22_246 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_22_257 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_57_143 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_18_508 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_45_316 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_57_198 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_124 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_57_198 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_54_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_38_390 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_72_179 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_54_45 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_53_371 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_9_206 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_41_544 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_70_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_5_412 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_79_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_5_489 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_76_430 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_48_132 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_76_485 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_48_154 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_63_135 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_51_308 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_48_187 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_51_319 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_71_190 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_8_283 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-Xoutput536 _126_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[68] sky130_fd_sc_ls__clkbuf_2
-Xoutput525 _116_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[58] sky130_fd_sc_ls__clkbuf_2
-Xoutput514 _106_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[48] sky130_fd_sc_ls__clkbuf_2
-Xoutput503 _096_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[38] sky130_fd_sc_ls__clkbuf_2
-Xoutput569 _156_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[98] sky130_fd_sc_ls__clkbuf_2
-Xoutput558 _146_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[88] sky130_fd_sc_ls__clkbuf_2
-Xoutput547 _136_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[78] sky130_fd_sc_ls__clkbuf_2
+XFILLER_8_272 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xoutput536 _122_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[68] sky130_fd_sc_ls__clkbuf_2
+Xoutput525 _112_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[58] sky130_fd_sc_ls__clkbuf_2
+Xoutput514 _102_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[48] sky130_fd_sc_ls__clkbuf_2
+Xoutput503 _092_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[38] sky130_fd_sc_ls__clkbuf_2
+Xoutput569 _152_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[98] sky130_fd_sc_ls__clkbuf_2
+Xoutput558 _142_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[88] sky130_fd_sc_ls__clkbuf_2
+Xoutput547 _132_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[78] sky130_fd_sc_ls__clkbuf_2
 XFILLER_67_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_39_143 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_27_316 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_67_496 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_54_124 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_27_338 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_39_198 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_54_179 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_35_371 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_62_190 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_24_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_23_544 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_35_393 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_50_352 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_10_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_2_437 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
@@ -5059,45 +5076,50 @@
 XFILLER_58_485 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_65_44 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_45_135 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_112 _040_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 XFILLER_65_88 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XANTENNA_112 _172_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_134 _072_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_123 _179_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_101 _038_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_123 _163_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_134 _169_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_101 NAND2X1/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 XFILLER_33_308 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_73_488 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XANTENNA_156 _089_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_167 _094_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_145 _083_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_178 _106_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_60_116 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_167 _091_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_145 _180_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_156 _073_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_14_500 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_14_522 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_53_190 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XANTENNA_189 _122_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_189 _114_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_178 _102_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 XFILLER_81_76 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_41_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_14_81 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_14_92 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_5_253 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_68_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_1_481 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_49_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-Xinput7 io_in[15] vssd1 vssd1 vccd1 vccd1 input7/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_49_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+Xinput7 io_in[15] vssd1 vssd1 vccd1 vccd1 BUFX2/A sky130_fd_sc_ls__buf_2
+XFILLER_76_271 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_64_422 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_64_411 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_36_124 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_49_496 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_64_477 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_64_466 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_36_146 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_17_382 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_36_179 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_51_127 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_44_190 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_32_352 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-Xoutput377 _003_/HI vssd1 vssd1 vccd1 vccd1 io_oeb[18] sky130_fd_sc_ls__clkbuf_2
-Xoutput388 _010_/HI vssd1 vssd1 vccd1 vccd1 io_oeb[28] sky130_fd_sc_ls__clkbuf_2
-Xoutput399 _019_/HI vssd1 vssd1 vccd1 vccd1 io_oeb[3] sky130_fd_sc_ls__clkbuf_2
+XFILLER_20_569 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XBUFX4 vccd1 vssd1 BUFX4/Y BUFX4/A BUFX4
+Xoutput377 _026_/LO vssd1 vssd1 vccd1 vccd1 io_oeb[18] sky130_fd_sc_ls__clkbuf_2
+Xoutput388 _031_/LO vssd1 vssd1 vccd1 vccd1 io_oeb[28] sky130_fd_sc_ls__clkbuf_2
+Xoutput399 _017_/HI vssd1 vssd1 vccd1 vccd1 io_oeb[3] sky130_fd_sc_ls__clkbuf_2
+XFILLER_27_102 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_27_135 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_15_308 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_70_414 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_35_36 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_42_116 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_70_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_826 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_815 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
@@ -5110,15 +5132,15 @@
 XFILLER_11_536 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 X_070_ vssd1 vssd1 vccd1 vccd1 _070_/HI _070_/LO sky130_fd_sc_ls__conb_1
 XFILLER_2_234 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_65_219 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_18_124 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_46_411 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_46_422 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_46_466 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_46_477 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_61_425 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_18_179 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_33_127 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_14_352 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_25_80 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_80 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_91 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_41_182 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -5131,19 +5153,19 @@
 XFILLER_24_116 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_52_414 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_17_190 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_52_436 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_52_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XANTENNA_23 _013_/HI vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_12 _029_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_23 _007_/HI vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_12 _004_/HI vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 XFILLER_32_171 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XANTENNA_56 INVX4/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_34 _220_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_45 INV/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_45 _019_/HI vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_56 BUFX2/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 XFILLER_20_344 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XANTENNA_67 _049_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_78 AND2X1/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XFILLER_20_399 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XANTENNA_89 OR2X2/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_34 _013_/HI vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_78 _052_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_89 _055_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_67 CLKBUF1/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_20_377 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_75_528 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_28_411 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_28_422 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_28_466 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
@@ -5157,6 +5179,7 @@
 XPHY_623 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_634 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_11_300 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_23_182 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_645 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_656 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_667 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
@@ -5166,26 +5189,23 @@
 XFILLER_11_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_689 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 X_122_ vssd1 vssd1 vccd1 vccd1 _122_/HI _122_/LO sky130_fd_sc_ls__conb_1
-XFILLER_7_337 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_7_326 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 X_053_ vssd1 vssd1 vccd1 vccd1 _053_/HI _053_/LO sky130_fd_sc_ls__conb_1
 XFILLER_3_510 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_3_532 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_11_82 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_78_300 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_11_93 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_3_565 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_78_344 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_78_322 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_66_517 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_78_399 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_59_591 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_59_580 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_74_572 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_34_414 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_46_263 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_34_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_14_171 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_14_182 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_21_119 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-Xinput21 io_in[28] vssd1 vssd1 vccd1 vccd1 input21/X sky130_fd_sc_ls__clkbuf_4
-Xinput10 io_in[18] vssd1 vssd1 vccd1 vccd1 INVX4/A sky130_fd_sc_ls__buf_2
+XFILLER_42_491 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+Xinput21 io_in[28] vssd1 vssd1 vccd1 vccd1 input21/X sky130_fd_sc_ls__clkbuf_1
+Xinput10 io_in[18] vssd1 vssd1 vccd1 vccd1 input10/X sky130_fd_sc_ls__clkbuf_1
 Xinput32 io_in[3] vssd1 vssd1 vccd1 vccd1 AND2X2/A sky130_fd_sc_ls__buf_2
 Xinput43 la_data_in[103] vssd1 vssd1 vccd1 vccd1 input43/X sky130_fd_sc_ls__clkbuf_1
 Xinput54 la_data_in[113] vssd1 vssd1 vccd1 vccd1 input54/X sky130_fd_sc_ls__clkbuf_1
@@ -5193,32 +5213,24 @@
 Xinput87 la_data_in[28] vssd1 vssd1 vccd1 vccd1 input87/X sky130_fd_sc_ls__clkbuf_1
 Xinput76 la_data_in[18] vssd1 vssd1 vccd1 vccd1 input76/X sky130_fd_sc_ls__clkbuf_1
 Xinput98 la_data_in[38] vssd1 vssd1 vccd1 vccd1 input98/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_69_300 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_69_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_57_528 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_29_219 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_72_509 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_65_583 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_16_16 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_37_252 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_37_274 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_80_542 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_16_27 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_12_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_33_480 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_32_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_20_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_79_119 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_79_119 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_0_513 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_75_303 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_57_34 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_57_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_0_557 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_48_517 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_57_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_75_347 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_56_583 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_56_572 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_16_414 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_73_44 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_71_520 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_56_594 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_16_436 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_73_88 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_71_575 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_16_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -5232,34 +5244,38 @@
 XPHY_475 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_486 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_11_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_11_174 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_497 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 X_105_ vssd1 vssd1 vccd1 vccd1 _105_/HI _105_/LO sky130_fd_sc_ls__conb_1
+XFILLER_7_178 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_22_81 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_22_92 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 X_036_ vssd1 vssd1 vccd1 vccd1 _036_/HI _036_/LO sky130_fd_sc_ls__conb_1
-XFILLER_78_196 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_78_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_66_336 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_39_528 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_54_509 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_81_317 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_47_583 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_47_583 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_74_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_62_564 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_30_461 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_8_72 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_8_61 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_69_174 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_69_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_57_347 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_38_572 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_53_520 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_13_406 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_53_575 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_80_394 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_80_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_43_36 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_4_148 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_4_137 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_68_44 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_68_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 Xinput200 la_oen[14] vssd1 vssd1 vccd1 vccd1 input200/X sky130_fd_sc_ls__clkbuf_1
 Xinput211 la_oen[24] vssd1 vssd1 vccd1 vccd1 input211/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_0_332 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_75_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 Xinput222 la_oen[34] vssd1 vssd1 vccd1 vccd1 input222/X sky130_fd_sc_ls__clkbuf_1
 Xinput244 la_oen[54] vssd1 vssd1 vccd1 vccd1 input244/X sky130_fd_sc_ls__clkbuf_1
@@ -5276,7 +5292,6 @@
 XFILLER_63_339 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_56_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_29_583 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_44_564 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_71_394 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_71_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_31_214 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
@@ -5290,41 +5305,40 @@
 XFILLER_33_80 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_8_487 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 X_019_ vssd1 vssd1 vccd1 vccd1 _019_/HI _019_/LO sky130_fd_sc_ls__conb_1
-XFILLER_66_100 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_39_314 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_39_347 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_66_155 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_81_136 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_35_520 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_81_169 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_35_575 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_50_501 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_62_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_22_225 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_50_556 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_13_28 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_1_118 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_57_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_38_69 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_57_166 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_18_509 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_136 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_45_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_45_339 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_72_147 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_26_564 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_53_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_13_214 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_41_512 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_80_180 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_53_394 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_41_567 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_70_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_9_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_9_229 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_13_269 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_70_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_70_45 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_79_10 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_79_43 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_5_457 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_79_87 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_48_100 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_0_195 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_48_155 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_17_520 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_36_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_17_575 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -5332,22 +5346,21 @@
 XFILLER_44_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_32_556 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_74_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-Xoutput526 _117_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[59] sky130_fd_sc_ls__clkbuf_2
-Xoutput515 _107_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[49] sky130_fd_sc_ls__clkbuf_2
-Xoutput504 _097_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[39] sky130_fd_sc_ls__clkbuf_2
-Xoutput559 _147_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[89] sky130_fd_sc_ls__clkbuf_2
-Xoutput537 _127_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[69] sky130_fd_sc_ls__clkbuf_2
-Xoutput548 _137_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[79] sky130_fd_sc_ls__clkbuf_2
+Xoutput526 _113_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[59] sky130_fd_sc_ls__clkbuf_2
+Xoutput515 _103_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[49] sky130_fd_sc_ls__clkbuf_2
+Xoutput504 _093_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[39] sky130_fd_sc_ls__clkbuf_2
+Xoutput559 _143_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[89] sky130_fd_sc_ls__clkbuf_2
+Xoutput537 _123_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[69] sky130_fd_sc_ls__clkbuf_2
+Xoutput548 _133_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[79] sky130_fd_sc_ls__clkbuf_2
 XFILLER_5_84 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_39_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_39_166 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_54_136 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_27_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_27_339 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_54_147 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_23_512 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_35_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_62_191 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_35_394 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_50_320 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_23_567 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_50_375 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -5357,42 +5370,41 @@
 XFILLER_73_434 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_65_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_18_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XANTENNA_102 _038_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XFILLER_73_456 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XANTENNA_113 _172_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_124 _179_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_146 _084_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XFILLER_60_106 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XANTENNA_157 _089_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_135 _072_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XFILLER_14_501 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_102 NAND2X1/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_124 _066_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_113 _041_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_157 _075_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_73_489 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XANTENNA_146 _180_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_135 _169_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 XFILLER_26_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_81_44 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XANTENNA_168 _096_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XFILLER_60_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XANTENNA_179 _106_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_60_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_168 _091_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 XFILLER_14_556 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_41_331 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_81_66 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_41_386 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_5_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_5_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_14_71 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_41_386 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_5_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_5_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_30_81 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_30_92 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_68_206 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_1_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-Xinput8 io_in[16] vssd1 vssd1 vccd1 vccd1 INVX2/A sky130_fd_sc_ls__buf_2
+Xinput8 io_in[16] vssd1 vssd1 vccd1 vccd1 input8/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_64_456 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_36_136 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_36_158 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_36_147 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_17_394 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_32_320 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_44_191 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_32_375 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_20_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_10_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-Xoutput378 _028_/LO vssd1 vssd1 vccd1 vccd1 io_oeb[19] sky130_fd_sc_ls__clkbuf_2
-Xoutput389 _011_/HI vssd1 vssd1 vccd1 vccd1 io_oeb[29] sky130_fd_sc_ls__clkbuf_2
-XFILLER_59_206 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_67_250 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xoutput378 _004_/HI vssd1 vssd1 vccd1 vccd1 io_oeb[19] sky130_fd_sc_ls__clkbuf_2
+Xoutput389 _009_/HI vssd1 vssd1 vccd1 vccd1 io_oeb[29] sky130_fd_sc_ls__clkbuf_2
+XFILLER_67_261 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_55_434 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_55_489 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_827 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
@@ -5411,17 +5423,17 @@
 XFILLER_2_257 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_78_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_76_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_46_412 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_73_253 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_18_136 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_18_147 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_61_437 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_61_426 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_14_320 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_26_191 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XPHY_70 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_81 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_92 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_14_375 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_41_161 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 X_198_ vssd1 vssd1 vccd1 vccd1 _198_/HI _198_/LO sky130_fd_sc_ls__conb_1
 XFILLER_10_592 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_41_80 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -5432,25 +5444,23 @@
 XFILLER_37_434 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_49_261 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_64_242 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_37_489 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_64_297 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_24_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_52_448 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XANTENNA_13 _029_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_13 _016_/HI vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 XFILLER_20_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_20_312 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XANTENNA_24 _013_/HI vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_46 INV/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_35 _013_/HI vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_57 BUFX2/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 XFILLER_20_356 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XANTENNA_46 _021_/HI vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 XFILLER_32_194 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XANTENNA_35 _023_/HI vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_57 _035_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_79 AND2X1/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_24 _030_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_68 CLKBUF1/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 XFILLER_20_367 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_20_389 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_21_28 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XANTENNA_68 NAND2X1/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XFILLER_55_253 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_15_106 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XANTENNA_79 INVX4/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_55_253 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_43_426 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_46_69 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_70_234 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -5465,22 +5475,24 @@
 XFILLER_51_481 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 X_121_ vssd1 vssd1 vccd1 vccd1 _121_/HI _121_/LO sky130_fd_sc_ls__conb_1
 XPHY_679 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_7_327 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 X_052_ vssd1 vssd1 vccd1 vccd1 _052_/HI _052_/LO sky130_fd_sc_ls__conb_1
 XFILLER_3_500 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_78_312 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_78_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_78_312 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_78_367 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_78_356 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_74_540 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_19_434 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_46_242 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_19_489 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_46_275 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_74_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_34_459 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_46_297 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_61_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_21_109 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_14_194 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-Xinput22 io_in[29] vssd1 vssd1 vccd1 vccd1 input22/X sky130_fd_sc_ls__clkbuf_4
-Xinput11 io_in[19] vssd1 vssd1 vccd1 vccd1 input11/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_14_150 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_14_194 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xinput22 io_in[29] vssd1 vssd1 vccd1 vccd1 INVX8/A sky130_fd_sc_ls__clkbuf_4
+Xinput11 io_in[19] vssd1 vssd1 vccd1 vccd1 input11/X sky130_fd_sc_ls__buf_2
 Xinput33 io_in[4] vssd1 vssd1 vccd1 vccd1 AND2X2/B sky130_fd_sc_ls__buf_2
 Xinput44 la_data_in[104] vssd1 vssd1 vccd1 vccd1 input44/X sky130_fd_sc_ls__clkbuf_1
 Xinput55 la_data_in[114] vssd1 vssd1 vccd1 vccd1 input55/X sky130_fd_sc_ls__clkbuf_1
@@ -5488,23 +5500,26 @@
 Xinput77 la_data_in[19] vssd1 vssd1 vccd1 vccd1 input77/X sky130_fd_sc_ls__clkbuf_1
 Xinput88 la_data_in[29] vssd1 vssd1 vccd1 vccd1 input88/X sky130_fd_sc_ls__clkbuf_1
 Xinput99 la_data_in[39] vssd1 vssd1 vccd1 vccd1 input99/X sky130_fd_sc_ls__clkbuf_1
-XFILLER_69_312 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_65_551 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_65_551 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_65_584 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_37_253 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_80_532 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_80_521 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_65_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_25_426 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_52_234 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_40_407 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_80_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_80_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_33_481 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_52_289 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_33_492 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_79_109 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_0_503 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_57_24 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_0_569 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_56_540 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_73_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_73_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_28_242 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_73_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_73_34 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_56_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_28_297 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_410 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_43_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -5520,41 +5535,41 @@
 XPHY_1042 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_487 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_498 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-X_104_ vssd1 vssd1 vccd1 vccd1 _104_/HI _104_/LO sky130_fd_sc_ls__conb_1
 XFILLER_7_135 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_11_197 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_104_ vssd1 vssd1 vccd1 vccd1 _104_/HI _104_/LO sky130_fd_sc_ls__conb_1
 X_035_ vssd1 vssd1 vccd1 vccd1 _035_/HI _035_/LO sky130_fd_sc_ls__conb_1
 XFILLER_3_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_66_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_66_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_66_337 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_19_253 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_47_551 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_62_532 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_62_521 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_34_234 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_47_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_62_576 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_22_407 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_62_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_15_481 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_34_289 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_30_462 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_8_84 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_6_190 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_38_540 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_38_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_53_576 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_25_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_13_418 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_40_226 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_21_473 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_4_116 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_68_45 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_68_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 Xinput201 la_oen[15] vssd1 vssd1 vccd1 vccd1 input201/X sky130_fd_sc_ls__clkbuf_1
-XFILLER_0_333 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 Xinput234 la_oen[45] vssd1 vssd1 vccd1 vccd1 input234/X sky130_fd_sc_ls__clkbuf_1
 Xinput223 la_oen[35] vssd1 vssd1 vccd1 vccd1 input223/X sky130_fd_sc_ls__clkbuf_1
 Xinput245 la_oen[55] vssd1 vssd1 vccd1 vccd1 input245/X sky130_fd_sc_ls__clkbuf_1
 Xinput212 la_oen[25] vssd1 vssd1 vccd1 vccd1 input212/X sky130_fd_sc_ls__clkbuf_1
-XFILLER_48_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_0_388 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_48_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 Xinput278 la_oen[85] vssd1 vssd1 vccd1 vccd1 input278/X sky130_fd_sc_ls__clkbuf_1
 Xinput267 la_oen[75] vssd1 vssd1 vccd1 vccd1 input267/X sky130_fd_sc_ls__clkbuf_1
 Xinput256 la_oen[65] vssd1 vssd1 vccd1 vccd1 input256/X sky130_fd_sc_ls__clkbuf_1
@@ -5564,66 +5579,72 @@
 XFILLER_29_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_44_521 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_44_532 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_16_234 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_17_82 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_44_565 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_16_289 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_17_93 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_44_576 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_44_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_251 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_240 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_31_237 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_8_422 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_8_422 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_8_411 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XPHY_262 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_273 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_284 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_295 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_12_451 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_12_462 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_8_477 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_8_466 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 X_018_ vssd1 vssd1 vccd1 vccd1 _018_/HI _018_/LO sky130_fd_sc_ls__conb_1
 XFILLER_3_182 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_79_473 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_66_134 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_54_318 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_66_123 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_81_126 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_81_159 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_50_524 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_22_226 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_50_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_30_281 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_1_119 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_1_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_38_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_72_137 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_26_521 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_26_532 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_38_392 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_54_69 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_53_373 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_26_576 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_26_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_80_192 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_13_237 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_21_292 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_5_436 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_0_141 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_0_185 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_0_185 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_76_454 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_63_104 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_28_81 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_28_92 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_48_178 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_29_370 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_63_159 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_17_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_32_524 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_32_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_12_281 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_40_590 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_8_241 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_67_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 Xoutput516 _062_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[4] sky130_fd_sc_ls__clkbuf_2
 Xoutput527 _063_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[5] sky130_fd_sc_ls__clkbuf_2
-Xoutput505 _061_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[3] sky130_fd_sc_ls__clkbuf_2
-Xoutput549 _065_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[7] sky130_fd_sc_ls__clkbuf_2
-Xoutput538 _064_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[6] sky130_fd_sc_ls__clkbuf_2
-XFILLER_5_41 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_67_410 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xoutput505 NAND3X1/Y vssd1 vssd1 vccd1 vccd1 la_data_out[3] sky130_fd_sc_ls__clkbuf_2
+Xoutput549 _064_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[7] sky130_fd_sc_ls__clkbuf_2
+Xoutput538 OR2X1/Y vssd1 vssd1 vccd1 vccd1 la_data_out[6] sky130_fd_sc_ls__clkbuf_2
+XFILLER_5_41 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_79_292 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_67_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_39_189 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_62_192 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_62_170 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_10_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_40_49 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_2_406 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_6_8 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_49_36 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -5632,76 +5653,79 @@
 XFILLER_73_402 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_58_454 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_45_104 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XANTENNA_114 _174_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_125 _182_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_103 AOI21X1/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_114 _041_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_73_457 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_125 _066_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_103 _037_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 XFILLER_45_159 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XANTENNA_136 _075_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_158 _090_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_147 _084_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_169 _096_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_147 _180_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_158 _075_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_136 _067_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_169 _097_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 XFILLER_14_524 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_14_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_14_61 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_68_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_14_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_14_61 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_68_229 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_49_410 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_39_80 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_49_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-Xinput9 io_in[17] vssd1 vssd1 vccd1 vccd1 input9/X sky130_fd_sc_ls__clkbuf_1
-XFILLER_76_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_64_446 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xinput9 io_in[17] vssd1 vssd1 vccd1 vccd1 BUFX4/A sky130_fd_sc_ls__buf_2
+XFILLER_64_446 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_17_362 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_17_384 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_20_505 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-Xoutput368 _017_/HI vssd1 vssd1 vccd1 vccd1 io_oeb[0] sky130_fd_sc_ls__clkbuf_2
-Xoutput379 _018_/HI vssd1 vssd1 vccd1 vccd1 io_oeb[1] sky130_fd_sc_ls__clkbuf_2
-XFILLER_59_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_44_192 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+Xoutput368 _015_/HI vssd1 vssd1 vccd1 vccd1 io_oeb[0] sky130_fd_sc_ls__clkbuf_2
+Xoutput379 _016_/HI vssd1 vssd1 vccd1 vccd1 io_oeb[1] sky130_fd_sc_ls__clkbuf_2
+XFILLER_59_207 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_59_229 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_19_28 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_67_284 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_67_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_55_402 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_27_104 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_55_457 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_27_159 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_42_107 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_70_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_817 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_806 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_23_310 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_839 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_828 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_50_162 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_2_203 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_76_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_76_45 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_58_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_73_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_46_446 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_73_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_73_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_61_449 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_61_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XPHY_60 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_71 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_82 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_41_151 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_41_151 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_93 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 X_197_ vssd1 vssd1 vccd1 vccd1 _197_/HI _197_/LO sky130_fd_sc_ls__conb_1
 XFILLER_2_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_77_593 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_64_210 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_37_402 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_49_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_49_284 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_37_457 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_64_265 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XANTENNA_14 _029_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_25 _013_/HI vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_36 _023_/HI vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_52_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_14 _016_/HI vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_25 _030_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_47 _021_/HI vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 XFILLER_60_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XANTENNA_47 _043_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_69 NAND2X1/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_58 _035_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XFILLER_68_593 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XANTENNA_36 _014_/HI vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_69 INV/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_58 _047_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 XFILLER_55_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_28_446 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_46_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_70_202 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_55_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_55_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_15_107 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_43_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_43_449 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_70_257 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -5715,23 +5739,20 @@
 XPHY_647 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_658 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_669 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_11_324 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_23_195 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 X_120_ vssd1 vssd1 vccd1 vccd1 _120_/HI _120_/LO sky130_fd_sc_ls__conb_1
+XFILLER_11_324 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_51_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_11_379 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 X_051_ vssd1 vssd1 vccd1 vccd1 _051_/HI _051_/LO sky130_fd_sc_ls__conb_1
-XFILLER_78_302 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_3_578 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_78_346 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_19_402 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_19_457 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_46_210 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_34_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_46_265 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_36_81 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_36_92 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_42_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 Xinput12 io_in[1] vssd1 vssd1 vccd1 vccd1 AND2X1/B sky130_fd_sc_ls__buf_2
-XFILLER_52_80 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 Xinput34 io_in[5] vssd1 vssd1 vccd1 vccd1 input34/X sky130_fd_sc_ls__clkbuf_1
 Xinput23 io_in[2] vssd1 vssd1 vccd1 vccd1 input23/X sky130_fd_sc_ls__clkbuf_1
 Xinput45 la_data_in[105] vssd1 vssd1 vccd1 vccd1 input45/X sky130_fd_sc_ls__clkbuf_1
@@ -5740,25 +5761,28 @@
 Xinput89 la_data_in[2] vssd1 vssd1 vccd1 vccd1 input89/X sky130_fd_sc_ls__clkbuf_1
 Xinput56 la_data_in[115] vssd1 vssd1 vccd1 vccd1 input56/X sky130_fd_sc_ls__clkbuf_1
 XFILLER_6_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_69_324 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_69_379 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_57_519 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_37_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_80_500 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_37_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_16_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_37_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_65_596 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_16_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_25_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_25_449 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_52_202 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_80_566 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_52_246 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_52_257 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_20_132 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_33_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_20_187 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_0_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_75_327 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_48_508 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_75_316 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_57_47 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_28_210 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_28_265 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_56_596 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_16_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_71_544 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_400 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_411 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
@@ -5776,15 +5800,14 @@
 XFILLER_11_143 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_488 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_499 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-X_103_ vssd1 vssd1 vccd1 vccd1 _103_/HI _103_/LO sky130_fd_sc_ls__conb_1
-XFILLER_7_147 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_11_198 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_22_61 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_103_ vssd1 vssd1 vccd1 vccd1 _103_/HI _103_/LO sky130_fd_sc_ls__conb_1
 X_034_ vssd1 vssd1 vccd1 vccd1 _034_/HI _034_/LO sky130_fd_sc_ls__conb_1
 XFILLER_3_331 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_3_386 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_78_132 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_78_187 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_66_316 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_19_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_47_80 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_19_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -5792,28 +5815,25 @@
 XFILLER_34_246 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_34_257 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_15_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_30_430 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_8_52 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_30_485 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_69_143 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_57_316 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_57_316 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_69_198 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_57_338 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_27_28 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_65_371 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_25_202 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_80_352 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_53_544 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_80_385 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_40_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_21_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_21_496 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_4_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_68_68 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 Xinput202 la_oen[16] vssd1 vssd1 vccd1 vccd1 input202/X sky130_fd_sc_ls__clkbuf_1
 XFILLER_0_345 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 Xinput235 la_oen[46] vssd1 vssd1 vccd1 vccd1 input235/X sky130_fd_sc_ls__clkbuf_1
 Xinput213 la_oen[26] vssd1 vssd1 vccd1 vccd1 input213/X sky130_fd_sc_ls__clkbuf_1
 Xinput224 la_oen[36] vssd1 vssd1 vccd1 vccd1 input224/X sky130_fd_sc_ls__clkbuf_1
-XFILLER_0_389 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_75_135 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 Xinput257 la_oen[66] vssd1 vssd1 vccd1 vccd1 input257/X sky130_fd_sc_ls__clkbuf_1
 Xinput246 la_oen[56] vssd1 vssd1 vccd1 vccd1 input246/X sky130_fd_sc_ls__clkbuf_1
@@ -5821,44 +5841,43 @@
 Xinput268 la_oen[76] vssd1 vssd1 vccd1 vccd1 input268/X sky130_fd_sc_ls__clkbuf_1
 XFILLER_48_327 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_63_308 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_16_202 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_16_246 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_16_213 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_17_50 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_16_257 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_71_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_44_577 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XPHY_252 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_241 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_230 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_8_412 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_12_430 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_263 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_274 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_285 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_12_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_12_463 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_296 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_12_485 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 X_017_ vssd1 vssd1 vccd1 vccd1 _017_/HI _017_/LO sky130_fd_sc_ls__conb_1
 XFILLER_3_161 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_79_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_39_316 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_79_496 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_66_124 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_66_135 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_12_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_66_168 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_54_319 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_66_179 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_47_371 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_81_149 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_35_544 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_62_352 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_22_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_30_293 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_57_135 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_38_49 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_45_308 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_72_116 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_26_522 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_38_382 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_65_190 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_116 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_38_371 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_72_149 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_54_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_53_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_41_536 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_79_45 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_70_69 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_79_78 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_79_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_76_422 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -5869,29 +5888,30 @@
 XFILLER_76_477 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_76_466 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_63_127 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_29_371 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_29_382 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_48_179 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_17_544 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_44_352 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_71_182 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_44_81 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_44_92 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_8_253 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_8_275 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-Xoutput517 _108_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[50] sky130_fd_sc_ls__clkbuf_2
-Xoutput506 _098_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[40] sky130_fd_sc_ls__clkbuf_2
+Xoutput517 _104_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[50] sky130_fd_sc_ls__clkbuf_2
+Xoutput506 _094_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[40] sky130_fd_sc_ls__clkbuf_2
 XFILLER_5_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-Xoutput539 _128_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[70] sky130_fd_sc_ls__clkbuf_2
-Xoutput528 _118_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[60] sky130_fd_sc_ls__clkbuf_2
+Xoutput539 _124_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[70] sky130_fd_sc_ls__clkbuf_2
+Xoutput528 _114_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[60] sky130_fd_sc_ls__clkbuf_2
 XFILLER_5_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_5_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_67_411 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_27_308 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_39_135 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_54_116 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_47_190 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_35_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_62_171 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_24_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_23_536 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_50_344 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XAOI22X1 vccd1 vssd1 AOI22X1/Y input5/X input3/X input4/X input2/X AOI22X1
 XFILLER_50_399 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_31_591 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_2_429 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
@@ -5900,15 +5920,16 @@
 XFILLER_65_36 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_58_477 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_45_127 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XANTENNA_104 AOI21X1/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_115 _174_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_137 _075_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_126 _182_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_104 _037_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_115 AOI21X1/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_126 _164_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_60_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_137 _067_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_148 _181_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 XFILLER_26_352 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XANTENNA_148 _085_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 XFILLER_81_491 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XANTENNA_159 _077_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 XFILLER_53_182 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XANTENNA_159 _090_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 XFILLER_41_300 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_81_57 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_41_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -5918,38 +5939,36 @@
 XFILLER_1_473 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_64_414 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_36_116 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_76_296 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_64_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_29_190 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_55_80 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_17_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_51_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_51_119 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_17_374 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_44_171 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_44_182 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_32_344 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_20_517 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_32_399 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_9_551 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-Xoutput369 _024_/HI vssd1 vssd1 vccd1 vccd1 io_oeb[10] sky130_fd_sc_ls__clkbuf_2
-XFILLER_67_274 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_9_551 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+Xoutput369 _022_/HI vssd1 vssd1 vccd1 vccd1 io_oeb[10] sky130_fd_sc_ls__clkbuf_2
+XFILLER_59_219 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_27_127 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_35_28 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_42_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_818 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_807 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_23_300 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_23_300 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_35_182 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_829 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_23_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_11_528 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_50_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_50_185 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_2_226 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_78_517 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_18_116 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_46_414 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_61_417 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_33_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_46_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_61_439 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_73_299 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_26_171 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_33_119 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_14_344 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -5958,81 +5977,80 @@
 XPHY_72 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_83 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_14_399 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_41_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_25_83 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_41_174 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_94 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-X_196_ vssd1 vssd1 vccd1 vccd1 _196_/HI _196_/LO sky130_fd_sc_ls__conb_1
+XFILLER_10_550 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_6_554 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_6_532 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+X_196_ vssd1 vssd1 vccd1 vccd1 _196_/HI _196_/LO sky130_fd_sc_ls__conb_1
 XFILLER_6_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_69_528 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_1_292 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_77_583 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_24_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_37_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_17_182 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_32_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XANTENNA_26 _032_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_48 _043_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_15 _007_/HI vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_15 _016_/HI vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_37 _014_/HI vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_48 _042_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 XFILLER_20_336 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XANTENNA_37 _034_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_26 _008_/HI vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 XANTENNA_59 _047_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 XFILLER_68_572 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_28_414 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_55_255 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_28_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_55_299 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_15_119 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_62_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_604 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_615 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_626 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_23_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_23_174 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_23_174 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_637 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_648 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_659 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_11_347 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_7_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 X_050_ vssd1 vssd1 vccd1 vccd1 _050_/HI _050_/LO sky130_fd_sc_ls__conb_1
 XFILLER_3_524 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_11_52 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_11_74 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_78_336 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_11_85 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_66_509 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_59_583 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_59_572 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_74_564 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_61_214 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_46_299 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_61_269 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_14_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-Xinput13 io_in[20] vssd1 vssd1 vccd1 vccd1 MUX2X1/A sky130_fd_sc_ls__buf_2
+XFILLER_14_174 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xinput13 io_in[20] vssd1 vssd1 vccd1 vccd1 input13/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_52_81 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_52_92 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 Xinput24 io_in[30] vssd1 vssd1 vccd1 vccd1 input24/X sky130_fd_sc_ls__clkbuf_1
 Xinput35 io_in[6] vssd1 vssd1 vccd1 vccd1 input35/X sky130_fd_sc_ls__clkbuf_2
 Xinput46 la_data_in[106] vssd1 vssd1 vccd1 vccd1 input46/X sky130_fd_sc_ls__clkbuf_1
-X_179_ vssd1 vssd1 vccd1 vccd1 _179_/HI _179_/LO sky130_fd_sc_ls__conb_1
 Xinput68 la_data_in[126] vssd1 vssd1 vccd1 vccd1 input68/X sky130_fd_sc_ls__clkbuf_1
 Xinput57 la_data_in[116] vssd1 vssd1 vccd1 vccd1 input57/X sky130_fd_sc_ls__clkbuf_1
 Xinput79 la_data_in[20] vssd1 vssd1 vccd1 vccd1 input79/X sky130_fd_sc_ls__clkbuf_1
 XFILLER_10_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_179_ vssd1 vssd1 vccd1 vccd1 _179_/HI _179_/LO sky130_fd_sc_ls__conb_1
 XFILLER_42_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_69_347 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_65_520 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_37_211 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_65_575 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_37_244 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_80_534 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_37_299 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_20_100 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_32_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_33_494 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_32_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_20_155 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_0_505 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_57_26 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_0_549 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_48_509 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_75_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_75_339 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_68_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_56_564 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_406 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_73_36 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_71_512 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_428 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_43_214 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_71_567 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_401 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
@@ -6047,42 +6065,43 @@
 XPHY_445 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_456 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_467 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_51_280 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XPHY_1044 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_1033 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_7_104 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_478 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_489 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 X_102_ vssd1 vssd1 vccd1 vccd1 _102_/HI _102_/LO sky130_fd_sc_ls__conb_1
-XFILLER_11_166 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_11_166 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 X_033_ vssd1 vssd1 vccd1 vccd1 _033_/HI _033_/LO sky130_fd_sc_ls__conb_1
 XFILLER_22_84 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_78_100 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_78_155 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_66_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_47_520 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_81_309 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_74_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_62_501 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_47_575 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_62_556 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_63_80 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_990 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_30_453 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_8_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_6_170 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_6_170 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_6_192 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_69_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_69_166 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_57_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_57_339 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_38_564 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_65_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_53_512 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_80_320 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_65_394 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_53_567 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_80_375 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_25_269 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_43_28 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_4_118 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_68_36 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_0_335 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_68_69 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 Xinput225 la_oen[37] vssd1 vssd1 vccd1 vccd1 input225/X sky130_fd_sc_ls__clkbuf_1
 Xinput236 la_oen[47] vssd1 vssd1 vccd1 vccd1 input236/X sky130_fd_sc_ls__clkbuf_1
 Xinput214 la_oen[27] vssd1 vssd1 vccd1 vccd1 input214/X sky130_fd_sc_ls__clkbuf_1
@@ -6095,7 +6114,7 @@
 XFILLER_29_575 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_44_501 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_71_331 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_44_556 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_247 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_31_206 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_71_386 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_242 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
@@ -6106,13 +6125,12 @@
 XPHY_275 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_286 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_8_446 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_8_424 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XPHY_297 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_33_72 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_69_9 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 X_016_ vssd1 vssd1 vccd1 vccd1 _016_/HI _016_/LO sky130_fd_sc_ls__conb_1
-XFILLER_39_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_79_431 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_39_306 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_39_339 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_66_147 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_35_512 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_47_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_74_191 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
@@ -6121,9 +6139,8 @@
 XFILLER_62_375 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_35_567 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_50_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_15_291 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_26_501 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_72_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_26_501 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_53_331 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_26_556 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_13_206 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -6135,36 +6152,35 @@
 XFILLER_70_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_21_261 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_5_405 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_79_24 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_5_449 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_0_121 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_48_136 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_48_147 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_48_147 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_17_512 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_28_61 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_56_191 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_29_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_29_394 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_44_320 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_71_150 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_17_567 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_44_375 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_32_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_44_82 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_8_210 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_8_243 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_8_210 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_60_92 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_60_81 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-Xoutput507 _099_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[41] sky130_fd_sc_ls__clkbuf_2
-Xoutput518 _109_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[51] sky130_fd_sc_ls__clkbuf_2
-Xoutput529 _119_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[61] sky130_fd_sc_ls__clkbuf_2
+XFILLER_8_265 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+Xoutput507 _095_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[41] sky130_fd_sc_ls__clkbuf_2
+Xoutput518 _105_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[51] sky130_fd_sc_ls__clkbuf_2
+Xoutput529 _115_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[61] sky130_fd_sc_ls__clkbuf_2
 XFILLER_5_98 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_4_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_67_434 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_67_489 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_54_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_35_331 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_23_504 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_23_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_35_386 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_35_386 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_50_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_50_312 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_62_194 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -6173,14 +6189,12 @@
 XFILLER_50_367 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_40_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_73_426 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_73_448 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XANTENNA_105 _158_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_116 _174_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_116 AOI21X1/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 XFILLER_26_320 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_38_191 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XANTENNA_138 _076_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_127 _185_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_149 _085_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_105 _038_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_138 _174_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_149 _069_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_127 _164_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 XFILLER_81_481 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_14_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_26_375 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -6188,11 +6202,10 @@
 XFILLER_1_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_76_242 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_1_496 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_49_434 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_49_445 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_49_489 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_76_297 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_17_331 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_36_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_36_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_17_386 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_32_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_32_312 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -6200,24 +6213,24 @@
 XFILLER_44_194 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_32_367 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_71_80 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_9_541 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_72_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_9_596 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_67_242 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_67_253 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_27_106 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_55_426 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_70_407 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_63_481 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_808 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_819 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_50_131 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_50_186 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_51_28 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_2_227 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_2_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_58_242 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_76_69 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_58_297 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_18_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_73_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_61_418 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_14_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_14_312 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_40 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
@@ -6229,28 +6242,31 @@
 XFILLER_14_367 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_84 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_95 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-X_195_ vssd1 vssd1 vccd1 vccd1 _195_/HI _195_/LO sky130_fd_sc_ls__conb_1
+XFILLER_10_540 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_6_522 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_10_573 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_41_72 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_195_ vssd1 vssd1 vccd1 vccd1 _195_/HI _195_/LO sky130_fd_sc_ls__conb_1
 XFILLER_77_551 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_2_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_49_253 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_77_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_64_234 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_37_426 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_66_91 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_52_407 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_64_289 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_45_481 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_60_462 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_20_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XANTENNA_16 _007_/HI vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_38 _034_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_27 _033_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_49 INVX1/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_27 _008_/HI vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_38 _014_/HI vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_16 _005_/HI vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_49 _042_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 XFILLER_20_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_68_540 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_68_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_55_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_70_226 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_15_109 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_43_418 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_605 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_616 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
@@ -6266,53 +6282,52 @@
 XFILLER_59_551 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_74_532 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_74_521 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_59_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_19_426 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_74_576 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_34_407 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_46_234 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_46_267 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_74_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_27_481 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_36_61 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_46_289 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_61_237 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_42_462 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_52_60 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_52_82 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-Xinput25 io_in[31] vssd1 vssd1 vccd1 vccd1 OR2X1/A sky130_fd_sc_ls__clkbuf_4
-Xinput14 io_in[21] vssd1 vssd1 vccd1 vccd1 MUX2X1/B sky130_fd_sc_ls__buf_2
+XFILLER_42_495 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xinput25 io_in[31] vssd1 vssd1 vccd1 vccd1 MUX2X1/A sky130_fd_sc_ls__clkbuf_4
+Xinput14 io_in[21] vssd1 vssd1 vccd1 vccd1 INV/A sky130_fd_sc_ls__buf_2
 Xinput36 io_in[7] vssd1 vssd1 vccd1 vccd1 input36/X sky130_fd_sc_ls__buf_2
-X_178_ vssd1 vssd1 vccd1 vccd1 _178_/HI _178_/LO sky130_fd_sc_ls__conb_1
 XFILLER_6_352 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 Xinput69 la_data_in[127] vssd1 vssd1 vccd1 vccd1 input69/X sky130_fd_sc_ls__clkbuf_1
 Xinput47 la_data_in[107] vssd1 vssd1 vccd1 vccd1 input47/X sky130_fd_sc_ls__clkbuf_1
 Xinput58 la_data_in[117] vssd1 vssd1 vccd1 vccd1 input58/X sky130_fd_sc_ls__clkbuf_1
-XFILLER_69_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_178_ vssd1 vssd1 vccd1 vccd1 _178_/HI _178_/LO sky130_fd_sc_ls__conb_1
 XFILLER_35_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_80_524 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_37_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_80_524 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_65_576 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_25_418 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_52_226 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_80_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_33_473 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_60_270 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_18_492 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_80_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_33_473 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_60_281 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_9_190 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_0_528 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_75_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_56_532 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_56_521 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_28_234 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_73_26 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_56_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_56_576 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_16_407 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_28_289 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_43_237 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_402 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_413 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_24_462 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_424 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_435 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_1023 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_1012 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_1001 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_11_101 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_446 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_457 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_468 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
@@ -6320,54 +6335,48 @@
 XPHY_1034 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 X_101_ vssd1 vssd1 vccd1 vccd1 _101_/HI _101_/LO sky130_fd_sc_ls__conb_1
 XPHY_479 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_51_292 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_7_127 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_11_189 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 X_032_ vssd1 vssd1 vccd1 vccd1 _032_/HI _032_/LO sky130_fd_sc_ls__conb_1
 XFILLER_3_300 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_3_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_66_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_19_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_59_381 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_19_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_62_524 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_62_513 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_47_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_19_267 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_34_226 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_62_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_15_473 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_30_421 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_42_281 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_980 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_8_10 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_30_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_30_454 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_991 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_8_65 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_57_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_38_521 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_38_532 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_25_215 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_38_576 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_38_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_25_237 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_53_568 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_80_387 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_21_410 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_33_270 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_40_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_33_292 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_21_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_4_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_0_325 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_68_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_0_325 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 Xinput226 la_oen[38] vssd1 vssd1 vccd1 vccd1 input226/X sky130_fd_sc_ls__clkbuf_1
 Xinput215 la_oen[28] vssd1 vssd1 vccd1 vccd1 input215/X sky130_fd_sc_ls__clkbuf_1
 Xinput204 la_oen[18] vssd1 vssd1 vccd1 vccd1 input204/X sky130_fd_sc_ls__clkbuf_1
-XFILLER_75_104 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 Xinput259 la_oen[68] vssd1 vssd1 vccd1 vccd1 input259/X sky130_fd_sc_ls__clkbuf_1
 Xinput237 la_oen[48] vssd1 vssd1 vccd1 vccd1 input237/X sky130_fd_sc_ls__clkbuf_1
 Xinput248 la_oen[58] vssd1 vssd1 vccd1 vccd1 input248/X sky130_fd_sc_ls__clkbuf_1
 XFILLER_75_159 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_44_524 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_16_226 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_17_52 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_16_237 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_17_74 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_44_557 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_210 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_17_85 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_44_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_243 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_232 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
@@ -6378,74 +6387,85 @@
 XPHY_265 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_254 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_276 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_12_454 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_8_414 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_287 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_298 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_8_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 X_015_ vssd1 vssd1 vccd1 vccd1 _015_/HI _015_/LO sky130_fd_sc_ls__conb_1
-XFILLER_79_432 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_79_410 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_3_174 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_79_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_66_115 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_58_92 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_58_81 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_22_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_66_137 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_22_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_15_292 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_30_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_57_104 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_38_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_57_159 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_72_129 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_65_181 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_26_524 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_53_365 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_53_343 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_38_384 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_26_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_80_173 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_13_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_13_229 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_21_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_21_284 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_5_428 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_5_428 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_0_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_0_177 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_76_446 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_28_84 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_71_151 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_44_50 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_29_362 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_29_384 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_71_162 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_44_61 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_81_9 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_8_255 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_8_233 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_12_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_8_299 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-Xoutput508 _100_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[42] sky130_fd_sc_ls__clkbuf_2
-Xoutput519 _110_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[52] sky130_fd_sc_ls__clkbuf_2
+Xoutput508 _096_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[42] sky130_fd_sc_ls__clkbuf_2
+Xoutput519 _106_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[52] sky130_fd_sc_ls__clkbuf_2
 XFILLER_5_33 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_79_251 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_69_80 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_79_284 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_67_402 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_39_104 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_67_457 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_67_435 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_39_159 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_62_162 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_49_28 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_58_446 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_106 _038_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_73_449 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_73_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XANTENNA_106 _160_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_139 _076_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_117 _176_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_128 _185_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_117 _154_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_128 _165_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_139 _174_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_38_192 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_81_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_81_26 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_53_151 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_14_516 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_41_324 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_81_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_14_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_14_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_14_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_41_379 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_5_214 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_49_402 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_76_210 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_39_72 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_49_435 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_49_457 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_76_265 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_76_265 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_64_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_17_354 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_72_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_13_571 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_9_520 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_9_575 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_65_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_67_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -6455,47 +6475,50 @@
 XPHY_809 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_35_151 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_63_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_23_324 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_50_132 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_23_379 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_50_154 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_4_8 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_76_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_58_210 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_58_265 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_46_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_30 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_81_290 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_54_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_41 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_25_52 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_25_41 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_52 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_63 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_74 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_25_96 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_41_143 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_85 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_96 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_41_198 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_6_501 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_6_501 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_10_596 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 X_194_ vssd1 vssd1 vccd1 vccd1 _194_/HI _194_/LO sky130_fd_sc_ls__conb_1
 XFILLER_1_261 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_49_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_77_563 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_2_45 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_77_585 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_64_202 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_37_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_37_449 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_37_449 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_49_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_66_92 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_64_257 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_64_246 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_17_151 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_60_430 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_32_132 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_45_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XANTENNA_28 _033_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_17 _005_/HI vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 XFILLER_60_485 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XANTENNA_39 _041_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_17 _008_/HI vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_28 _031_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_39 _034_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 XFILLER_32_187 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_9_372 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_9_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_9_394 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_28_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -6509,44 +6532,48 @@
 XPHY_639 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_51_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_11_316 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_23_187 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_23_198 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_51_496 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_11_54 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_3_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_3_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_11_98 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_78_316 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_78_338 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_59_596 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_19_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_46_202 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_19_449 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_46_246 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_46_257 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_46_257 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_27_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_36_84 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_42_430 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_14_132 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_14_187 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_42_485 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-Xinput26 io_in[32] vssd1 vssd1 vccd1 vccd1 OR2X1/B sky130_fd_sc_ls__clkbuf_4
-Xinput15 io_in[22] vssd1 vssd1 vccd1 vccd1 MUX2X1/S sky130_fd_sc_ls__buf_2
+XFILLER_42_485 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_52_61 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xinput26 io_in[32] vssd1 vssd1 vccd1 vccd1 MUX2X1/B sky130_fd_sc_ls__clkbuf_4
+Xinput15 io_in[22] vssd1 vssd1 vccd1 vccd1 input15/X sky130_fd_sc_ls__clkbuf_1
 Xinput37 io_in[8] vssd1 vssd1 vccd1 vccd1 input37/X sky130_fd_sc_ls__buf_2
 XFILLER_6_320 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 X_177_ vssd1 vssd1 vccd1 vccd1 _177_/HI _177_/LO sky130_fd_sc_ls__conb_1
 Xinput48 la_data_in[108] vssd1 vssd1 vccd1 vccd1 input48/X sky130_fd_sc_ls__clkbuf_1
 Xinput59 la_data_in[118] vssd1 vssd1 vccd1 vccd1 input59/X sky130_fd_sc_ls__clkbuf_1
-XFILLER_6_375 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_6_375 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_69_316 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_77_80 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_28_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_77_371 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_77_360 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_65_544 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_37_268 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_80_558 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_18_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_80_536 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_52_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_33_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_33_496 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_20_124 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_20_179 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_75_319 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_0_529 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_75_308 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_57_39 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_28_202 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_28_246 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_28_257 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -6563,34 +6590,37 @@
 XPHY_458 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_1035 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_1024 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+X_100_ vssd1 vssd1 vccd1 vccd1 _100_/HI _100_/LO sky130_fd_sc_ls__conb_1
 XFILLER_11_135 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_469 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-X_100_ vssd1 vssd1 vccd1 vccd1 _100_/HI _100_/LO sky130_fd_sc_ls__conb_1
 XFILLER_22_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 X_031_ vssd1 vssd1 vccd1 vccd1 _031_/HI _031_/LO sky130_fd_sc_ls__conb_1
 XFILLER_22_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_78_124 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_78_179 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_59_371 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_47_72 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_47_544 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_74_352 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_34_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_15_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_15_496 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_30_411 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_30_422 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_981 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_970 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_992 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_8_44 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_30_466 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_30_477 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_6_194 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_6_194 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_69_135 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_57_308 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_77_190 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_65_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_53_558 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_53_536 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_80_344 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_80_344 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_61_591 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_0_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_0_337 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 Xinput227 la_oen[39] vssd1 vssd1 vccd1 vccd1 input227/X sky130_fd_sc_ls__clkbuf_1
 Xinput216 la_oen[29] vssd1 vssd1 vccd1 vccd1 input216/X sky130_fd_sc_ls__clkbuf_1
@@ -6602,7 +6632,8 @@
 XFILLER_29_544 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_71_300 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_56_352 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_17_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_205 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_17_42 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_17_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_71_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_200 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
@@ -6613,21 +6644,19 @@
 XFILLER_12_411 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XPHY_266 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_255 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_8_404 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_244 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_277 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_12_433 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_12_455 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_12_422 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_33_52 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XPHY_288 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_299 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_12_466 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_12_477 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_33_96 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 X_014_ vssd1 vssd1 vccd1 vccd1 _014_/HI _014_/LO sky130_fd_sc_ls__conb_1
 XFILLER_3_153 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_3_131 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_39_308 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_66_116 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_79_411 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_59_190 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_81_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_47_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -6639,50 +6668,47 @@
 XFILLER_62_399 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_50_517 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_43_591 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_30_285 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_7_481 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_57_127 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_72_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_26_514 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_38_352 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_65_182 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_54_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_53_300 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_38_374 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_80_185 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_53_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_41_528 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_5_407 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_79_26 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_79_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_79_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_0_101 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_76_414 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_0_156 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_48_116 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_76_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_63_119 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_63_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_29_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_56_171 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_17_536 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_44_344 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_71_174 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_71_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_32_517 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_44_399 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_25_591 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_44_84 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_40_572 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_8_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_40_583 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_40_594 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_60_61 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_8_267 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-Xoutput509 _101_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[43] sky130_fd_sc_ls__clkbuf_2
-XFILLER_5_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+Xoutput509 _097_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[43] sky130_fd_sc_ls__clkbuf_2
+XFILLER_5_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_4_462 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_67_403 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_39_105 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_39_127 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_54_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_10_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_35_300 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_47_182 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_35_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_62_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_23_528 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_50_336 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_31_583 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -6690,85 +6716,81 @@
 XFILLER_65_28 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_58_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_45_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XANTENNA_107 _160_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XFILLER_38_171 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_107 AND2X2/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 XFILLER_45_119 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XANTENNA_118 _177_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_129 _185_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_129 _166_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_118 _154_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 XFILLER_26_344 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_81_472 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_53_174 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_53_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_14_517 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_26_399 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_26_399 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_41_347 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_14_65 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_22_572 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_5_237 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_5_237 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_30_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_5_259 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_30_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_1_410 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_1_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_76_277 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_36_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_76_288 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_17_300 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_17_322 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_57_491 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_55_72 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_17_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_29_182 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_44_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_32_336 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_20_509 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_40_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_58_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_4_281 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_67_266 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_27_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_27_119 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_35_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_35_174 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_23_347 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_50_155 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_50_177 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_2_229 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_50_100 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_78_509 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_46_406 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_73_214 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_18_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_73_269 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_61_409 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_54_461 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_31 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_25_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_26_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_81_291 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_14_336 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_42 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_25_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XPHY_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_41_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_25_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XPHY_75 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_41_155 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_41_166 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_86 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_97 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_10_542 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 X_193_ vssd1 vssd1 vccd1 vccd1 _193_/HI _193_/LO sky130_fd_sc_ls__conb_1
 XFILLER_22_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_6_524 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_6_524 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_10_575 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_41_52 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_41_96 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_6_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_77_520 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_1_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_1_284 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_2_24 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_49_211 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_77_575 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_66_71 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_17_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_17_174 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_32_100 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_60_464 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_32_155 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XANTENNA_18 _008_/HI vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_29 _016_/HI vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_29 _031_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_18 _028_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 XFILLER_20_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_68_564 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_55_214 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
@@ -6783,37 +6805,35 @@
 XFILLER_23_166 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_3_516 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_11_44 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_78_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_11_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_59_520 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_59_564 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_74_501 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_59_575 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_74_556 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_61_206 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_14_100 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_14_155 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_14_166 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_52_84 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-Xinput27 io_in[33] vssd1 vssd1 vccd1 vccd1 input27/X sky130_fd_sc_ls__clkbuf_1
-Xinput16 io_in[23] vssd1 vssd1 vccd1 vccd1 input16/X sky130_fd_sc_ls__clkbuf_1
+Xinput27 io_in[33] vssd1 vssd1 vccd1 vccd1 MUX2X1/S sky130_fd_sc_ls__clkbuf_4
+Xinput16 io_in[23] vssd1 vssd1 vccd1 vccd1 INVX1/A sky130_fd_sc_ls__clkbuf_4
 Xinput38 io_in[9] vssd1 vssd1 vccd1 vccd1 input38/X sky130_fd_sc_ls__clkbuf_1
+X_176_ vssd1 vssd1 vccd1 vccd1 _176_/HI _176_/LO sky130_fd_sc_ls__conb_1
 Xinput49 la_data_in[109] vssd1 vssd1 vccd1 vccd1 input49/X sky130_fd_sc_ls__clkbuf_1
 XFILLER_10_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-X_176_ vssd1 vssd1 vccd1 vccd1 _176_/HI _176_/LO sky130_fd_sc_ls__conb_1
 XFILLER_69_339 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_69_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_2_571 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_77_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_65_512 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_37_203 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_37_214 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_77_394 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_80_504 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_65_567 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_60_294 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_37_269 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_20_136 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_20_147 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_57_18 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_68_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_56_501 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_56_556 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_73_28 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_71_504 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_43_206 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_71_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -6826,6 +6846,7 @@
 XPHY_437 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_448 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_459 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_51_261 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_1036 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_1025 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 X_030_ vssd1 vssd1 vccd1 vccd1 _030_/HI _030_/LO sky130_fd_sc_ls__conb_1
@@ -6833,27 +6854,19 @@
 XFILLER_3_379 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_78_147 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_78_136 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_59_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_19_214 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_47_512 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_74_320 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_59_394 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_19_247 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_74_375 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_19_269 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_47_567 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_47_589 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_62_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_62_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_63_72 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_30_401 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_971 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_960 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_30_445 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_993 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_982 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_8_67 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_8_45 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_30_467 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_6_162 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_10_191 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 X_159_ vssd1 vssd1 vccd1 vccd1 _159_/HI _159_/LO sky130_fd_sc_ls__conb_1
 XFILLER_40_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -6861,16 +6874,17 @@
 XFILLER_65_331 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_38_556 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_53_504 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_80_312 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_65_386 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_53_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_80_367 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_80_356 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_53_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_33_261 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_21_434 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_21_489 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_0_305 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 Xinput217 la_oen[2] vssd1 vssd1 vccd1 vccd1 input217/X sky130_fd_sc_ls__clkbuf_1
 Xinput206 la_oen[1] vssd1 vssd1 vccd1 vccd1 input206/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_75_106 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 Xinput239 la_oen[4] vssd1 vssd1 vccd1 vccd1 input239/X sky130_fd_sc_ls__clkbuf_1
 Xinput228 la_oen[3] vssd1 vssd1 vccd1 vccd1 input228/X sky130_fd_sc_ls__clkbuf_1
 XFILLER_29_512 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -6879,8 +6893,9 @@
 XFILLER_29_567 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_56_375 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_17_54 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_44_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_44_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_201 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_17_98 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_234 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_223 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_212 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
@@ -6890,16 +6905,14 @@
 XFILLER_33_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_278 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_289 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_12_467 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_33_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_33_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_8_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 X_013_ vssd1 vssd1 vccd1 vccd1 _013_/HI _013_/LO sky130_fd_sc_ls__conb_1
-XFILLER_79_467 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_79_423 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_3_198 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_79_489 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_58_61 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_66_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_66_128 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_47_331 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_35_504 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_62_312 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -6913,127 +6926,124 @@
 XFILLER_15_261 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_790 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_30_242 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_30_297 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_7_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_38_320 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_80_131 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_26_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_80_175 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_70_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_21_253 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_79_16 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_79_49 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_0_135 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_0_113 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_0_157 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_28_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_48_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_17_504 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_28_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_29_331 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_29_331 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_29_386 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_44_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_44_312 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_71_142 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_56_194 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_17_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_17_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_44_356 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_71_164 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_44_367 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_44_74 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_8_202 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_12_242 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_40_540 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_8_235 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_12_297 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_40_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_8_257 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_60_84 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_4_430 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_4_485 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_69_60 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_67_426 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_75_481 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_50_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_62_186 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_31_551 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_50_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_31_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_73_418 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_26_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_26_312 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_38_161 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_81_440 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XANTENNA_119 _177_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_108 AND2X2/Y vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 XFILLER_26_356 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_38_194 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XANTENNA_108 _163_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_119 _155_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 XFILLER_26_367 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_22_540 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_14_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_22_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_76_234 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_39_52 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_49_426 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_49_426 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_64_407 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_39_96 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_76_289 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_57_481 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_57_481 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_72_462 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_17_367 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_17_378 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_32_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_13_540 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_13_551 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_32_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_71_72 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_9_511 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_13_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_9_588 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_67_234 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_67_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_55_418 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_48_492 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_63_473 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_23_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_23_326 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_50_123 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_2_219 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_50_178 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_2_219 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_58_234 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_46_407 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_58_289 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_73_237 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_39_481 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_54_462 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_10 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_21 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_14_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_26_131 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_14_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_81_281 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_32 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_43 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_25_54 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XPHY_54 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_65 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_14_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_76 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_87 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_98 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_10_510 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_10_532 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_25_98 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_10_532 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 X_192_ vssd1 vssd1 vccd1 vccd1 _192_/HI _192_/LO sky130_fd_sc_ls__conb_1
 XFILLER_41_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_6_514 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_10_565 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_10_565 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_10_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_41_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_41_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_49_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_2_69 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_37_418 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_64_226 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_45_473 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_72_281 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_60_454 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XANTENNA_19 _030_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_60_454 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_19 _028_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_13_370 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_70_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_9_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_68_532 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_68_521 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_68_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_68_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_68_576 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_28_407 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_55_237 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_70_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_36_462 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_23_101 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_608 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_51_410 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_51_421 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_63_292 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_619 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_51_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -7048,53 +7058,57 @@
 XFILLER_36_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_61_229 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_61_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_54_281 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_27_473 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_54_292 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_42_454 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_52_41 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_52_52 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-Xinput28 io_in[34] vssd1 vssd1 vccd1 vccd1 OR2X2/A sky130_fd_sc_ls__clkbuf_4
-Xinput17 io_in[24] vssd1 vssd1 vccd1 vccd1 input17/X sky130_fd_sc_ls__clkbuf_4
+Xinput28 io_in[34] vssd1 vssd1 vccd1 vccd1 input28/X sky130_fd_sc_ls__clkbuf_1
+Xinput17 io_in[24] vssd1 vssd1 vccd1 vccd1 input17/X sky130_fd_sc_ls__clkbuf_1
 XFILLER_6_344 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-Xinput39 la_data_in[0] vssd1 vssd1 vccd1 vccd1 XOR2X1/B sky130_fd_sc_ls__clkbuf_1
+Xinput39 la_data_in[0] vssd1 vssd1 vccd1 vccd1 input39/X sky130_fd_sc_ls__clkbuf_1
 X_175_ vssd1 vssd1 vccd1 vccd1 _175_/HI _175_/LO sky130_fd_sc_ls__conb_1
 XFILLER_6_399 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_37_237 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_77_384 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_37_237 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_65_568 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_18_462 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_18_484 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_33_410 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_52_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_60_240 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_45_292 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_60_262 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_60_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_33_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_9_182 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XCLKBUF1 vccd1 vssd1 CLKBUF1/Y input11/X CLKBUF1
 XFILLER_56_524 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_28_226 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_73_18 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_56_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_56_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_43_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_43_229 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_405 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_416 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_24_454 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_36_281 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_1004 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_427 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_24_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XPHY_438 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_449 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_51_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XPHY_1037 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_1026 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_1015 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_51_284 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_7_119 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_51_295 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_7_119 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_7_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_11_159 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_22_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_3_347 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-Xoutput490 _084_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[26] sky130_fd_sc_ls__clkbuf_2
+Xoutput490 _080_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[26] sky130_fd_sc_ls__clkbuf_2
+XFILLER_59_373 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_59_351 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_19_237 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_47_52 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_62_505 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_19_259 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_47_96 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_15_410 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_34_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -7105,10 +7119,11 @@
 XPHY_961 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_950 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_8_24 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_30_446 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_994 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_983 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_8_57 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 X_158_ vssd1 vssd1 vccd1 vccd1 _158_/HI _158_/LO sky130_fd_sc_ls__conb_1
+XFILLER_6_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 X_089_ vssd1 vssd1 vccd1 vccd1 _089_/HI _089_/LO sky130_fd_sc_ls__conb_1
 XFILLER_69_104 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_69_159 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
@@ -7116,22 +7131,20 @@
 XFILLER_33_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_38_524 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_38_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_25_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_25_207 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_25_229 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_18_281 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_18_292 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_21_402 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_33_262 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_33_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_33_284 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_21_457 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_68_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_68_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_0_317 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 Xinput207 la_oen[20] vssd1 vssd1 vccd1 vccd1 input207/X sky130_fd_sc_ls__clkbuf_1
 Xinput218 la_oen[30] vssd1 vssd1 vccd1 vccd1 input218/X sky130_fd_sc_ls__clkbuf_1
 Xinput229 la_oen[40] vssd1 vssd1 vccd1 vccd1 input229/X sky130_fd_sc_ls__clkbuf_1
 XFILLER_71_324 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_16_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_17_44 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_17_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_229 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_71_379 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_224 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_213 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
@@ -7140,137 +7153,146 @@
 XPHY_257 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_246 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_235 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_12_446 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_24_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_279 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_33_54 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 X_012_ vssd1 vssd1 vccd1 vccd1 _012_/HI _012_/LO sky130_fd_sc_ls__conb_1
 XFILLER_3_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_79_424 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_3_166 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_79_457 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_66_107 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_58_84 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_74_61 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_15_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_15_284 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_15_284 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_30_210 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_780 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_791 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_30_265 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_30_265 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_65_173 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_65_151 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_53_324 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_38_398 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_53_357 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_0_80 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_38_376 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_53_379 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_21_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_21_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_79_28 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_0_169 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_76_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_44_302 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_71_143 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_29_354 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_44_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_44_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_71_198 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_12_210 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_8_214 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_8_225 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_12_265 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_40_596 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_8_247 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_5_25 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_79_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_79_210 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_69_72 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_79_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_79_243 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_67_449 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_67_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_67_427 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_47_151 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_75_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_62_132 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_35_324 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_62_154 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_560 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_35_379 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_62_187 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_7_280 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_58_405 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_58_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_66_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XANTENNA_109 _163_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XFILLER_81_463 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XANTENNA_109 _039_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_38_184 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_81_18 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_53_143 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_14_508 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_81_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_53_198 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_41_316 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_14_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_14_45 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_5_206 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_5_206 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_30_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_1_434 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_39_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_76_202 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_1_489 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_39_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_49_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_39_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_49_449 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_76_257 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_76_246 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_39_97 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_29_151 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_72_430 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_57_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_55_52 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_17_324 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_55_96 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_44_132 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_72_485 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_44_187 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_71_40 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_13_563 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_9_512 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_9_567 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_67_213 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_63_430 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_48_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_63_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_35_143 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_63_496 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_23_316 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_35_198 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_50_135 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_50_124 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_390 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_50_146 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_31_371 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_76_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_58_202 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_58_257 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_58_246 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_54_430 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_54_430 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_39_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XPHY_11 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_22 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_26_132 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_54_485 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_33 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_44 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_25_44 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_25_33 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_26_187 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_55 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_25_88 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_66 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_41_135 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_41_157 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XPHY_88 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_99 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_10_522 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_22_382 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 X_191_ vssd1 vssd1 vccd1 vccd1 _191_/HI _191_/LO sky130_fd_sc_ls__conb_1
 XFILLER_10_577 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_41_54 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_6_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_1_253 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_77_544 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_2_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_66_84 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_77_577 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_66_84 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_64_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_17_143 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_45_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_271 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_60_422 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_60_411 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_45_496 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_293 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_17_198 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_32_124 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_60_477 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_60_466 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_32_179 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_9_331 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_13_382 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_9_375 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_9_386 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_63_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_36_430 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -7278,39 +7300,38 @@
 XFILLER_23_135 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_609 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_11_308 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_23_179 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_31_190 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_2_8 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_59_544 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_59_588 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_46_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_27_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_27_496 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_42_411 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_42_422 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_14_124 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_14_179 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_42_466 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_42_477 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_52_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-Xinput18 io_in[25] vssd1 vssd1 vccd1 vccd1 input18/X sky130_fd_sc_ls__clkbuf_4
+XFILLER_52_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xinput18 io_in[25] vssd1 vssd1 vccd1 vccd1 INVX2/A sky130_fd_sc_ls__clkbuf_4
 XFILLER_6_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_10_352 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-Xinput29 io_in[35] vssd1 vssd1 vccd1 vccd1 OR2X2/B sky130_fd_sc_ls__clkbuf_4
+Xinput29 io_in[35] vssd1 vssd1 vccd1 vccd1 input29/X sky130_fd_sc_ls__clkbuf_4
 X_174_ vssd1 vssd1 vccd1 vccd1 _174_/HI _174_/LO sky130_fd_sc_ls__conb_1
 XFILLER_6_312 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_6_367 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_6_367 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_6_356 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XMUX2X1 vccd1 vssd1 MUX2X1/Y MUX2X1/A MUX2X1/B MUX2X1/S MUX2X1
+XMUX2X1 vccd1 vssd1 MUX2X1/Y MUX2X1/S MUX2X1/A MUX2X1/B MUX2X1
+XFILLER_69_308 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_2_540 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_77_72 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_2_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_77_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_77_352 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_65_536 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_80_517 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_18_430 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_18_485 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_73_591 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_33_488 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_20_116 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_13_190 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_68_352 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
@@ -7323,9 +7344,9 @@
 XFILLER_24_422 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_1005 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_428 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_24_466 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_24_477 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_439 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_51_241 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_1038 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_1027 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_1016 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
@@ -7333,69 +7354,70 @@
 XFILLER_22_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_22_45 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_78_116 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-Xoutput480 _075_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[17] sky130_fd_sc_ls__clkbuf_2
-Xoutput491 _085_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[27] sky130_fd_sc_ls__clkbuf_2
-XFILLER_59_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xoutput480 _071_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[17] sky130_fd_sc_ls__clkbuf_2
+Xoutput491 _081_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[27] sky130_fd_sc_ls__clkbuf_2
 XFILLER_47_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_47_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_47_536 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_74_344 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_74_399 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_62_517 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_55_591 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_70_572 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_63_52 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XPHY_962 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_951 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_940 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_63_96 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_30_414 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_30_414 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_995 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_984 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_973 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_30_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_10_171 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 X_157_ vssd1 vssd1 vccd1 vccd1 _157_/HI _157_/LO sky130_fd_sc_ls__conb_1
+XFILLER_10_171 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_6_186 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 X_088_ vssd1 vssd1 vccd1 vccd1 _088_/HI _088_/LO sky130_fd_sc_ls__conb_1
 XFILLER_69_127 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_26_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_77_182 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_65_300 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_65_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_53_528 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_80_336 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_25_219 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_80_336 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_61_583 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_33_274 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_0_307 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 Xinput208 la_oen[21] vssd1 vssd1 vccd1 vccd1 input208/X sky130_fd_sc_ls__clkbuf_1
-XFILLER_75_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 Xinput219 la_oen[31] vssd1 vssd1 vccd1 vccd1 input219/X sky130_fd_sc_ls__clkbuf_1
 XFILLER_75_119 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_68_171 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_29_536 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_56_344 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_17_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_17_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_56_399 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_17_34 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_17_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_44_517 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_71_347 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_37_591 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_52_572 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_225 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_214 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_203 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_52_572 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_258 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_247 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_236 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_12_414 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_12_425 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_269 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_8_407 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_12_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_33_44 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_33_88 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 X_011_ vssd1 vssd1 vccd1 vccd1 _011_/HI _011_/LO sky130_fd_sc_ls__conb_1
 XFILLER_3_145 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_79_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_66_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_59_182 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_59_160 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_47_300 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_47_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_74_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_35_528 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -7407,31 +7429,26 @@
 XPHY_781 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_770 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_792 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_30_277 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 X_209_ vssd1 vssd1 vccd1 vccd1 _209_/HI _209_/LO sky130_fd_sc_ls__conb_1
 XFILLER_7_473 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_57_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_57_119 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_38_344 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_65_174 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_65_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_26_506 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_38_366 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_26_517 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_80_122 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_53_347 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_19_591 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_80_155 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_80_177 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_0_81 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_80_188 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_34_572 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_34_594 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_79_18 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_0_159 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_48_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_29_300 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_29_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_56_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_17_528 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_28_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_71_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_71_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_44_336 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_71_166 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_32_509 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -7440,65 +7457,62 @@
 XFILLER_60_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_40_564 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_60_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_8_259 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_4_454 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_39_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_67_439 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_39_119 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_47_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_62_100 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_35_347 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_47_174 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_62_155 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_16_572 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_31_520 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_50_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_31_575 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_38_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_26_336 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_53_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_26_336 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_53_166 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_14_509 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_41_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_41_339 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_14_24 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_34_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_22_564 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_14_79 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_5_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_30_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_5_229 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_5_229 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_30_45 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_1_402 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_1_457 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_39_54 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_49_439 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_76_269 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_55_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_29_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_17_314 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_29_174 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_44_100 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_55_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_17_347 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_17_347 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_44_155 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_32_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_13_520 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_13_520 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_71_52 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_9_535 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_71_96 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_9_546 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_40_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_4_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_67_258 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_67_214 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_67_269 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_35_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_23_306 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_23_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_23_339 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_35_166 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_16_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_50_147 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_50_169 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_50_136 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_31_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_31_394 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_73_206 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_26_100 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_54_453 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_25_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_26_155 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_81_250 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_81_261 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_23 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_14_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_34 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
@@ -7509,56 +7523,53 @@
 XPHY_78 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_89 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 X_190_ vssd1 vssd1 vccd1 vccd1 _190_/HI _190_/LO sky130_fd_sc_ls__conb_1
-XFILLER_22_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_6_505 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_41_44 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_41_88 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_1_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_77_512 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_1_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_2_16 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_77_567 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_49_214 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_66_63 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_49_269 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_17_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_17_166 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_32_136 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_32_147 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_13_350 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_9_321 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_13_394 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_13_394 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_40_191 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_56_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_5_593 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_68_501 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_68_556 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_68_589 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_55_206 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_63_261 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_51_434 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_51_489 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_11_36 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_59_512 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_59_567 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_74_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_39_291 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_36_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_14_136 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_14_147 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_52_76 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_14_158 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_42_489 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 Xinput19 io_in[26] vssd1 vssd1 vccd1 vccd1 input19/X sky130_fd_sc_ls__clkbuf_1
 XFILLER_10_320 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_22_191 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 X_173_ vssd1 vssd1 vccd1 vccd1 _173_/HI _173_/LO sky130_fd_sc_ls__conb_1
 XFILLER_10_375 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_2_563 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_77_331 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_65_504 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_37_206 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_77_386 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_65_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_65_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_45_261 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_260 _187_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 XFILLER_33_434 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_60_242 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_60_286 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_60_297 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_20_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_33_489 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_9_151 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_5_390 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_68_320 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -7567,18 +7578,17 @@
 XPHY_407 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_418 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_429 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_24_467 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_51_253 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_1028 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_1017 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_1006 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_11_106 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_1039 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_3_316 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-Xoutput470 _181_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[123] sky130_fd_sc_ls__clkbuf_2
+Xoutput470 _177_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[123] sky130_fd_sc_ls__clkbuf_2
 XFILLER_78_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_59_331 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-Xoutput492 _086_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[28] sky130_fd_sc_ls__clkbuf_2
-Xoutput481 _076_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[18] sky130_fd_sc_ls__clkbuf_2
+Xoutput492 _082_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[28] sky130_fd_sc_ls__clkbuf_2
+Xoutput481 _072_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[18] sky130_fd_sc_ls__clkbuf_2
 XFILLER_47_504 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_74_312 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_74_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
@@ -7589,39 +7599,39 @@
 XFILLER_47_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_47_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_74_367 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_27_261 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_63_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_15_434 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_930 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_70_540 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_63_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_42_242 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_963 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_952 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_941 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_70_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_15_489 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_30_437 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_42_297 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_996 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_985 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_974 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_8_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_8_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_6_132 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_10_194 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 X_156_ vssd1 vssd1 vccd1 vccd1 _156_/HI _156_/LO sky130_fd_sc_ls__conb_1
 X_087_ vssd1 vssd1 vccd1 vccd1 _087_/HI _087_/LO sky130_fd_sc_ls__conb_1
 XFILLER_2_393 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_65_323 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_19_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_38_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_80_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_80_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_80_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_80_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_61_551 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_33_253 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_61_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_21_426 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 Xinput209 la_oen[22] vssd1 vssd1 vccd1 vccd1 input209/X sky130_fd_sc_ls__clkbuf_1
 XFILLER_29_504 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_56_312 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_56_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_68_194 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_56_356 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_29_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
@@ -7631,20 +7641,18 @@
 XPHY_204 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_24_242 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_52_540 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_52_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XPHY_259 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_248 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_237 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_226 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_33_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_52_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_24_297 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_33_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 X_010_ vssd1 vssd1 vccd1 vccd1 _010_/HI _010_/LO sky130_fd_sc_ls__conb_1
 XFILLER_58_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_58_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_59_161 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_47_323 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_62_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_62_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_62_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_15_253 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_43_551 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -7654,80 +7662,84 @@
 XFILLER_30_234 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_793 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_782 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_7_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_11_481 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_30_289 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 X_208_ vssd1 vssd1 vccd1 vccd1 _208_/HI _208_/LO sky130_fd_sc_ls__conb_1
-XFILLER_7_496 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_7_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 X_139_ vssd1 vssd1 vccd1 vccd1 _139_/HI _139_/LO sky130_fd_sc_ls__conb_1
+XFILLER_7_496 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_38_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_38_312 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_38_356 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_38_367 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_65_197 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_0_93 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_34_540 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_34_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_21_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_9_91 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_0_127 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_76_407 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_0_149 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_0_149 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_69_481 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_28_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_28_45 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_29_378 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_44_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_71_134 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_25_551 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_44_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_25_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_40_521 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_44_66 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_44_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_12_234 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_40_532 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_8_227 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_40_576 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_40_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_8_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_8_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_12_289 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_5_16 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_4_422 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_4_411 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_69_41 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_5_49 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_4_477 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_4_466 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_69_52 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_79_267 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_69_96 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_67_418 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_39_109 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_75_473 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_16_540 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_62_178 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_16_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_31_543 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_590 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_7_271 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_66_462 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_26_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_38_131 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_81_421 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_38_175 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_81_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_26_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_81_487 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_22_521 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_22_532 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_14_69 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_22_576 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_22_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_39_44 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_49_418 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_76_226 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_39_88 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_57_473 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_72_454 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_55_54 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_71_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_13_532 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_71_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_9_503 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_13_565 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_13_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_9_536 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_67_237 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_48_462 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_63_410 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_48_484 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_75_292 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_63_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_23_318 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_50_115 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_50_137 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_6_92 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_6_81 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_58_226 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -7735,11 +7747,9 @@
 XFILLER_73_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_66_281 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_39_473 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_54_454 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_13 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_26_123 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_81_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_81_262 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_81_251 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_54_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XPHY_24 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_35 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_46 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
@@ -7747,35 +7757,32 @@
 XPHY_57 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_68 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_79 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_10_502 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_41_159 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_10_524 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_41_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_10_557 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_41_45 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_41_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_10_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_49_204 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_66_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_49_237 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_66_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_64_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_17_101 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_45_410 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_57_292 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_72_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_45_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_60_446 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_9_300 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_13_340 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_13_362 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_13_384 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_15_90 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_9_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_9_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_49_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_68_524 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_68_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_55_229 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_55_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_36_410 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_36_454 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_48_281 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_63_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_23_104 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_51_402 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_63_284 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_23_159 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
@@ -7784,22 +7791,29 @@
 XFILLER_36_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_46_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_36_45 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_39_292 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_27_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_54_284 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_54_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_42_446 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 X_172_ vssd1 vssd1 vccd1 vccd1 _172_/HI _172_/LO sky130_fd_sc_ls__conb_1
+XFILLER_52_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_6_336 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_2_575 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_2_564 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_77_52 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_77_96 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_18_410 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_77_376 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_37_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_37_229 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_18_454 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_18_476 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_33_402 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_60_210 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_60_210 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XANTENNA_261 _188_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_250 _204_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 XFILLER_45_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_45_284 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_60_265 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_60_232 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_33_457 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_9_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_9_174 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -7809,75 +7823,72 @@
 XFILLER_51_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_419 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_24_446 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_24_457 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_1029 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_1018 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_1007 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_51_265 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_51_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_51_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_51_287 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_22_69 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_3_339 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_3_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-Xoutput460 _172_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[114] sky130_fd_sc_ls__clkbuf_2
-Xoutput471 _182_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[124] sky130_fd_sc_ls__clkbuf_2
-Xoutput493 _087_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[29] sky130_fd_sc_ls__clkbuf_2
-Xoutput482 _077_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[19] sky130_fd_sc_ls__clkbuf_2
+Xoutput460 _168_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[114] sky130_fd_sc_ls__clkbuf_2
+Xoutput471 _178_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[124] sky130_fd_sc_ls__clkbuf_2
+Xoutput493 _083_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[29] sky130_fd_sc_ls__clkbuf_2
+Xoutput482 _073_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[19] sky130_fd_sc_ls__clkbuf_2
+XFILLER_59_365 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_19_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_19_229 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_47_44 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_47_88 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XAND2X1 vccd1 vssd1 AND2X1/Y input1/X AND2X1/B AND2X1
+XAND2X1 vccd1 vssd1 AND2X1/Y AND2X1/B input1/X AND2X1
 XFILLER_15_402 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_55_593 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_27_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_27_251 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_27_284 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_42_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_42_210 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_920 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_63_54 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_15_457 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_953 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_942 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_931 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_30_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_42_265 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_997 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_986 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_975 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_964 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_8_49 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_8_16 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_6_100 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 X_155_ vssd1 vssd1 vccd1 vccd1 _155_/HI _155_/LO sky130_fd_sc_ls__conb_1
+XFILLER_6_155 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 X_086_ vssd1 vssd1 vccd1 vccd1 _086_/HI _086_/LO sky130_fd_sc_ls__conb_1
-XFILLER_6_155 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_2_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_77_151 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_65_324 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_65_379 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_18_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_18_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_18_284 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_33_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_33_254 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_33_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_21_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_21_449 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_0_309 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_56_302 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_17_14 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_71_316 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_17_36 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_24_210 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_37_571 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XPHY_216 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_205 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_249 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_238 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_227 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_24_265 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_12_449 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_20_460 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_12_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_20_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_79_416 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_79_416 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_79_449 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_59_151 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_74_132 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_74_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_47_324 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_47_379 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_74_187 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_74_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -7891,54 +7902,50 @@
 XPHY_794 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_783 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_30_257 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_11_471 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 X_207_ vssd1 vssd1 vccd1 vccd1 _207_/HI _207_/LO sky130_fd_sc_ls__conb_1
-XFILLER_11_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 X_138_ vssd1 vssd1 vccd1 vccd1 _138_/HI _138_/LO sky130_fd_sc_ls__conb_1
 X_069_ vssd1 vssd1 vccd1 vccd1 _069_/HI _069_/LO sky130_fd_sc_ls__conb_1
 XFILLER_31_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_78_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_65_143 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_80_124 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_65_198 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_53_316 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_80_135 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_53_349 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_46_390 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_61_371 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_61_393 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_61_382 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_34_596 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_0_83 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_61_371 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_0_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_69_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_29_324 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_56_132 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_29_379 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_29_346 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_56_187 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_71_135 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_44_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_12_202 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_44_45 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_44_45 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_12_246 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_12_257 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_60_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_79_202 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_69_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_79_202 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_69_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_69_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_79_235 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_67_419 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_75_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_47_143 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_75_496 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_35_316 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_47_198 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_62_146 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_62_124 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_62_179 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_31_511 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_43_371 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_31_544 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_70_190 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XPHY_580 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_591 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_66_430 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_38_132 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_66_485 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_38_187 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_26_338 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_81_433 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_53_135 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_81_477 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
@@ -7950,33 +7957,32 @@
 XFILLER_39_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_39_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_76_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_39_89 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_57_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_29_143 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_29_143 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_72_422 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_57_496 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_411 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_57_496 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_57_485 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_55_44 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_17_316 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_29_198 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_44_124 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_72_477 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_72_466 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_55_88 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_44_179 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_13_544 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_25_371 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_71_54 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_71_32 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_40_352 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_40_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_9_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_9_504 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_9_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_9_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_4_242 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_4_297 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_67_205 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 Xinput360 wbs_dat_i[8] vssd1 vssd1 vccd1 vccd1 input360/X sky130_fd_sc_ls__clkbuf_1
 XFILLER_48_430 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_63_422 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_48_485 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_35_135 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_23_308 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_382 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_50_116 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_43_190 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_31_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_58_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -7984,38 +7990,37 @@
 XFILLER_54_411 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_39_496 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_54_422 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_26_135 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_26_124 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_81_274 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_81_241 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_54_477 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_54_466 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XPHY_14 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_25 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_14_308 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XPHY_36 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_47 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_25_36 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_25_25 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_26_179 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_81_285 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XPHY_58 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_69 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_41_127 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_22_352 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_10_536 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_6_507 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_9_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_1_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_77_536 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_2_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_45_411 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_77_569 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_17_135 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_60_414 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_285 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_32_116 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_60_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_60_458 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_13_374 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_40_171 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_9_367 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_5_540 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_5_551 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 Xinput190 la_oen[120] vssd1 vssd1 vccd1 vccd1 input190/X sky130_fd_sc_ls__clkbuf_1
-XFILLER_36_411 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_36_422 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_36_466 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_36_477 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -8023,65 +8028,64 @@
 XFILLER_31_182 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_59_536 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_74_517 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_67_591 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_67_580 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_14_116 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_42_414 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_42_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_52_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_52_45 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-X_171_ vssd1 vssd1 vccd1 vccd1 _171_/HI _171_/LO sky130_fd_sc_ls__conb_1
+XFILLER_52_45 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_10_344 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_171_ vssd1 vssd1 vccd1 vccd1 _171_/HI _171_/LO sky130_fd_sc_ls__conb_1
 XFILLER_22_171 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_6_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_6_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_6_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_10_399 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_2_532 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_77_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_77_300 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_77_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_2_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_77_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_65_528 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_37_219 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_18_411 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_18_422 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_80_509 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_80_509 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_18_466 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_18_477 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_73_583 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XANTENNA_240 _196_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_240 _198_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_251 _205_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_262 _188_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 XFILLER_20_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_13_182 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_41_480 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_61_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_5_392 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_5_370 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_5_370 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_68_344 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_68_399 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_56_517 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_64_572 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_49_591 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_24_414 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_409 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_24_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_51_233 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XPHY_1019 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_1008 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_11_119 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_22_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_78_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-Xoutput450 _163_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[105] sky130_fd_sc_ls__clkbuf_2
+Xoutput450 _159_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[105] sky130_fd_sc_ls__clkbuf_2
 XFILLER_0_8 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-Xoutput461 _173_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[115] sky130_fd_sc_ls__clkbuf_2
+Xoutput461 _169_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[115] sky130_fd_sc_ls__clkbuf_2
 XFILLER_59_300 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-Xoutput472 _183_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[125] sky130_fd_sc_ls__clkbuf_2
-Xoutput483 XOR2X1/Y vssd1 vssd1 vccd1 vccd1 la_data_out[1] sky130_fd_sc_ls__clkbuf_2
-Xoutput494 _060_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[2] sky130_fd_sc_ls__clkbuf_2
-XFILLER_59_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xoutput472 _179_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[125] sky130_fd_sc_ls__clkbuf_2
+Xoutput483 _060_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[1] sky130_fd_sc_ls__clkbuf_2
+Xoutput494 _061_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[2] sky130_fd_sc_ls__clkbuf_2
 XFILLER_47_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_47_528 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_74_336 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_47_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_62_509 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XAND2X2 vccd1 vssd1 AND2X2/Y AND2X2/A AND2X2/B AND2X2
-XFILLER_70_520 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_55_583 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_55_583 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_921 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_70_564 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_910 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
@@ -8094,8 +8098,8 @@
 XPHY_976 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_965 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_998 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_10_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 X_154_ vssd1 vssd1 vccd1 vccd1 _154_/HI _154_/LO sky130_fd_sc_ls__conb_1
+XFILLER_10_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_12_81 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 X_085_ vssd1 vssd1 vccd1 vccd1 _085_/HI _085_/LO sky130_fd_sc_ls__conb_1
 XFILLER_6_178 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
@@ -8106,34 +8110,36 @@
 XFILLER_77_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_38_517 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_65_347 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_18_241 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_80_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_18_230 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_80_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_61_520 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_46_572 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_61_531 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_61_575 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_68_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_29_528 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_56_336 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_17_26 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_44_509 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_71_339 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_71_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_37_583 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_64_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_206 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_52_564 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_239 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_228 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_217 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_52_564 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_33_36 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_20_450 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_3_104 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_79_406 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_3_137 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_79_439 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_58_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_74_100 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_59_174 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_47_347 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_74_155 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_62_306 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_62_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_28_572 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_43_520 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -8142,66 +8148,61 @@
 XPHY_762 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_751 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_740 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_15_299 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_795 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_784 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_773 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_30_269 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 X_206_ vssd1 vssd1 vccd1 vccd1 _206_/HI _206_/LO sky130_fd_sc_ls__conb_1
 XFILLER_7_410 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_23_80 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_7_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 X_137_ vssd1 vssd1 vccd1 vccd1 _137_/HI _137_/LO sky130_fd_sc_ls__conb_1
 X_068_ vssd1 vssd1 vccd1 vccd1 _068_/HI _068_/LO sky130_fd_sc_ls__conb_1
 XFILLER_24_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_65_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_38_336 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_80_114 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_65_166 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_53_339 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_26_509 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_80_114 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_65_166 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_53_339 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_53_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_0_51 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_19_583 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_80_147 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_0_73 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_80_169 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_0_73 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_46_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_80_169 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_34_564 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_34_586 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_61_394 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_61_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_21_269 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_9_93 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_56_100 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_56_155 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_28_69 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_29_347 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_44_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_71_158 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_25_520 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_25_575 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_40_501 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_52_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_60_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_8_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_40_556 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_60_45 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_4_446 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_69_54 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_47_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_35_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_47_166 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_62_147 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_62_136 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_28_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_35_339 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_16_564 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_31_512 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_43_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_43_394 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_70_191 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_31_567 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_570 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_581 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_592 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_78_280 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_38_100 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_66_464 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_38_155 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_38_100 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_38_155 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_26_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_22_501 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_34_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -8211,7 +8212,6 @@
 XFILLER_1_449 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_29_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_55_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_17_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_29_166 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_55_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_17_339 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -8220,28 +8220,33 @@
 XFILLER_13_512 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_25_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_25_394 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_40_320 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_71_44 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_13_567 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_40_353 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_52_191 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_71_88 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_9_527 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_13_589 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_40_375 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_4_210 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_4_265 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_20_81 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_20_92 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_67_217 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_67_206 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 Xinput350 wbs_dat_i[28] vssd1 vssd1 vccd1 vccd1 input350/X sky130_fd_sc_ls__clkbuf_1
 Xinput361 wbs_dat_i[9] vssd1 vssd1 vccd1 vccd1 input361/X sky130_fd_sc_ls__clkbuf_1
-XFILLER_0_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_0_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_75_261 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_63_434 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_63_489 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_16_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_50_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_50_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_31_331 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_31_386 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_6_61 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_6_61 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_81_220 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_54_445 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_26_136 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_81_253 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_81_242 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_54_467 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XPHY_15 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_26 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
@@ -8250,61 +8255,69 @@
 XPHY_59 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_22_320 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_34_191 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_22_375 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_10_515 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_22_375 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_10_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_10_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_41_36 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_77_504 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_77_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_77_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_66_33 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_66_55 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_49_206 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_77_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_57_261 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_66_99 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_45_434 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_72_242 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_72_297 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_45_489 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_13_331 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_13_342 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_13_331 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_25_191 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_32_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_9_313 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_9_324 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_13_386 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_40_183 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_40_194 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_9_379 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_31_80 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_5_585 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_68_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 Xinput180 la_oen[111] vssd1 vssd1 vccd1 vccd1 input180/X sky130_fd_sc_ls__clkbuf_1
 Xinput191 la_oen[121] vssd1 vssd1 vccd1 vccd1 input191/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_36_412 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_63_253 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_51_426 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_51_437 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_16_191 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_11_28 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_59_504 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_59_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_59_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_59_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_39_261 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_27_434 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_39_283 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_54_242 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_36_69 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_54_297 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_27_489 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_14_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_10_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_10_312 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 X_170_ vssd1 vssd1 vccd1 vccd1 _170_/HI _170_/LO sky130_fd_sc_ls__conb_1
 XFILLER_22_194 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_52_68 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_10_356 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_10_367 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_2_511 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_2_566 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_77_54 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_2_577 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_18_412 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_73_551 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_45_253 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_73_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XANTENNA_230 _215_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_230 _148_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_252 _205_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_241 _198_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 XFILLER_33_426 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_60_234 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_26_91 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_60_278 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_263 _189_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_60_289 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_9_143 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_41_481 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_41_492 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_9_198 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_54_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_5_382 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
@@ -8312,55 +8325,57 @@
 XFILLER_68_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_68_356 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_68_367 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_49_581 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_64_540 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_36_242 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_64_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_64_573 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_36_297 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_51_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_1009 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_11_109 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_3_308 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-Xoutput440 _038_/LO vssd1 vssd1 vccd1 vccd1 io_out[6] sky130_fd_sc_ls__clkbuf_2
-Xoutput451 _164_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[106] sky130_fd_sc_ls__clkbuf_2
-Xoutput462 _174_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[116] sky130_fd_sc_ls__clkbuf_2
-Xoutput473 _184_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[126] sky130_fd_sc_ls__clkbuf_2
-Xoutput495 _088_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[30] sky130_fd_sc_ls__clkbuf_2
-Xoutput484 _078_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[20] sky130_fd_sc_ls__clkbuf_2
+Xoutput440 _039_/LO vssd1 vssd1 vccd1 vccd1 io_out[6] sky130_fd_sc_ls__clkbuf_2
+Xoutput451 _160_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[106] sky130_fd_sc_ls__clkbuf_2
+Xoutput462 _170_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[116] sky130_fd_sc_ls__clkbuf_2
+Xoutput473 _180_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[126] sky130_fd_sc_ls__clkbuf_2
+Xoutput495 _084_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[30] sky130_fd_sc_ls__clkbuf_2
+Xoutput484 _074_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[20] sky130_fd_sc_ls__clkbuf_2
 XFILLER_74_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_74_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_55_551 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_27_253 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_70_521 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_63_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_55_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_15_426 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_70_532 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_911 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_900 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_63_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_42_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_42_234 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_944 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_933 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_922 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_70_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_70_576 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_30_429 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_30_407 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_988 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_977 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_966 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_955 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_8_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_23_470 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-X_222_ vssd1 vssd1 vccd1 vccd1 _222_/HI _222_/LO sky130_fd_sc_ls__conb_1
+XFILLER_8_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_23_481 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_42_289 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_999 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-X_153_ vssd1 vssd1 vccd1 vccd1 _153_/HI _153_/LO sky130_fd_sc_ls__conb_1
 XFILLER_6_124 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+X_153_ vssd1 vssd1 vccd1 vccd1 _153_/HI _153_/LO sky130_fd_sc_ls__conb_1
 X_084_ vssd1 vssd1 vccd1 vccd1 _084_/HI _084_/LO sky130_fd_sc_ls__conb_1
-XFILLER_65_315 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_46_540 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_33_201 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_46_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_18_297 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_33_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_33_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_21_418 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_5_190 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_14_492 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_5_190 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_56_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_56_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_37_551 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -8369,16 +8384,17 @@
 XFILLER_37_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_52_521 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_52_532 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_52_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_52_576 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XPHY_229 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_218 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_12_407 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_52_576 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_52_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_24_289 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_79_407 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_3_127 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_58_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_58_45 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_47_315 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_59_164 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_28_540 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_74_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_28_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
@@ -8386,12 +8402,11 @@
 XPHY_763 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_752 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_741 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XPHY_730 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_30_226 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XPHY_730 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_796 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_785 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_774 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_11_473 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 X_205_ vssd1 vssd1 vccd1 vccd1 _205_/HI _205_/LO sky130_fd_sc_ls__conb_1
 X_136_ vssd1 vssd1 vccd1 vccd1 _136_/HI _136_/LO sky130_fd_sc_ls__conb_1
 X_067_ vssd1 vssd1 vccd1 vccd1 _067_/HI _067_/LO sky130_fd_sc_ls__conb_1
@@ -8399,28 +8414,28 @@
 XFILLER_38_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_17_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_19_551 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_38_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_38_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_65_189 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_80_137 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_0_85 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_19_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_34_521 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_34_532 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_34_576 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_61_384 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_21_215 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_21_237 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_34_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_9_83 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_69_473 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_28_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_71_104 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_71_159 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_44_58 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_71_126 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_12_226 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_40_524 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_40_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_8_219 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_44_69 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_40_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_20_281 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_4_414 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_69_33 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_69_44 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_4_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_69_88 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_79_259 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -8428,122 +8443,134 @@
 XFILLER_75_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_16_521 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_16_532 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_18_81 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_18_92 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_16_576 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_70_170 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_16_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_31_535 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_70_192 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XPHY_560 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_571 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_582 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_593 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_11_292 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_7_263 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_7_296 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_7_285 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_7_263 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 X_119_ vssd1 vssd1 vccd1 vccd1 _119_/HI _119_/LO sky130_fd_sc_ls__conb_1
-XFILLER_66_454 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_38_123 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_7_296 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_7_285 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_78_281 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_66_454 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_38_167 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_53_104 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_81_457 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_53_159 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_22_524 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_22_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_39_36 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_76_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_57_410 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_29_101 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_69_292 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_57_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_72_446 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_71_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_71_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_9_539 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_67_229 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_40_321 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_9_528 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_13_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_67_229 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_67_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_0_472 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 Xinput351 wbs_dat_i[29] vssd1 vssd1 vccd1 vccd1 input351/X sky130_fd_sc_ls__clkbuf_1
 Xinput362 wbs_sel_i[0] vssd1 vssd1 vccd1 vccd1 input362/X sky130_fd_sc_ls__clkbuf_1
 Xinput340 wbs_dat_i[19] vssd1 vssd1 vccd1 vccd1 input340/X sky130_fd_sc_ls__clkbuf_1
 XFILLER_48_454 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_75_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_63_402 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_35_104 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_48_476 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_75_284 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_63_457 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_35_159 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_50_107 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_390 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_8_561 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XANTENNA_0 _024_/HI vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XFILLER_6_84 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XANTENNA_0 _015_/HI vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_6_84 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_58_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_39_410 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_39_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_66_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_54_446 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_26_115 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_26_137 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XPHY_16 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_27 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_38 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_81_298 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XPHY_49 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_6_509 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_10_538 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_10_549 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_41_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_25_49 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_1_214 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_1_269 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_49_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_49_229 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_66_45 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_66_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_45_402 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_72_210 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_57_284 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_57_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_45_435 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_17_159 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_45_457 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_72_265 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_265 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_60_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_15_82 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_13_354 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_9_347 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_13_398 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_5_520 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_5_520 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_5_575 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 Xinput181 la_oen[112] vssd1 vssd1 vccd1 vccd1 input181/X sky130_fd_sc_ls__clkbuf_1
 Xinput170 la_oen[102] vssd1 vssd1 vccd1 vccd1 input170/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_76_593 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_63_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 Xinput192 la_oen[122] vssd1 vssd1 vccd1 vccd1 input192/X sky130_fd_sc_ls__clkbuf_1
 XFILLER_48_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_36_446 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_63_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_51_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_51_449 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_31_151 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_8_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-Xoutput600 _192_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[5] sky130_fd_sc_ls__clkbuf_2
+Xoutput600 _188_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[5] sky130_fd_sc_ls__clkbuf_2
 XFILLER_27_402 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_54_210 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_36_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_39_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_39_284 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_54_243 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_27_457 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_54_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_54_265 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_42_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_50_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_6_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_2_556 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_52_69 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_6_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_2_556 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_77_44 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_77_324 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_77_88 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_77_379 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_77_368 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_18_446 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_45_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_220 _140_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 XFILLER_60_202 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XANTENNA_220 _201_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_231 _148_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_242 _198_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 XFILLER_45_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XANTENNA_231 _189_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XFILLER_60_246 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_26_81 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_60_224 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_253 _206_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_264 _189_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_26_92 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_33_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_33_449 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_13_151 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_60_257 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_13_151 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_9_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_41_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_13_162 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_9_166 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_42_91 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_47_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_3_30 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_3_52 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
@@ -8551,28 +8578,26 @@
 XFILLER_36_210 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_36_265 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_24_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_51_235 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_51_257 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_32_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 Xoutput430 _054_/LO vssd1 vssd1 vccd1 vccd1 io_out[31] sky130_fd_sc_ls__clkbuf_2
-Xoutput441 _039_/LO vssd1 vssd1 vccd1 vccd1 io_out[7] sky130_fd_sc_ls__clkbuf_2
-Xoutput452 _165_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[107] sky130_fd_sc_ls__clkbuf_2
-Xoutput485 _079_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[21] sky130_fd_sc_ls__clkbuf_2
-Xoutput496 _089_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[31] sky130_fd_sc_ls__clkbuf_2
-Xoutput463 _175_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[117] sky130_fd_sc_ls__clkbuf_2
-Xoutput474 _185_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[127] sky130_fd_sc_ls__clkbuf_2
+Xoutput441 _040_/LO vssd1 vssd1 vccd1 vccd1 io_out[7] sky130_fd_sc_ls__clkbuf_2
+Xoutput452 _161_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[107] sky130_fd_sc_ls__clkbuf_2
+Xoutput485 _075_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[21] sky130_fd_sc_ls__clkbuf_2
+Xoutput496 _085_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[31] sky130_fd_sc_ls__clkbuf_2
+Xoutput463 _171_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[117] sky130_fd_sc_ls__clkbuf_2
+Xoutput474 _181_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[127] sky130_fd_sc_ls__clkbuf_2
 XFILLER_59_324 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_59_379 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_59_357 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_47_36 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_27_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_70_500 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_27_243 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_27_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_70_522 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_42_202 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_912 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_901 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_15_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_15_449 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_42_213 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_42_246 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XPHY_945 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_934 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_923 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
@@ -8580,92 +8605,93 @@
 XPHY_978 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_967 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_956 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-X_221_ vssd1 vssd1 vccd1 vccd1 _221_/HI _221_/LO sky130_fd_sc_ls__conb_1
+XFILLER_23_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XPHY_989 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_10_132 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 X_152_ vssd1 vssd1 vccd1 vccd1 _152_/HI _152_/LO sky130_fd_sc_ls__conb_1
-X_083_ vssd1 vssd1 vccd1 vccd1 _083_/HI _083_/LO sky130_fd_sc_ls__conb_1
+XFILLER_10_132 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_6_147 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_6_136 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_10_187 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_12_61 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_083_ vssd1 vssd1 vccd1 vccd1 _083_/HI _083_/LO sky130_fd_sc_ls__conb_1
 XFILLER_2_375 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_2_353 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_77_143 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_77_198 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_65_327 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_65_316 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_18_210 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_73_371 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_18_265 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_37_80 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_14_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_61_544 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_68_132 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_68_187 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_17_28 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_71_308 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_24_202 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_219 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_208 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_24_246 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_24_257 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_20_430 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_20_452 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_20_430 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_20_485 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_59_121 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_59_143 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_47_327 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_47_316 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_74_124 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_74_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_59_198 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_74_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_59_198 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_74_179 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_74_45 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_55_371 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_15_213 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_43_544 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_70_352 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_720 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_753 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_742 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_731 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+X_204_ vssd1 vssd1 vccd1 vccd1 _204_/HI _204_/LO sky130_fd_sc_ls__conb_1
 XPHY_786 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_775 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_764 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-X_204_ vssd1 vssd1 vccd1 vccd1 _204_/HI _204_/LO sky130_fd_sc_ls__conb_1
 XFILLER_11_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_30_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_797 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+X_135_ vssd1 vssd1 vccd1 vccd1 _135_/HI _135_/LO sky130_fd_sc_ls__conb_1
 XFILLER_7_434 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_11_496 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-X_135_ vssd1 vssd1 vccd1 vccd1 _135_/HI _135_/LO sky130_fd_sc_ls__conb_1
-XFILLER_7_489 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_23_93 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 X_066_ vssd1 vssd1 vccd1 vccd1 _066_/HI _066_/LO sky130_fd_sc_ls__conb_1
+XFILLER_7_489 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_78_430 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_2_161 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_2_194 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_78_485 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_65_135 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_53_308 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_46_382 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_73_190 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_61_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_9_40 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_0_109 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_69_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_69_496 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_29_316 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_56_124 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_29_338 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_56_179 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_71_127 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_37_371 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_25_544 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_44_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_52_352 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_12_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_60_69 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_69_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_20_293 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_69_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_69_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_47_135 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_18_71 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_35_308 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_62_116 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_55_190 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_70_171 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_31_536 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_31_503 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_43_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_31_547 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_34_81 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XPHY_550 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_561 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
@@ -8673,38 +8699,36 @@
 XPHY_572 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_583 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_594 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_7_231 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 X_118_ vssd1 vssd1 vccd1 vccd1 _118_/HI _118_/LO sky130_fd_sc_ls__conb_1
-XFILLER_50_91 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 X_049_ vssd1 vssd1 vccd1 vccd1 _049_/HI _049_/LO sky130_fd_sc_ls__conb_1
 XFILLER_3_481 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_66_411 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_66_422 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_38_135 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_38_124 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_66_477 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_38_179 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_66_466 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_19_371 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_53_127 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_19_382 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_34_352 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_46_190 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_61_182 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_14_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_1_418 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_29_135 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_17_308 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_17_308 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_72_414 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_55_36 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_44_116 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_72_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_37_190 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_13_525 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_25_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_52_171 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_13_536 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_40_344 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_21_591 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_40_399 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_4_234 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_20_61 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_4_289 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_67_219 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 Xinput330 wbs_dat_i[0] vssd1 vssd1 vccd1 vccd1 input330/X sky130_fd_sc_ls__clkbuf_1
 XFILLER_48_411 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 Xinput363 wbs_sel_i[1] vssd1 vssd1 vccd1 vccd1 input363/X sky130_fd_sc_ls__clkbuf_1
@@ -8712,47 +8736,41 @@
 Xinput341 wbs_dat_i[1] vssd1 vssd1 vccd1 vccd1 input341/X sky130_fd_sc_ls__clkbuf_1
 XFILLER_48_422 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_48_466 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_48_477 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_63_414 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_35_127 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_16_352 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_16_374 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_31_300 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_45_80 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_50_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_43_182 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_380 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_31_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_77_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_391 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XANTENNA_1 _024_/HI vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_1 _015_/HI vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 XFILLER_8_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_79_591 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_79_580 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_54_414 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_26_116 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_81_233 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_54_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_17 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_28 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_19_190 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_81_299 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_81_277 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_81_266 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_25_17 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_39 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_25_28 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_41_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_41_119 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_62_480 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_34_171 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_22_344 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_22_399 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_1_237 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_77_528 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_49_219 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_66_79 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_45_403 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_66_57 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_17_127 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_13_300 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_277 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_32_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_25_182 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_13_366 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_25_182 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_9_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_40_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_68_517 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_0_281 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
@@ -8763,58 +8781,59 @@
 Xinput182 la_oen[113] vssd1 vssd1 vccd1 vccd1 input182/X sky130_fd_sc_ls__clkbuf_1
 XFILLER_36_414 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_36_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_23_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_23_119 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_16_171 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_51_439 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_31_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_31_174 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-Xoutput601 _193_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[6] sky130_fd_sc_ls__clkbuf_2
+Xoutput601 _189_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[6] sky130_fd_sc_ls__clkbuf_2
 XFILLER_59_528 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_74_509 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_67_583 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_67_572 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_14_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_52_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_52_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_22_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_10_336 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_2_524 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_77_12 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_2_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_77_347 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_77_56 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_73_520 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_58_572 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_18_414 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_18_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_18_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XANTENNA_210 _134_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 XFILLER_73_575 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XANTENNA_221 _207_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_210 _155_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_232 _189_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XFILLER_9_101 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_13_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XANTENNA_243 _199_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_221 _140_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_26_60 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_232 _150_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_254 _206_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 XFILLER_13_174 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_41_472 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_41_494 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_42_81 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_42_92 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_5_362 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_5_384 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_68_336 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_3_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_56_509 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_49_583 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_76_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_64_564 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_51_214 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_32_461 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_51_269 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_22_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-Xoutput420 _048_/LO vssd1 vssd1 vccd1 vccd1 io_out[22] sky130_fd_sc_ls__clkbuf_2
+Xoutput420 INV/Y vssd1 vssd1 vccd1 vccd1 io_out[22] sky130_fd_sc_ls__clkbuf_2
 Xoutput431 _055_/LO vssd1 vssd1 vccd1 vccd1 io_out[32] sky130_fd_sc_ls__clkbuf_2
-Xoutput442 _040_/LO vssd1 vssd1 vccd1 vccd1 io_out[8] sky130_fd_sc_ls__clkbuf_2
-Xoutput453 _166_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[108] sky130_fd_sc_ls__clkbuf_2
-Xoutput486 _080_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[22] sky130_fd_sc_ls__clkbuf_2
-Xoutput475 _070_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[12] sky130_fd_sc_ls__clkbuf_2
-Xoutput464 _176_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[118] sky130_fd_sc_ls__clkbuf_2
-Xoutput497 _090_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[32] sky130_fd_sc_ls__clkbuf_2
-XFILLER_59_347 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xoutput442 _041_/LO vssd1 vssd1 vccd1 vccd1 io_out[8] sky130_fd_sc_ls__clkbuf_2
+Xoutput453 _162_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[108] sky130_fd_sc_ls__clkbuf_2
+Xoutput486 _076_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[22] sky130_fd_sc_ls__clkbuf_2
+Xoutput475 XNOR2X1/Y vssd1 vssd1 vccd1 vccd1 la_data_out[12] sky130_fd_sc_ls__clkbuf_2
+Xoutput464 _172_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[118] sky130_fd_sc_ls__clkbuf_2
+Xoutput497 _086_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[32] sky130_fd_sc_ls__clkbuf_2
+XFILLER_59_347 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_74_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_55_520 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_70_501 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_55_575 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_902 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_63_36 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -8822,16 +8841,12 @@
 XPHY_924 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_70_556 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_913 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_30_409 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_42_247 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XPHY_979 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_968 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_957 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_946 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_10_100 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-X_220_ vssd1 vssd1 vccd1 vccd1 _220_/HI _220_/LO sky130_fd_sc_ls__conb_1
 X_151_ vssd1 vssd1 vccd1 vccd1 _151_/HI _151_/LO sky130_fd_sc_ls__conb_1
-XFILLER_23_494 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_6_104 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_10_155 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 X_082_ vssd1 vssd1 vccd1 vccd1 _082_/HI _082_/LO sky130_fd_sc_ls__conb_1
@@ -8841,29 +8856,32 @@
 XFILLER_38_509 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_77_166 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_65_339 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_58_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_18_233 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_65_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_18_222 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_61_512 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_18_277 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_46_564 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_73_394 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_73_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_33_214 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_61_567 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_33_269 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_53_80 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_14_461 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_68_100 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_68_155 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_56_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_17_18 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_37_520 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_37_575 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_52_501 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_64_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_52_556 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_209 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_52_556 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_33_28 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_20_442 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_59_111 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_59_155 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_58_69 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_59_166 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_47_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_74_147 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_74_136 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_47_339 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -8871,7 +8889,6 @@
 XFILLER_70_320 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_55_394 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_55_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_15_214 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_43_512 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_15_269 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_43_567 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -8879,16 +8896,16 @@
 XFILLER_70_375 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_754 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_743 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XPHY_732 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_721 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XPHY_732 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+X_203_ vssd1 vssd1 vccd1 vccd1 _203_/HI _203_/LO sky130_fd_sc_ls__conb_1
 XPHY_787 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_776 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_765 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-X_203_ vssd1 vssd1 vccd1 vccd1 _203_/HI _203_/LO sky130_fd_sc_ls__conb_1
 XPHY_798 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_7_402 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 X_134_ vssd1 vssd1 vccd1 vccd1 _134_/HI _134_/LO sky130_fd_sc_ls__conb_1
-XFILLER_7_457 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_7_457 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 X_065_ vssd1 vssd1 vccd1 vccd1 _065_/HI _065_/LO sky130_fd_sc_ls__conb_1
 XFILLER_38_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_19_520 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -8898,14 +8915,12 @@
 XFILLER_34_501 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_80_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_0_65 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_46_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_61_331 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_34_556 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_61_386 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_9_85 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_61_386 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_29_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_56_147 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_56_136 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_29_339 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_25_512 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_37_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_37_394 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -8916,19 +8931,16 @@
 XFILLER_40_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_60_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_4_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_79_217 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_75_434 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_75_489 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_18_61 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_62_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_18_61 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_62_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_16_501 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_28_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_16_556 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_556 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_43_331 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_31_504 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_43_386 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_70_194 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_31_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_31_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_540 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_551 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
@@ -8936,39 +8948,39 @@
 XPHY_573 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_584 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_595 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_7_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_79_8 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_7_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_11_261 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 X_117_ vssd1 vssd1 vccd1 vccd1 _117_/HI _117_/LO sky130_fd_sc_ls__conb_1
 XFILLER_7_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_50_81 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_50_92 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 X_048_ vssd1 vssd1 vccd1 vccd1 _048_/HI _048_/LO sky130_fd_sc_ls__conb_1
 XFILLER_3_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_78_272 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_22_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_38_136 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_38_147 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_81_459 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_81_415 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_19_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_19_394 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_34_320 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_46_180 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_34_375 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_22_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_30_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_69_261 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_57_434 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_29_147 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_57_489 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_25_331 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_44_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_13_504 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_40_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_80_492 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_71_36 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_13_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_13_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_13_559 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_25_386 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_40_312 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_40_312 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_52_194 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_9_519 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_40_356 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_40_345 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_40_367 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_4_202 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_4_257 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_4_246 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
@@ -8980,79 +8992,84 @@
 Xinput331 wbs_dat_i[10] vssd1 vssd1 vccd1 vccd1 input331/X sky130_fd_sc_ls__clkbuf_1
 XFILLER_75_253 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 Xinput364 wbs_sel_i[2] vssd1 vssd1 vccd1 vccd1 input364/X sky130_fd_sc_ls__clkbuf_1
-XFILLER_16_320 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_29_93 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_63_426 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_320 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_16_331 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_28_191 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_16_375 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_43_150 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_71_481 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_370 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_381 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_392 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_61_80 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_8_574 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XANTENNA_2 _222_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XFILLER_6_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XANTENNA_2 _000_/HI vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_6_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_39_434 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_66_242 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_81_201 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_66_297 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_54_437 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_39_489 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_81_234 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_81_223 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XPHY_18 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_26_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_81_278 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_22_301 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_22_312 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_34_194 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_10_507 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_22_356 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_22_367 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_10_518 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_41_28 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_66_47 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_41_28 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_57_253 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_17_106 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_45_426 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_72_234 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_72_289 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_60_407 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_25_150 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_53_481 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_25_194 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_9_305 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_31_72 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_13_323 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_9_316 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_15_51 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_13_378 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_15_95 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_76_540 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 Xinput172 la_oen[104] vssd1 vssd1 vccd1 vccd1 input172/X sky130_fd_sc_ls__clkbuf_1
 Xinput161 la_data_in[95] vssd1 vssd1 vccd1 vccd1 input161/X sky130_fd_sc_ls__clkbuf_1
 Xinput150 la_data_in[85] vssd1 vssd1 vccd1 vccd1 input150/X sky130_fd_sc_ls__clkbuf_1
 XFILLER_48_242 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_76_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 Xinput194 la_oen[124] vssd1 vssd1 vccd1 vccd1 input194/X sky130_fd_sc_ls__clkbuf_1
 Xinput183 la_oen[114] vssd1 vssd1 vccd1 vccd1 input183/X sky130_fd_sc_ls__clkbuf_1
 XFILLER_63_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_48_297 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_51_418 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_23_109 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_51_429 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_16_194 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-Xoutput602 _194_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[7] sky130_fd_sc_ls__clkbuf_2
+Xoutput602 _190_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[7] sky130_fd_sc_ls__clkbuf_2
 XFILLER_67_551 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_39_253 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_67_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_27_426 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_54_234 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_54_234 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_42_407 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_54_289 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_35_481 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_10_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_50_462 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_10_359 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_2_503 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_58_540 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_58_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XANTENNA_200 _134_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_200 _123_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 XFILLER_45_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XANTENNA_233 _190_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_222 _207_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_222 _141_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_233 _150_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_211 _134_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 XFILLER_33_418 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XANTENNA_211 _186_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XFILLER_60_226 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_26_61 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_41_473 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_255 _208_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_244 _199_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_13_164 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_9_135 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_5_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_68_304 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_3_54 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_3_43 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
@@ -9062,27 +9079,27 @@
 XFILLER_64_532 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_64_521 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_36_234 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_49_595 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_64_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_64_576 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_64_565 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_24_407 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_17_481 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_36_289 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_8_190 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-Xoutput410 INV/Y vssd1 vssd1 vccd1 vccd1 io_out[13] sky130_fd_sc_ls__clkbuf_2
-Xoutput432 OR2X1/Y vssd1 vssd1 vccd1 vccd1 io_out[33] sky130_fd_sc_ls__clkbuf_2
-Xoutput421 MUX2X1/Y vssd1 vssd1 vccd1 vccd1 io_out[23] sky130_fd_sc_ls__clkbuf_2
+XFILLER_51_237 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+Xoutput410 _045_/LO vssd1 vssd1 vccd1 vccd1 io_out[13] sky130_fd_sc_ls__clkbuf_2
+Xoutput432 _056_/LO vssd1 vssd1 vccd1 vccd1 io_out[33] sky130_fd_sc_ls__clkbuf_2
+Xoutput421 _050_/LO vssd1 vssd1 vccd1 vccd1 io_out[23] sky130_fd_sc_ls__clkbuf_2
 Xoutput443 AOI21X1/Y vssd1 vssd1 vccd1 vccd1 io_out[9] sky130_fd_sc_ls__clkbuf_2
-Xoutput454 _167_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[109] sky130_fd_sc_ls__clkbuf_2
-Xoutput476 _071_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[13] sky130_fd_sc_ls__clkbuf_2
-Xoutput487 _081_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[23] sky130_fd_sc_ls__clkbuf_2
-Xoutput465 _177_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[119] sky130_fd_sc_ls__clkbuf_2
-Xoutput498 _091_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[33] sky130_fd_sc_ls__clkbuf_2
+Xoutput454 _163_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[109] sky130_fd_sc_ls__clkbuf_2
+Xoutput476 _068_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[13] sky130_fd_sc_ls__clkbuf_2
+Xoutput487 _077_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[23] sky130_fd_sc_ls__clkbuf_2
+Xoutput465 _173_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[119] sky130_fd_sc_ls__clkbuf_2
+Xoutput498 _087_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[33] sky130_fd_sc_ls__clkbuf_2
 XFILLER_15_418 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_27_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_27_267 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_70_524 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_903 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_55_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_42_237 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_42_226 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_936 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_925 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_70_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -9090,24 +9107,21 @@
 XPHY_969 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_958 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_947 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_23_473 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 X_150_ vssd1 vssd1 vccd1 vccd1 _150_/HI _150_/LO sky130_fd_sc_ls__conb_1
 XFILLER_50_281 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 X_081_ vssd1 vssd1 vccd1 vccd1 _081_/HI _081_/LO sky130_fd_sc_ls__conb_1
 XFILLER_2_322 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_2_333 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_65_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_65_307 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_46_521 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_46_532 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_18_245 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_46_576 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_18_289 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_46_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_33_237 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_14_462 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_41_292 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_5_182 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_52_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_37_576 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_24_226 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_52_524 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_52_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -9115,8 +9129,6 @@
 XFILLER_3_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_3_119 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_58_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_47_307 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_47_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_28_521 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_28_532 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_28_576 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
@@ -9132,12 +9144,13 @@
 XPHY_777 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_766 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_755 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-X_202_ vssd1 vssd1 vccd1 vccd1 _202_/HI _202_/LO sky130_fd_sc_ls__conb_1
 XFILLER_11_410 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_202_ vssd1 vssd1 vccd1 vccd1 _202_/HI _202_/LO sky130_fd_sc_ls__conb_1
 XFILLER_23_292 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_799 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_788 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_11_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_11_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_11_487 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 X_133_ vssd1 vssd1 vccd1 vccd1 _133_/HI _133_/LO sky130_fd_sc_ls__conb_1
 X_064_ vssd1 vssd1 vccd1 vccd1 _064_/HI _064_/LO sky130_fd_sc_ls__conb_1
 XFILLER_78_454 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -9147,27 +9160,36 @@
 XFILLER_48_92 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_65_159 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_0_55 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_34_513 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_34_524 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_9_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_21_207 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_34_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_9_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_34_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_14_281 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_21_229 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_9_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_69_410 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_69_432 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_69_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_28_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_56_137 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_71_118 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_12_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_52_354 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_20_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_69_25 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_69_36 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_79_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_75_402 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_47_104 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_75_457 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_28_340 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_47_159 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_16_524 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_18_84 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_70_162 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_568 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_16_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_31_527 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_31_549 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XPHY_530 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_34_61 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_541 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
@@ -9176,32 +9198,30 @@
 XPHY_574 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_585 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_596 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_7_200 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_11_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_11_284 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_7_255 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_7_233 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 X_116_ vssd1 vssd1 vccd1 vccd1 _116_/HI _116_/LO sky130_fd_sc_ls__conb_1
 X_047_ vssd1 vssd1 vccd1 vccd1 _047_/HI _047_/LO sky130_fd_sc_ls__conb_1
-XFILLER_59_80 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_59_80 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_78_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_66_446 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_15_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_38_115 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_38_137 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_19_351 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_19_384 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_38_159 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_75_90 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_81_449 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_46_192 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_61_140 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_61_151 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_39_28 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_69_251 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_57_402 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_69_284 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_69_273 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_69_284 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_57_457 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_29_159 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_72_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_72_405 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_80_460 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_71_48 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_40_368 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_40_357 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 Xinput321 wbs_adr_i[31] vssd1 vssd1 vccd1 vccd1 input321/X sky130_fd_sc_ls__clkbuf_1
 Xinput310 wbs_adr_i[21] vssd1 vssd1 vccd1 vccd1 input310/X sky130_fd_sc_ls__clkbuf_1
 XFILLER_75_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -9213,40 +9233,40 @@
 XFILLER_75_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_63_449 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_63_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_43_151 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_71_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_16_398 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_31_324 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_43_162 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XPHY_360 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_8_520 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XPHY_371 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_382 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_393 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_31_379 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_8_553 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XANTENNA_3 _222_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_3 _000_/HI vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 XFILLER_39_402 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_79_593 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_79_582 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_66_210 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_39_457 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_66_265 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_26_107 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_54_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_81_235 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XPHY_19 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_81_279 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_81_268 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
+XFILLER_62_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_1_206 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_66_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_57_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_72_202 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_57_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_45_427 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_72_246 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_45_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_45_449 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_72_257 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_25_151 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_53_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_13_324 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_25_162 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_15_52 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_15_74 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_13_346 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_40_132 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_9_328 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_9_339 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_40_187 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_5_512 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
@@ -9268,20 +9288,21 @@
 XPHY_190 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_31_198 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_8_383 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-Xoutput603 _195_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[8] sky130_fd_sc_ls__clkbuf_2
+Xoutput603 _191_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[8] sky130_fd_sc_ls__clkbuf_2
 XFILLER_79_390 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_39_221 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_54_202 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_39_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_39_276 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_67_596 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_27_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_27_449 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_36_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_54_268 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_39_298 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_54_257 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_54_246 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_35_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_22_132 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_50_430 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_50_463 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_50_485 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_22_187 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_2_548 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
@@ -9289,51 +9310,51 @@
 XFILLER_77_316 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_73_544 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_18_438 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XANTENNA_201 _065_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_212 _186_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_234 _190_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XANTENNA_223 _209_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
-XFILLER_26_84 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XANTENNA_234 _152_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_212 _135_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_223 _141_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_201 _124_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_245 _201_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_60_216 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XANTENNA_256 _208_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XFILLER_26_84 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_60_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_26_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_41_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_41_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_13_143 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_13_198 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_41_496 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_42_61 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_5_331 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_5_331 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_5_397 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_5_386 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_3_88 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_49_541 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_67_80 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_36_202 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_64_577 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_36_246 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_36_257 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_17_493 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_32_430 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_44_290 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_51_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_32_463 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_32_485 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-Xoutput400 _020_/HI vssd1 vssd1 vccd1 vccd1 io_oeb[4] sky130_fd_sc_ls__clkbuf_2
-Xoutput411 _043_/LO vssd1 vssd1 vccd1 vccd1 io_out[14] sky130_fd_sc_ls__clkbuf_2
-Xoutput433 _056_/LO vssd1 vssd1 vccd1 vccd1 io_out[34] sky130_fd_sc_ls__clkbuf_2
-Xoutput422 _049_/LO vssd1 vssd1 vccd1 vccd1 io_out[24] sky130_fd_sc_ls__clkbuf_2
+Xoutput400 _018_/HI vssd1 vssd1 vccd1 vccd1 io_oeb[4] sky130_fd_sc_ls__clkbuf_2
+Xoutput411 AOI22X1/Y vssd1 vssd1 vccd1 vccd1 io_out[14] sky130_fd_sc_ls__clkbuf_2
+XFILLER_8_191 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+Xoutput433 MUX2X1/Y vssd1 vssd1 vccd1 vccd1 io_out[34] sky130_fd_sc_ls__clkbuf_2
+Xoutput422 INVX1/Y vssd1 vssd1 vccd1 vccd1 io_out[24] sky130_fd_sc_ls__clkbuf_2
 Xoutput444 _059_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[0] sky130_fd_sc_ls__clkbuf_2
-Xoutput466 _069_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[11] sky130_fd_sc_ls__clkbuf_2
-Xoutput477 _072_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[14] sky130_fd_sc_ls__clkbuf_2
-Xoutput455 _068_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[10] sky130_fd_sc_ls__clkbuf_2
+Xoutput466 _067_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[11] sky130_fd_sc_ls__clkbuf_2
+Xoutput477 _069_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[14] sky130_fd_sc_ls__clkbuf_2
+Xoutput455 _066_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[10] sky130_fd_sc_ls__clkbuf_2
 XFILLER_59_316 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-Xoutput499 _092_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[34] sky130_fd_sc_ls__clkbuf_2
-Xoutput488 _082_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[24] sky130_fd_sc_ls__clkbuf_2
+Xoutput499 _088_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[34] sky130_fd_sc_ls__clkbuf_2
+Xoutput488 _078_/LO vssd1 vssd1 vccd1 vccd1 la_data_out[24] sky130_fd_sc_ls__clkbuf_2
 XFILLER_47_28 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_67_371 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_55_544 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_42_205 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_926 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_915 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_904 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-XFILLER_23_430 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_23_441 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_42_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_959 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
@@ -9341,94 +9362,93 @@
 XPHY_937 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_10_124 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_23_496 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-X_080_ vssd1 vssd1 vccd1 vccd1 _080_/HI _080_/LO sky130_fd_sc_ls__conb_1
 XFILLER_6_117 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_6_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_12_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+X_080_ vssd1 vssd1 vccd1 vccd1 _080_/HI _080_/LO sky130_fd_sc_ls__conb_1
+XFILLER_6_139 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_10_179 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_12_53 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_2_345 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_2_367 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_77_135 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_58_393 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_18_202 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_65_308 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_18_202 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_18_246 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_18_257 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_37_72 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_73_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_61_547 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_14_430 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_33_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_14_485 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_61_536 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_14_430 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_14_485 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_45_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_68_124 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_68_179 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_49_371 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_49_371 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_64_352 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_37_544 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_24_249 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_20_411 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_20_422 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_20_466 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_20_477 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_59_102 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_59_135 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_47_308 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_74_116 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_74_37 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_67_190 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_55_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_15_205 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_70_344 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_43_547 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_43_536 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_701 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_745 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_734 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_712 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_723 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+X_201_ vssd1 vssd1 vccd1 vccd1 _201_/HI _201_/LO sky130_fd_sc_ls__conb_1
 XFILLER_70_399 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_778 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_767 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_756 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
-X_201_ vssd1 vssd1 vccd1 vccd1 _201_/HI _201_/LO sky130_fd_sc_ls__conb_1
 XFILLER_51_591 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XPHY_789 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 X_132_ vssd1 vssd1 vccd1 vccd1 _132_/HI _132_/LO sky130_fd_sc_ls__conb_1
 XFILLER_23_52 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_7_426 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_23_96 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_23_63 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_7_426 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_23_85 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 X_063_ vssd1 vssd1 vccd1 vccd1 _063_/HI _063_/LO sky130_fd_sc_ls__conb_1
 XFILLER_78_411 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_2_153 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_78_422 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_78_422 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_78_477 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_78_466 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_65_127 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_19_544 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_46_352 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_61_300 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_46_374 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_73_182 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_64_81 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_64_92 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_61_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_9_21 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_21_219 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_29_308 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_56_116 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_49_190 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_71_119 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_71_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_37_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_64_171 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_25_536 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_44_29 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_52_344 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_52_344 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_40_517 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_33_591 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_52_399 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_4_407 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_79_219 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_47_127 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_62_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_28_352 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_43_300 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_55_182 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_70_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_43_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_31_528 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_520 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_531 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_542 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
@@ -9437,36 +9457,34 @@
 XPHY_564 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_575 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_586 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
+XFILLER_7_223 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XPHY_597 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 X_115_ vssd1 vssd1 vccd1 vccd1 _115_/HI _115_/LO sky130_fd_sc_ls__conb_1
 XFILLER_7_289 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_50_61 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 X_046_ vssd1 vssd1 vccd1 vccd1 _046_/HI _046_/LO sky130_fd_sc_ls__conb_1
 XFILLER_3_473 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_78_296 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_66_414 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_38_116 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_66_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_66_458 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_81_417 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_75_80 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_53_119 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_19_363 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_53_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_19_374 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_53_119 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_46_171 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_22_517 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_34_344 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_61_174 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_61_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_34_399 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_30_572 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
-XFILLER_69_296 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_29_105 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_29_127 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_55_28 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_25_300 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_25_300 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_44_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_37_182 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_25_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_13_528 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_52_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_40_336 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_21_583 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_4_226 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_20_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -9476,20 +9494,18 @@
 Xinput300 wbs_adr_i[12] vssd1 vssd1 vccd1 vccd1 input300/X sky130_fd_sc_ls__clkbuf_1
 Xinput344 wbs_dat_i[22] vssd1 vssd1 vccd1 vccd1 input344/X sky130_fd_sc_ls__clkbuf_1
 Xinput322 wbs_adr_i[3] vssd1 vssd1 vccd1 vccd1 input322/X sky130_fd_sc_ls__clkbuf_1
-XFILLER_0_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_0_465 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 Xinput333 wbs_dat_i[12] vssd1 vssd1 vccd1 vccd1 input333/X sky130_fd_sc_ls__clkbuf_1
 XFILLER_48_414 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 Xinput366 wbs_stb_i vssd1 vssd1 vccd1 vccd1 input366/X sky130_fd_sc_ls__clkbuf_1
 Xinput355 wbs_dat_i[3] vssd1 vssd1 vccd1 vccd1 input355/X sky130_fd_sc_ls__clkbuf_1
-XFILLER_48_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_63_406 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_48_469 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_35_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_35_119 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_28_171 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_16_344 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_16_355 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_16_366 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_45_72 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_16_399 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_43_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_43_174 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XPHY_350 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_361 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
@@ -9499,33 +9515,33 @@
 XPHY_394 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_8_532 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_8_587 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XANTENNA_4 _000_/HI vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
+XANTENNA_4 _024_/LO vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__diode_2
 XFILLER_6_77 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 X_029_ vssd1 vssd1 vccd1 vccd1 _029_/HI _029_/LO sky130_fd_sc_ls__conb_1
 XFILLER_3_292 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_79_572 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_81_225 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+XFILLER_26_108 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_81_225 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_19_182 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_34_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_22_336 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_30_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_1_218 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_1_229 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_17_119 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_45_439 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-XFILLER_15_20 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_72_269 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
+XFILLER_25_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_25_174 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_40_100 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_80_291 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_13_358 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_15_64 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
-XFILLER_9_329 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 XFILLER_40_155 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_21_380 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_31_52 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_5_546 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_5_579 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_diode_2
 XFILLER_31_96 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
 XFILLER_68_509 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_0_251 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
 Xinput163 la_data_in[97] vssd1 vssd1 vccd1 vccd1 input163/X sky130_fd_sc_ls__clkbuf_1
 Xinput152 la_data_in[87] vssd1 vssd1 vccd1 vccd1 input152/X sky130_fd_sc_ls__clkbuf_1
 Xinput130 la_data_in[67] vssd1 vssd1 vccd1 vccd1 input130/X sky130_fd_sc_ls__clkbuf_1
@@ -9534,6 +9550,7 @@
 Xinput185 la_oen[116] vssd1 vssd1 vccd1 vccd1 input185/X sky130_fd_sc_ls__clkbuf_1
 Xinput196 la_oen[126] vssd1 vssd1 vccd1 vccd1 input196/X sky130_fd_sc_ls__clkbuf_1
 Xinput174 la_oen[106] vssd1 vssd1 vccd1 vccd1 input174/X sky130_fd_sc_ls__clkbuf_1
+XFILLER_36_406 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_63_214 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_63_269 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 XFILLER_16_163 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
@@ -9544,8 +9561,9 @@
 XPHY_191 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XPHY_180 vssd1 vccd1 sky130_fd_sc_ls__tapvpwrvgnd_1
 XFILLER_12_391 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
-XFILLER_75_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__fill_1
-Xoutput604 _196_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[9] sky130_fd_sc_ls__clkbuf_2
+XFILLER_75_4 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_8
+XFILLER_8_351 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
+Xoutput604 _192_/LO vssd1 vssd1 vccd1 vccd1 wbs_dat_o[9] sky130_fd_sc_ls__clkbuf_2
 XFILLER_4_590 vssd1 vssd1 vccd1 vccd1 sky130_fd_sc_ls__decap_4
 .ends
 
diff --git a/verilog/gl/user_proj_example.v b/verilog/gl/user_proj_example.v
index fe5db2c..723b248 100644
--- a/verilog/gl/user_proj_example.v
+++ b/verilog/gl/user_proj_example.v
@@ -47,95 +47,119 @@
  output [31:0] wbs_dat_o;
  input [3:0] wbs_sel_i;
 
- AND2X1 AND2X1 (.vdd(vccd1),
-    .gnd(vssd1),
+ AND2X1 AND2X1 (.VPWR(vccd1),
+    .VGND(vssd1),
     .Y(net428),
-    .A(net1),
-    .B(net12));
- AND2X2 AND2X2 (.vdd(vccd1),
-    .gnd(vssd1),
+    .B(net12),
+    .A(net1));
+ AND2X2 AND2X2 (.VPWR(vccd1),
+    .VGND(vssd1),
     .Y(net439),
     .A(net32),
     .B(net33));
- AOI21X1 AOI21X1 (.vdd(vccd1),
-    .gnd(vssd1),
+ AOI21X1 AOI21X1 (.VPWR(vccd1),
+    .VGND(vssd1),
     .Y(net443),
+    .A(net35),
     .C(net37),
-    .B(net36),
-    .A(net35));
- BUFX2 BUFX2 (.vdd(vccd1),
-    .gnd(vssd1),
-    .Y(net408),
+    .B(net36));
+ AOI22X1 AOI22X1 (.VPWR(vccd1),
+    .VGND(vssd1),
+    .Y(net411),
+    .D(net5),
+    .B(net3),
+    .C(net4),
     .A(net2));
- INV INV (.vdd(vccd1),
-    .gnd(vssd1),
-    .Y(net410),
-    .A(net4));
- INVX1 INVX1 (.vdd(vccd1),
-    .gnd(vssd1),
-    .Y(net412),
-    .A(net6));
- INVX2 INVX2 (.vdd(vccd1),
-    .gnd(vssd1),
-    .Y(net414),
-    .A(net8));
- INVX4 INVX4 (.vdd(vccd1),
-    .gnd(vssd1),
-    .Y(net416),
-    .A(net10));
- MUX2X1 MUX2X1 (.vdd(vccd1),
-    .gnd(vssd1),
-    .Y(net421),
-    .A(net13),
-    .B(net14),
-    .S(net15));
- NAND2X1 NAND2X1 (.vdd(vccd1),
-    .gnd(vssd1),
+ BUFX2 BUFX2 (.VPWR(vccd1),
+    .VGND(vssd1),
+    .Y(net413),
+    .A(net7));
+ BUFX4 BUFX4 (.VPWR(vccd1),
+    .VGND(vssd1),
+    .Y(net415),
+    .A(net9));
+ CLKBUF1 CLKBUF1 (.VPWR(vccd1),
+    .VGND(vssd1),
+    .Y(net418),
+    .A(net11));
+ INV INV (.VPWR(vccd1),
+    .VGND(vssd1),
+    .Y(net420),
+    .A(net14));
+ INVX1 INVX1 (.VPWR(vccd1),
+    .VGND(vssd1),
+    .Y(net422),
+    .A(net16));
+ INVX2 INVX2 (.VPWR(vccd1),
+    .VGND(vssd1),
     .Y(net424),
-    .B(net18),
-    .A(net17));
- NAND3X1 NAND3X1 (.vdd(vccd1),
-    .gnd(vssd1),
+    .A(net18));
+ INVX4 INVX4 (.VPWR(vccd1),
+    .VGND(vssd1),
+    .Y(net426),
+    .A(net20));
+ INVX8 INVX8 (.VPWR(vccd1),
+    .VGND(vssd1),
     .Y(net429),
-    .A(net20),
-    .C(net22),
-    .B(net21));
- OR2X1 OR2X1 (.vdd(vccd1),
-    .gnd(vssd1),
-    .Y(net432),
-    .B(net26),
-    .A(net25));
- OR2X2 OR2X2 (.vdd(vccd1),
-    .gnd(vssd1),
-    .Y(net435),
-    .A(net28),
-    .B(net29));
- XOR2X1 XOR2X1 (.vdd(vccd1),
-    .gnd(vssd1),
-    .Y(net483),
-    .A(net31),
-    .B(net39));
+    .A(net22));
+ MUX2X1 MUX2X1 (.VPWR(vccd1),
+    .VGND(vssd1),
+    .Y(net433),
+    .S(net27),
+    .A(net25),
+    .B(net26));
+ NAND2X1 NAND2X1 (.VPWR(vccd1),
+    .VGND(vssd1),
+    .Y(net436),
+    .B(net30),
+    .A(net29));
+ NAND3X1 NAND3X1 (.VPWR(vccd1),
+    .VGND(vssd1),
+    .Y(net505),
+    .C(net89),
+    .B(net78),
+    .A(net39));
+ OR2X1 OR2X1 (.VPWR(vccd1),
+    .VGND(vssd1),
+    .Y(net538),
+    .A(net111),
+    .B(net122));
+ OR2X2 OR2X2 (.VPWR(vccd1),
+    .VGND(vssd1),
+    .Y(net571),
+    .A(net144),
+    .B(net155));
+ XNOR2X1 XNOR2X1 (.VPWR(vccd1),
+    .VGND(vssd1),
+    .Y(net475),
+    .B(net61),
+    .A(net50));
+ XOR2X1 XOR2X1 (.VPWR(vccd1),
+    .VGND(vssd1),
+    .Y(net478),
+    .A(net71),
+    .B(net72));
  sky130_fd_sc_ls__conb_1 _000_ (.HI(net371),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _001_ (.HI(net373),
+ sky130_fd_sc_ls__conb_1 _001_ (.HI(net372),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _002_ (.HI(net375),
+ sky130_fd_sc_ls__conb_1 _002_ (.HI(net374),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _003_ (.HI(net377),
+ sky130_fd_sc_ls__conb_1 _003_ (.HI(net376),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _004_ (.HI(net380),
+ sky130_fd_sc_ls__conb_1 _004_ (.HI(net378),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -145,122 +169,122 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _006_ (.HI(net382),
+ sky130_fd_sc_ls__conb_1 _006_ (.HI(net383),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _007_ (.HI(net384),
+ sky130_fd_sc_ls__conb_1 _007_ (.HI(net385),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _008_ (.HI(net385),
+ sky130_fd_sc_ls__conb_1 _008_ (.HI(net387),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _009_ (.HI(net387),
+ sky130_fd_sc_ls__conb_1 _009_ (.HI(net389),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _010_ (.HI(net388),
+ sky130_fd_sc_ls__conb_1 _010_ (.HI(net392),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _011_ (.HI(net389),
+ sky130_fd_sc_ls__conb_1 _011_ (.HI(net393),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _012_ (.HI(net392),
+ sky130_fd_sc_ls__conb_1 _012_ (.HI(net394),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _013_ (.HI(net393),
+ sky130_fd_sc_ls__conb_1 _013_ (.HI(net396),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _014_ (.HI(net395),
+ sky130_fd_sc_ls__conb_1 _014_ (.HI(net397),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _015_ (.HI(net396),
+ sky130_fd_sc_ls__conb_1 _015_ (.HI(net368),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _016_ (.HI(net398),
+ sky130_fd_sc_ls__conb_1 _016_ (.HI(net379),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _017_ (.HI(net368),
+ sky130_fd_sc_ls__conb_1 _017_ (.HI(net399),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _018_ (.HI(net379),
+ sky130_fd_sc_ls__conb_1 _018_ (.HI(net400),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _019_ (.HI(net399),
+ sky130_fd_sc_ls__conb_1 _019_ (.HI(net402),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _020_ (.HI(net400),
+ sky130_fd_sc_ls__conb_1 _020_ (.HI(net403),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _021_ (.HI(net402),
+ sky130_fd_sc_ls__conb_1 _021_ (.HI(net404),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _022_ (.HI(net403),
+ sky130_fd_sc_ls__conb_1 _022_ (.HI(net369),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _023_ (.HI(net404),
+ sky130_fd_sc_ls__conb_1 _023_ (.HI(net370),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _024_ (.HI(net369),
+ sky130_fd_sc_ls__conb_1 _024_ (.LO(net373),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _025_ (.LO(net372),
+ sky130_fd_sc_ls__conb_1 _025_ (.LO(net375),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _026_ (.LO(net374),
+ sky130_fd_sc_ls__conb_1 _026_ (.LO(net377),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _027_ (.LO(net376),
+ sky130_fd_sc_ls__conb_1 _027_ (.LO(net380),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _028_ (.LO(net378),
+ sky130_fd_sc_ls__conb_1 _028_ (.LO(net382),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _029_ (.LO(net383),
+ sky130_fd_sc_ls__conb_1 _029_ (.LO(net384),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -270,112 +294,112 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _031_ (.LO(net391),
+ sky130_fd_sc_ls__conb_1 _031_ (.LO(net388),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _032_ (.LO(net394),
+ sky130_fd_sc_ls__conb_1 _032_ (.LO(net391),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _033_ (.LO(net397),
+ sky130_fd_sc_ls__conb_1 _033_ (.LO(net395),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _034_ (.LO(net406),
+ sky130_fd_sc_ls__conb_1 _034_ (.LO(net398),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _035_ (.LO(net417),
+ sky130_fd_sc_ls__conb_1 _035_ (.LO(net406),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _036_ (.LO(net437),
+ sky130_fd_sc_ls__conb_1 _036_ (.LO(net417),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _037_ (.LO(net438),
+ sky130_fd_sc_ls__conb_1 _037_ (.LO(net437),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _038_ (.LO(net440),
+ sky130_fd_sc_ls__conb_1 _038_ (.LO(net438),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _039_ (.LO(net441),
+ sky130_fd_sc_ls__conb_1 _039_ (.LO(net440),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _040_ (.LO(net442),
+ sky130_fd_sc_ls__conb_1 _040_ (.LO(net441),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _041_ (.LO(net407),
+ sky130_fd_sc_ls__conb_1 _041_ (.LO(net442),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _042_ (.LO(net409),
+ sky130_fd_sc_ls__conb_1 _042_ (.LO(net407),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _043_ (.LO(net411),
+ sky130_fd_sc_ls__conb_1 _043_ (.LO(net408),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _044_ (.LO(net413),
+ sky130_fd_sc_ls__conb_1 _044_ (.LO(net409),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _045_ (.LO(net415),
+ sky130_fd_sc_ls__conb_1 _045_ (.LO(net410),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _046_ (.LO(net418),
+ sky130_fd_sc_ls__conb_1 _046_ (.LO(net412),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _047_ (.LO(net419),
+ sky130_fd_sc_ls__conb_1 _047_ (.LO(net414),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _048_ (.LO(net420),
+ sky130_fd_sc_ls__conb_1 _048_ (.LO(net416),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _049_ (.LO(net422),
+ sky130_fd_sc_ls__conb_1 _049_ (.LO(net419),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _050_ (.LO(net423),
+ sky130_fd_sc_ls__conb_1 _050_ (.LO(net421),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _051_ (.LO(net425),
+ sky130_fd_sc_ls__conb_1 _051_ (.LO(net423),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _052_ (.LO(net426),
+ sky130_fd_sc_ls__conb_1 _052_ (.LO(net425),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -395,7 +419,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _056_ (.LO(net433),
+ sky130_fd_sc_ls__conb_1 _056_ (.LO(net432),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -405,7 +429,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _058_ (.LO(net436),
+ sky130_fd_sc_ls__conb_1 _058_ (.LO(net435),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -415,12 +439,12 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _060_ (.LO(net494),
+ sky130_fd_sc_ls__conb_1 _060_ (.LO(net483),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _061_ (.LO(net505),
+ sky130_fd_sc_ls__conb_1 _061_ (.LO(net494),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -435,797 +459,772 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _064_ (.LO(net538),
+ sky130_fd_sc_ls__conb_1 _064_ (.LO(net549),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _065_ (.LO(net549),
+ sky130_fd_sc_ls__conb_1 _065_ (.LO(net560),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _066_ (.LO(net560),
+ sky130_fd_sc_ls__conb_1 _066_ (.LO(net455),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _067_ (.LO(net571),
+ sky130_fd_sc_ls__conb_1 _067_ (.LO(net466),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _068_ (.LO(net455),
+ sky130_fd_sc_ls__conb_1 _068_ (.LO(net476),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _069_ (.LO(net466),
+ sky130_fd_sc_ls__conb_1 _069_ (.LO(net477),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _070_ (.LO(net475),
+ sky130_fd_sc_ls__conb_1 _070_ (.LO(net479),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _071_ (.LO(net476),
+ sky130_fd_sc_ls__conb_1 _071_ (.LO(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _072_ (.LO(net477),
+ sky130_fd_sc_ls__conb_1 _072_ (.LO(net481),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _073_ (.LO(net478),
+ sky130_fd_sc_ls__conb_1 _073_ (.LO(net482),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _074_ (.LO(net479),
+ sky130_fd_sc_ls__conb_1 _074_ (.LO(net484),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _075_ (.LO(net480),
+ sky130_fd_sc_ls__conb_1 _075_ (.LO(net485),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _076_ (.LO(net481),
+ sky130_fd_sc_ls__conb_1 _076_ (.LO(net486),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _077_ (.LO(net482),
+ sky130_fd_sc_ls__conb_1 _077_ (.LO(net487),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _078_ (.LO(net484),
+ sky130_fd_sc_ls__conb_1 _078_ (.LO(net488),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _079_ (.LO(net485),
+ sky130_fd_sc_ls__conb_1 _079_ (.LO(net489),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _080_ (.LO(net486),
+ sky130_fd_sc_ls__conb_1 _080_ (.LO(net490),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _081_ (.LO(net487),
+ sky130_fd_sc_ls__conb_1 _081_ (.LO(net491),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _082_ (.LO(net488),
+ sky130_fd_sc_ls__conb_1 _082_ (.LO(net492),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _083_ (.LO(net489),
+ sky130_fd_sc_ls__conb_1 _083_ (.LO(net493),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _084_ (.LO(net490),
+ sky130_fd_sc_ls__conb_1 _084_ (.LO(net495),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _085_ (.LO(net491),
+ sky130_fd_sc_ls__conb_1 _085_ (.LO(net496),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _086_ (.LO(net492),
+ sky130_fd_sc_ls__conb_1 _086_ (.LO(net497),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _087_ (.LO(net493),
+ sky130_fd_sc_ls__conb_1 _087_ (.LO(net498),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _088_ (.LO(net495),
+ sky130_fd_sc_ls__conb_1 _088_ (.LO(net499),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _089_ (.LO(net496),
+ sky130_fd_sc_ls__conb_1 _089_ (.LO(net500),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _090_ (.LO(net497),
+ sky130_fd_sc_ls__conb_1 _090_ (.LO(net501),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _091_ (.LO(net498),
+ sky130_fd_sc_ls__conb_1 _091_ (.LO(net502),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _092_ (.LO(net499),
+ sky130_fd_sc_ls__conb_1 _092_ (.LO(net503),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _093_ (.LO(net500),
+ sky130_fd_sc_ls__conb_1 _093_ (.LO(net504),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _094_ (.LO(net501),
+ sky130_fd_sc_ls__conb_1 _094_ (.LO(net506),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _095_ (.LO(net502),
+ sky130_fd_sc_ls__conb_1 _095_ (.LO(net507),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _096_ (.LO(net503),
+ sky130_fd_sc_ls__conb_1 _096_ (.LO(net508),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _097_ (.LO(net504),
+ sky130_fd_sc_ls__conb_1 _097_ (.LO(net509),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _098_ (.LO(net506),
+ sky130_fd_sc_ls__conb_1 _098_ (.LO(net510),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _099_ (.LO(net507),
+ sky130_fd_sc_ls__conb_1 _099_ (.LO(net511),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _100_ (.LO(net508),
+ sky130_fd_sc_ls__conb_1 _100_ (.LO(net512),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _101_ (.LO(net509),
+ sky130_fd_sc_ls__conb_1 _101_ (.LO(net513),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _102_ (.LO(net510),
+ sky130_fd_sc_ls__conb_1 _102_ (.LO(net514),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _103_ (.LO(net511),
+ sky130_fd_sc_ls__conb_1 _103_ (.LO(net515),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _104_ (.LO(net512),
+ sky130_fd_sc_ls__conb_1 _104_ (.LO(net517),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _105_ (.LO(net513),
+ sky130_fd_sc_ls__conb_1 _105_ (.LO(net518),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _106_ (.LO(net514),
+ sky130_fd_sc_ls__conb_1 _106_ (.LO(net519),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _107_ (.LO(net515),
+ sky130_fd_sc_ls__conb_1 _107_ (.LO(net520),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _108_ (.LO(net517),
+ sky130_fd_sc_ls__conb_1 _108_ (.LO(net521),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _109_ (.LO(net518),
+ sky130_fd_sc_ls__conb_1 _109_ (.LO(net522),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _110_ (.LO(net519),
+ sky130_fd_sc_ls__conb_1 _110_ (.LO(net523),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _111_ (.LO(net520),
+ sky130_fd_sc_ls__conb_1 _111_ (.LO(net524),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _112_ (.LO(net521),
+ sky130_fd_sc_ls__conb_1 _112_ (.LO(net525),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _113_ (.LO(net522),
+ sky130_fd_sc_ls__conb_1 _113_ (.LO(net526),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _114_ (.LO(net523),
+ sky130_fd_sc_ls__conb_1 _114_ (.LO(net528),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _115_ (.LO(net524),
+ sky130_fd_sc_ls__conb_1 _115_ (.LO(net529),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _116_ (.LO(net525),
+ sky130_fd_sc_ls__conb_1 _116_ (.LO(net530),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _117_ (.LO(net526),
+ sky130_fd_sc_ls__conb_1 _117_ (.LO(net531),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _118_ (.LO(net528),
+ sky130_fd_sc_ls__conb_1 _118_ (.LO(net532),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _119_ (.LO(net529),
+ sky130_fd_sc_ls__conb_1 _119_ (.LO(net533),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _120_ (.LO(net530),
+ sky130_fd_sc_ls__conb_1 _120_ (.LO(net534),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _121_ (.LO(net531),
+ sky130_fd_sc_ls__conb_1 _121_ (.LO(net535),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _122_ (.LO(net532),
+ sky130_fd_sc_ls__conb_1 _122_ (.LO(net536),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _123_ (.LO(net533),
+ sky130_fd_sc_ls__conb_1 _123_ (.LO(net537),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _124_ (.LO(net534),
+ sky130_fd_sc_ls__conb_1 _124_ (.LO(net539),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _125_ (.LO(net535),
+ sky130_fd_sc_ls__conb_1 _125_ (.LO(net540),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _126_ (.LO(net536),
+ sky130_fd_sc_ls__conb_1 _126_ (.LO(net541),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _127_ (.LO(net537),
+ sky130_fd_sc_ls__conb_1 _127_ (.LO(net542),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _128_ (.LO(net539),
+ sky130_fd_sc_ls__conb_1 _128_ (.LO(net543),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _129_ (.LO(net540),
+ sky130_fd_sc_ls__conb_1 _129_ (.LO(net544),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _130_ (.LO(net541),
+ sky130_fd_sc_ls__conb_1 _130_ (.LO(net545),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _131_ (.LO(net542),
+ sky130_fd_sc_ls__conb_1 _131_ (.LO(net546),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _132_ (.LO(net543),
+ sky130_fd_sc_ls__conb_1 _132_ (.LO(net547),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _133_ (.LO(net544),
+ sky130_fd_sc_ls__conb_1 _133_ (.LO(net548),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _134_ (.LO(net545),
+ sky130_fd_sc_ls__conb_1 _134_ (.LO(net550),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _135_ (.LO(net546),
+ sky130_fd_sc_ls__conb_1 _135_ (.LO(net551),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _136_ (.LO(net547),
+ sky130_fd_sc_ls__conb_1 _136_ (.LO(net552),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _137_ (.LO(net548),
+ sky130_fd_sc_ls__conb_1 _137_ (.LO(net553),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _138_ (.LO(net550),
+ sky130_fd_sc_ls__conb_1 _138_ (.LO(net554),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _139_ (.LO(net551),
+ sky130_fd_sc_ls__conb_1 _139_ (.LO(net555),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _140_ (.LO(net552),
+ sky130_fd_sc_ls__conb_1 _140_ (.LO(net556),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _141_ (.LO(net553),
+ sky130_fd_sc_ls__conb_1 _141_ (.LO(net557),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _142_ (.LO(net554),
+ sky130_fd_sc_ls__conb_1 _142_ (.LO(net558),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _143_ (.LO(net555),
+ sky130_fd_sc_ls__conb_1 _143_ (.LO(net559),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _144_ (.LO(net556),
+ sky130_fd_sc_ls__conb_1 _144_ (.LO(net561),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _145_ (.LO(net557),
+ sky130_fd_sc_ls__conb_1 _145_ (.LO(net562),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _146_ (.LO(net558),
+ sky130_fd_sc_ls__conb_1 _146_ (.LO(net563),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _147_ (.LO(net559),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _148_ (.LO(net561),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _149_ (.LO(net562),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _150_ (.LO(net563),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _151_ (.LO(net564),
-    .VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _152_ (.LO(net565),
+ sky130_fd_sc_ls__conb_1 _147_ (.LO(net564),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _153_ (.LO(net566),
+ sky130_fd_sc_ls__conb_1 _148_ (.LO(net565),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _154_ (.LO(net567),
+ sky130_fd_sc_ls__conb_1 _149_ (.LO(net566),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _155_ (.LO(net568),
+ sky130_fd_sc_ls__conb_1 _150_ (.LO(net567),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _156_ (.LO(net569),
+ sky130_fd_sc_ls__conb_1 _151_ (.LO(net568),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _157_ (.LO(net570),
+ sky130_fd_sc_ls__conb_1 _152_ (.LO(net569),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _158_ (.LO(net445),
+ sky130_fd_sc_ls__conb_1 _153_ (.LO(net570),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _159_ (.LO(net446),
+ sky130_fd_sc_ls__conb_1 _154_ (.LO(net445),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _160_ (.LO(net447),
+ sky130_fd_sc_ls__conb_1 _155_ (.LO(net446),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _161_ (.LO(net448),
+ sky130_fd_sc_ls__conb_1 _156_ (.LO(net447),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _162_ (.LO(net449),
+ sky130_fd_sc_ls__conb_1 _157_ (.LO(net448),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _163_ (.LO(net450),
+ sky130_fd_sc_ls__conb_1 _158_ (.LO(net449),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _164_ (.LO(net451),
+ sky130_fd_sc_ls__conb_1 _159_ (.LO(net450),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _165_ (.LO(net452),
+ sky130_fd_sc_ls__conb_1 _160_ (.LO(net451),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _166_ (.LO(net453),
+ sky130_fd_sc_ls__conb_1 _161_ (.LO(net452),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _167_ (.LO(net454),
+ sky130_fd_sc_ls__conb_1 _162_ (.LO(net453),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _168_ (.LO(net456),
+ sky130_fd_sc_ls__conb_1 _163_ (.LO(net454),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _169_ (.LO(net457),
+ sky130_fd_sc_ls__conb_1 _164_ (.LO(net456),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _170_ (.LO(net458),
+ sky130_fd_sc_ls__conb_1 _165_ (.LO(net457),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _171_ (.LO(net459),
+ sky130_fd_sc_ls__conb_1 _166_ (.LO(net458),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _172_ (.LO(net460),
+ sky130_fd_sc_ls__conb_1 _167_ (.LO(net459),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _173_ (.LO(net461),
+ sky130_fd_sc_ls__conb_1 _168_ (.LO(net460),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _174_ (.LO(net462),
+ sky130_fd_sc_ls__conb_1 _169_ (.LO(net461),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _175_ (.LO(net463),
+ sky130_fd_sc_ls__conb_1 _170_ (.LO(net462),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _176_ (.LO(net464),
+ sky130_fd_sc_ls__conb_1 _171_ (.LO(net463),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _177_ (.LO(net465),
+ sky130_fd_sc_ls__conb_1 _172_ (.LO(net464),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _178_ (.LO(net467),
+ sky130_fd_sc_ls__conb_1 _173_ (.LO(net465),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _179_ (.LO(net468),
+ sky130_fd_sc_ls__conb_1 _174_ (.LO(net467),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _180_ (.LO(net469),
+ sky130_fd_sc_ls__conb_1 _175_ (.LO(net468),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _181_ (.LO(net470),
+ sky130_fd_sc_ls__conb_1 _176_ (.LO(net469),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _182_ (.LO(net471),
+ sky130_fd_sc_ls__conb_1 _177_ (.LO(net470),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _183_ (.LO(net472),
+ sky130_fd_sc_ls__conb_1 _178_ (.LO(net471),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _184_ (.LO(net473),
+ sky130_fd_sc_ls__conb_1 _179_ (.LO(net472),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _185_ (.LO(net474),
+ sky130_fd_sc_ls__conb_1 _180_ (.LO(net473),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _186_ (.LO(net572),
+ sky130_fd_sc_ls__conb_1 _181_ (.LO(net474),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _187_ (.LO(net573),
+ sky130_fd_sc_ls__conb_1 _182_ (.LO(net572),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _188_ (.LO(net584),
+ sky130_fd_sc_ls__conb_1 _183_ (.LO(net573),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _189_ (.LO(net595),
+ sky130_fd_sc_ls__conb_1 _184_ (.LO(net584),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _190_ (.LO(net598),
+ sky130_fd_sc_ls__conb_1 _185_ (.LO(net595),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _191_ (.LO(net599),
+ sky130_fd_sc_ls__conb_1 _186_ (.LO(net598),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _192_ (.LO(net600),
+ sky130_fd_sc_ls__conb_1 _187_ (.LO(net599),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _193_ (.LO(net601),
+ sky130_fd_sc_ls__conb_1 _188_ (.LO(net600),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _194_ (.LO(net602),
+ sky130_fd_sc_ls__conb_1 _189_ (.LO(net601),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _195_ (.LO(net603),
+ sky130_fd_sc_ls__conb_1 _190_ (.LO(net602),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _196_ (.LO(net604),
+ sky130_fd_sc_ls__conb_1 _191_ (.LO(net603),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _197_ (.LO(net574),
+ sky130_fd_sc_ls__conb_1 _192_ (.LO(net604),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _198_ (.LO(net575),
+ sky130_fd_sc_ls__conb_1 _193_ (.LO(net574),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _199_ (.LO(net576),
+ sky130_fd_sc_ls__conb_1 _194_ (.LO(net575),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _200_ (.LO(net577),
+ sky130_fd_sc_ls__conb_1 _195_ (.LO(net576),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _201_ (.LO(net578),
+ sky130_fd_sc_ls__conb_1 _196_ (.LO(net577),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _202_ (.LO(net579),
+ sky130_fd_sc_ls__conb_1 _197_ (.LO(net578),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _203_ (.LO(net580),
+ sky130_fd_sc_ls__conb_1 _198_ (.LO(net579),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _204_ (.LO(net581),
+ sky130_fd_sc_ls__conb_1 _199_ (.LO(net580),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _205_ (.LO(net582),
+ sky130_fd_sc_ls__conb_1 _200_ (.LO(net581),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _206_ (.LO(net583),
+ sky130_fd_sc_ls__conb_1 _201_ (.LO(net582),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _207_ (.LO(net585),
+ sky130_fd_sc_ls__conb_1 _202_ (.LO(net583),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _208_ (.LO(net586),
+ sky130_fd_sc_ls__conb_1 _203_ (.LO(net585),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _209_ (.LO(net587),
+ sky130_fd_sc_ls__conb_1 _204_ (.LO(net586),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _210_ (.LO(net588),
+ sky130_fd_sc_ls__conb_1 _205_ (.LO(net587),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _211_ (.LO(net589),
+ sky130_fd_sc_ls__conb_1 _206_ (.LO(net588),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _212_ (.LO(net590),
+ sky130_fd_sc_ls__conb_1 _207_ (.LO(net589),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _213_ (.LO(net591),
+ sky130_fd_sc_ls__conb_1 _208_ (.LO(net590),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _214_ (.LO(net592),
+ sky130_fd_sc_ls__conb_1 _209_ (.LO(net591),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _215_ (.LO(net593),
+ sky130_fd_sc_ls__conb_1 _210_ (.LO(net592),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _216_ (.LO(net594),
+ sky130_fd_sc_ls__conb_1 _211_ (.LO(net593),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _217_ (.LO(net596),
+ sky130_fd_sc_ls__conb_1 _212_ (.LO(net594),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _218_ (.LO(net597),
+ sky130_fd_sc_ls__conb_1 _213_ (.LO(net596),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _219_ (.LO(net390),
+ sky130_fd_sc_ls__conb_1 _214_ (.LO(net597),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _220_ (.LO(net401),
+ sky130_fd_sc_ls__conb_1 _215_ (.LO(net390),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _221_ (.LO(net405),
+ sky130_fd_sc_ls__conb_1 _216_ (.LO(net401),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__conb_1 _222_ (.LO(net370),
+ sky130_fd_sc_ls__conb_1 _217_ (.LO(net405),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -3662,7 +3661,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__clkbuf_1 input3 (.A(io_in[11]),
+ sky130_fd_sc_ls__buf_2 input3 (.A(io_in[11]),
     .X(net3),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -3674,43 +3673,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__clkbuf_1 input5 (.A(io_in[13]),
+ sky130_fd_sc_ls__buf_2 input5 (.A(io_in[13]),
     .X(net5),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__buf_2 input6 (.A(io_in[14]),
+ sky130_fd_sc_ls__clkbuf_1 input6 (.A(io_in[14]),
     .X(net6),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__clkbuf_1 input7 (.A(io_in[15]),
+ sky130_fd_sc_ls__buf_2 input7 (.A(io_in[15]),
     .X(net7),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__buf_2 input8 (.A(io_in[16]),
+ sky130_fd_sc_ls__clkbuf_1 input8 (.A(io_in[16]),
     .X(net8),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__clkbuf_1 input9 (.A(io_in[17]),
+ sky130_fd_sc_ls__buf_2 input9 (.A(io_in[17]),
     .X(net9),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__buf_2 input10 (.A(io_in[18]),
+ sky130_fd_sc_ls__clkbuf_1 input10 (.A(io_in[18]),
     .X(net10),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__clkbuf_1 input11 (.A(io_in[19]),
+ sky130_fd_sc_ls__buf_2 input11 (.A(io_in[19]),
     .X(net11),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -3722,7 +3721,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__buf_2 input13 (.A(io_in[20]),
+ sky130_fd_sc_ls__clkbuf_1 input13 (.A(io_in[20]),
     .X(net13),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -3734,19 +3733,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__buf_2 input15 (.A(io_in[22]),
+ sky130_fd_sc_ls__clkbuf_1 input15 (.A(io_in[22]),
     .X(net15),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__clkbuf_1 input16 (.A(io_in[23]),
+ sky130_fd_sc_ls__clkbuf_4 input16 (.A(io_in[23]),
     .X(net16),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__clkbuf_4 input17 (.A(io_in[24]),
+ sky130_fd_sc_ls__clkbuf_1 input17 (.A(io_in[24]),
     .X(net17),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -3770,7 +3769,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__clkbuf_4 input21 (.A(io_in[28]),
+ sky130_fd_sc_ls__clkbuf_1 input21 (.A(io_in[28]),
     .X(net21),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -3806,13 +3805,13 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__clkbuf_1 input27 (.A(io_in[33]),
+ sky130_fd_sc_ls__clkbuf_4 input27 (.A(io_in[33]),
     .X(net27),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__clkbuf_4 input28 (.A(io_in[34]),
+ sky130_fd_sc_ls__clkbuf_1 input28 (.A(io_in[34]),
     .X(net28),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -3824,13 +3823,13 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__clkbuf_1 input30 (.A(io_in[36]),
+ sky130_fd_sc_ls__clkbuf_4 input30 (.A(io_in[36]),
     .X(net30),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__clkbuf_4 input31 (.A(io_in[37]),
+ sky130_fd_sc_ls__clkbuf_1 input31 (.A(io_in[37]),
     .X(net31),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -3944,7 +3943,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__clkbuf_1 input50 (.A(la_data_in[10]),
+ sky130_fd_sc_ls__buf_1 input50 (.A(la_data_in[10]),
     .X(net50),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -4010,7 +4009,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__clkbuf_1 input61 (.A(la_data_in[11]),
+ sky130_fd_sc_ls__buf_1 input61 (.A(la_data_in[11]),
     .X(net61),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -4070,13 +4069,13 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__clkbuf_1 input71 (.A(la_data_in[13]),
+ sky130_fd_sc_ls__buf_1 input71 (.A(la_data_in[13]),
     .X(net71),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__clkbuf_1 input72 (.A(la_data_in[14]),
+ sky130_fd_sc_ls__buf_1 input72 (.A(la_data_in[14]),
     .X(net72),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -4310,7 +4309,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__clkbuf_1 input111 (.A(la_data_in[4]),
+ sky130_fd_sc_ls__buf_1 input111 (.A(la_data_in[4]),
     .X(net111),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -4376,7 +4375,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__clkbuf_1 input122 (.A(la_data_in[5]),
+ sky130_fd_sc_ls__buf_1 input122 (.A(la_data_in[5]),
     .X(net122),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -4508,7 +4507,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__clkbuf_1 input144 (.A(la_data_in[7]),
+ sky130_fd_sc_ls__buf_1 input144 (.A(la_data_in[7]),
     .X(net144),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -4574,7 +4573,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__clkbuf_1 input155 (.A(la_data_in[8]),
+ sky130_fd_sc_ls__buf_1 input155 (.A(la_data_in[8]),
     .X(net155),
     .VGND(vssd1),
     .VNB(vssd1),
@@ -7274,42 +7273,42 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_0 (.DIODE(net369),
+ sky130_fd_sc_ls__diode_2 ANTENNA_0 (.DIODE(net368),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_1 (.DIODE(net369),
+ sky130_fd_sc_ls__diode_2 ANTENNA_1 (.DIODE(net368),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_2 (.DIODE(net370),
+ sky130_fd_sc_ls__diode_2 ANTENNA_2 (.DIODE(net371),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_3 (.DIODE(net370),
+ sky130_fd_sc_ls__diode_2 ANTENNA_3 (.DIODE(net371),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_4 (.DIODE(net371),
+ sky130_fd_sc_ls__diode_2 ANTENNA_4 (.DIODE(net373),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_5 (.DIODE(net371),
+ sky130_fd_sc_ls__diode_2 ANTENNA_5 (.DIODE(net373),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_6 (.DIODE(net372),
+ sky130_fd_sc_ls__diode_2 ANTENNA_6 (.DIODE(net375),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_7 (.DIODE(net372),
+ sky130_fd_sc_ls__diode_2 ANTENNA_7 (.DIODE(net375),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -7324,832 +7323,832 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_10 (.DIODE(net379),
+ sky130_fd_sc_ls__diode_2 ANTENNA_10 (.DIODE(net378),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_11 (.DIODE(net379),
+ sky130_fd_sc_ls__diode_2 ANTENNA_11 (.DIODE(net378),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_12 (.DIODE(net383),
+ sky130_fd_sc_ls__diode_2 ANTENNA_12 (.DIODE(net378),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_13 (.DIODE(net383),
+ sky130_fd_sc_ls__diode_2 ANTENNA_13 (.DIODE(net379),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_14 (.DIODE(net383),
+ sky130_fd_sc_ls__diode_2 ANTENNA_14 (.DIODE(net379),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_15 (.DIODE(net384),
+ sky130_fd_sc_ls__diode_2 ANTENNA_15 (.DIODE(net379),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_16 (.DIODE(net384),
+ sky130_fd_sc_ls__diode_2 ANTENNA_16 (.DIODE(net381),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_17 (.DIODE(net385),
+ sky130_fd_sc_ls__diode_2 ANTENNA_17 (.DIODE(net381),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_18 (.DIODE(net385),
+ sky130_fd_sc_ls__diode_2 ANTENNA_18 (.DIODE(net382),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_19 (.DIODE(net386),
+ sky130_fd_sc_ls__diode_2 ANTENNA_19 (.DIODE(net382),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_20 (.DIODE(net386),
+ sky130_fd_sc_ls__diode_2 ANTENNA_20 (.DIODE(net384),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_21 (.DIODE(net389),
+ sky130_fd_sc_ls__diode_2 ANTENNA_21 (.DIODE(net384),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_22 (.DIODE(net389),
+ sky130_fd_sc_ls__diode_2 ANTENNA_22 (.DIODE(net385),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_23 (.DIODE(net393),
+ sky130_fd_sc_ls__diode_2 ANTENNA_23 (.DIODE(net385),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_24 (.DIODE(net393),
+ sky130_fd_sc_ls__diode_2 ANTENNA_24 (.DIODE(net386),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_25 (.DIODE(net393),
+ sky130_fd_sc_ls__diode_2 ANTENNA_25 (.DIODE(net386),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_26 (.DIODE(net394),
+ sky130_fd_sc_ls__diode_2 ANTENNA_26 (.DIODE(net387),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_27 (.DIODE(net397),
+ sky130_fd_sc_ls__diode_2 ANTENNA_27 (.DIODE(net387),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_28 (.DIODE(net397),
+ sky130_fd_sc_ls__diode_2 ANTENNA_28 (.DIODE(net388),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_29 (.DIODE(net398),
+ sky130_fd_sc_ls__diode_2 ANTENNA_29 (.DIODE(net388),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_30 (.DIODE(net398),
+ sky130_fd_sc_ls__diode_2 ANTENNA_30 (.DIODE(net389),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_31 (.DIODE(net399),
+ sky130_fd_sc_ls__diode_2 ANTENNA_31 (.DIODE(net389),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_32 (.DIODE(net399),
+ sky130_fd_sc_ls__diode_2 ANTENNA_32 (.DIODE(net395),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_33 (.DIODE(net401),
+ sky130_fd_sc_ls__diode_2 ANTENNA_33 (.DIODE(net395),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_34 (.DIODE(net401),
+ sky130_fd_sc_ls__diode_2 ANTENNA_34 (.DIODE(net396),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_35 (.DIODE(net404),
+ sky130_fd_sc_ls__diode_2 ANTENNA_35 (.DIODE(net396),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_36 (.DIODE(net404),
+ sky130_fd_sc_ls__diode_2 ANTENNA_36 (.DIODE(net397),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_37 (.DIODE(net406),
+ sky130_fd_sc_ls__diode_2 ANTENNA_37 (.DIODE(net397),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_38 (.DIODE(net406),
+ sky130_fd_sc_ls__diode_2 ANTENNA_38 (.DIODE(net397),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_39 (.DIODE(net407),
+ sky130_fd_sc_ls__diode_2 ANTENNA_39 (.DIODE(net398),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_40 (.DIODE(net407),
+ sky130_fd_sc_ls__diode_2 ANTENNA_40 (.DIODE(net398),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_41 (.DIODE(net408),
+ sky130_fd_sc_ls__diode_2 ANTENNA_41 (.DIODE(net398),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_42 (.DIODE(net408),
+ sky130_fd_sc_ls__diode_2 ANTENNA_42 (.DIODE(net399),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_43 (.DIODE(net409),
+ sky130_fd_sc_ls__diode_2 ANTENNA_43 (.DIODE(net399),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_44 (.DIODE(net409),
+ sky130_fd_sc_ls__diode_2 ANTENNA_44 (.DIODE(net402),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_45 (.DIODE(net410),
+ sky130_fd_sc_ls__diode_2 ANTENNA_45 (.DIODE(net402),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_46 (.DIODE(net410),
+ sky130_fd_sc_ls__diode_2 ANTENNA_46 (.DIODE(net404),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_47 (.DIODE(net411),
+ sky130_fd_sc_ls__diode_2 ANTENNA_47 (.DIODE(net404),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_48 (.DIODE(net411),
+ sky130_fd_sc_ls__diode_2 ANTENNA_48 (.DIODE(net407),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_49 (.DIODE(net412),
+ sky130_fd_sc_ls__diode_2 ANTENNA_49 (.DIODE(net407),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_50 (.DIODE(net412),
+ sky130_fd_sc_ls__diode_2 ANTENNA_50 (.DIODE(net410),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_51 (.DIODE(net414),
+ sky130_fd_sc_ls__diode_2 ANTENNA_51 (.DIODE(net410),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_52 (.DIODE(net414),
+ sky130_fd_sc_ls__diode_2 ANTENNA_52 (.DIODE(net411),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_53 (.DIODE(net415),
+ sky130_fd_sc_ls__diode_2 ANTENNA_53 (.DIODE(net411),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_54 (.DIODE(net415),
+ sky130_fd_sc_ls__diode_2 ANTENNA_54 (.DIODE(net412),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_55 (.DIODE(net416),
+ sky130_fd_sc_ls__diode_2 ANTENNA_55 (.DIODE(net412),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_56 (.DIODE(net416),
+ sky130_fd_sc_ls__diode_2 ANTENNA_56 (.DIODE(net413),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_57 (.DIODE(net417),
+ sky130_fd_sc_ls__diode_2 ANTENNA_57 (.DIODE(net413),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_58 (.DIODE(net417),
+ sky130_fd_sc_ls__diode_2 ANTENNA_58 (.DIODE(net414),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_59 (.DIODE(net419),
+ sky130_fd_sc_ls__diode_2 ANTENNA_59 (.DIODE(net414),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_60 (.DIODE(net419),
+ sky130_fd_sc_ls__diode_2 ANTENNA_60 (.DIODE(net415),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_61 (.DIODE(net420),
+ sky130_fd_sc_ls__diode_2 ANTENNA_61 (.DIODE(net415),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_62 (.DIODE(net420),
+ sky130_fd_sc_ls__diode_2 ANTENNA_62 (.DIODE(net416),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_63 (.DIODE(net421),
+ sky130_fd_sc_ls__diode_2 ANTENNA_63 (.DIODE(net416),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_64 (.DIODE(net421),
+ sky130_fd_sc_ls__diode_2 ANTENNA_64 (.DIODE(net417),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_65 (.DIODE(net421),
+ sky130_fd_sc_ls__diode_2 ANTENNA_65 (.DIODE(net417),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_66 (.DIODE(net422),
+ sky130_fd_sc_ls__diode_2 ANTENNA_66 (.DIODE(net417),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_67 (.DIODE(net422),
+ sky130_fd_sc_ls__diode_2 ANTENNA_67 (.DIODE(net418),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_68 (.DIODE(net424),
+ sky130_fd_sc_ls__diode_2 ANTENNA_68 (.DIODE(net418),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_69 (.DIODE(net424),
+ sky130_fd_sc_ls__diode_2 ANTENNA_69 (.DIODE(net420),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_70 (.DIODE(net425),
+ sky130_fd_sc_ls__diode_2 ANTENNA_70 (.DIODE(net420),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_71 (.DIODE(net425),
+ sky130_fd_sc_ls__diode_2 ANTENNA_71 (.DIODE(net421),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_72 (.DIODE(net425),
+ sky130_fd_sc_ls__diode_2 ANTENNA_72 (.DIODE(net421),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_73 (.DIODE(net426),
+ sky130_fd_sc_ls__diode_2 ANTENNA_73 (.DIODE(net422),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_74 (.DIODE(net426),
+ sky130_fd_sc_ls__diode_2 ANTENNA_74 (.DIODE(net422),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_75 (.DIODE(net426),
+ sky130_fd_sc_ls__diode_2 ANTENNA_75 (.DIODE(net424),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_76 (.DIODE(net427),
+ sky130_fd_sc_ls__diode_2 ANTENNA_76 (.DIODE(net424),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_77 (.DIODE(net427),
+ sky130_fd_sc_ls__diode_2 ANTENNA_77 (.DIODE(net425),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_78 (.DIODE(net428),
+ sky130_fd_sc_ls__diode_2 ANTENNA_78 (.DIODE(net425),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_79 (.DIODE(net428),
+ sky130_fd_sc_ls__diode_2 ANTENNA_79 (.DIODE(net426),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_80 (.DIODE(net429),
+ sky130_fd_sc_ls__diode_2 ANTENNA_80 (.DIODE(net426),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_81 (.DIODE(net429),
+ sky130_fd_sc_ls__diode_2 ANTENNA_81 (.DIODE(net427),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_82 (.DIODE(net430),
+ sky130_fd_sc_ls__diode_2 ANTENNA_82 (.DIODE(net427),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_83 (.DIODE(net430),
+ sky130_fd_sc_ls__diode_2 ANTENNA_83 (.DIODE(net428),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_84 (.DIODE(net432),
+ sky130_fd_sc_ls__diode_2 ANTENNA_84 (.DIODE(net428),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_85 (.DIODE(net432),
+ sky130_fd_sc_ls__diode_2 ANTENNA_85 (.DIODE(net429),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_86 (.DIODE(net432),
+ sky130_fd_sc_ls__diode_2 ANTENNA_86 (.DIODE(net429),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_87 (.DIODE(net433),
+ sky130_fd_sc_ls__diode_2 ANTENNA_87 (.DIODE(net431),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_88 (.DIODE(net434),
+ sky130_fd_sc_ls__diode_2 ANTENNA_88 (.DIODE(net431),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_89 (.DIODE(net435),
+ sky130_fd_sc_ls__diode_2 ANTENNA_89 (.DIODE(net431),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_90 (.DIODE(net435),
+ sky130_fd_sc_ls__diode_2 ANTENNA_90 (.DIODE(net432),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_91 (.DIODE(net435),
+ sky130_fd_sc_ls__diode_2 ANTENNA_91 (.DIODE(net432),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_92 (.DIODE(net436),
+ sky130_fd_sc_ls__diode_2 ANTENNA_92 (.DIODE(net432),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_93 (.DIODE(net436),
+ sky130_fd_sc_ls__diode_2 ANTENNA_93 (.DIODE(net433),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_94 (.DIODE(net436),
+ sky130_fd_sc_ls__diode_2 ANTENNA_94 (.DIODE(net433),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_95 (.DIODE(net437),
+ sky130_fd_sc_ls__diode_2 ANTENNA_95 (.DIODE(net433),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_96 (.DIODE(net437),
+ sky130_fd_sc_ls__diode_2 ANTENNA_96 (.DIODE(net434),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_97 (.DIODE(net438),
+ sky130_fd_sc_ls__diode_2 ANTENNA_97 (.DIODE(net434),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_98 (.DIODE(net438),
+ sky130_fd_sc_ls__diode_2 ANTENNA_98 (.DIODE(net434),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_99 (.DIODE(net439),
+ sky130_fd_sc_ls__diode_2 ANTENNA_99 (.DIODE(net435),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_100 (.DIODE(net439),
+ sky130_fd_sc_ls__diode_2 ANTENNA_100 (.DIODE(net435),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_101 (.DIODE(net440),
+ sky130_fd_sc_ls__diode_2 ANTENNA_101 (.DIODE(net436),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_102 (.DIODE(net440),
+ sky130_fd_sc_ls__diode_2 ANTENNA_102 (.DIODE(net436),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_103 (.DIODE(net443),
+ sky130_fd_sc_ls__diode_2 ANTENNA_103 (.DIODE(net437),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_104 (.DIODE(net443),
+ sky130_fd_sc_ls__diode_2 ANTENNA_104 (.DIODE(net437),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_105 (.DIODE(net445),
+ sky130_fd_sc_ls__diode_2 ANTENNA_105 (.DIODE(net438),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_106 (.DIODE(net447),
+ sky130_fd_sc_ls__diode_2 ANTENNA_106 (.DIODE(net438),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_107 (.DIODE(net447),
+ sky130_fd_sc_ls__diode_2 ANTENNA_107 (.DIODE(net439),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_108 (.DIODE(net450),
+ sky130_fd_sc_ls__diode_2 ANTENNA_108 (.DIODE(net439),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_109 (.DIODE(net450),
+ sky130_fd_sc_ls__diode_2 ANTENNA_109 (.DIODE(net440),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_110 (.DIODE(net455),
+ sky130_fd_sc_ls__diode_2 ANTENNA_110 (.DIODE(net440),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_111 (.DIODE(net455),
+ sky130_fd_sc_ls__diode_2 ANTENNA_111 (.DIODE(net441),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_112 (.DIODE(net460),
+ sky130_fd_sc_ls__diode_2 ANTENNA_112 (.DIODE(net441),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_113 (.DIODE(net460),
+ sky130_fd_sc_ls__diode_2 ANTENNA_113 (.DIODE(net442),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_114 (.DIODE(net462),
+ sky130_fd_sc_ls__diode_2 ANTENNA_114 (.DIODE(net442),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_115 (.DIODE(net462),
+ sky130_fd_sc_ls__diode_2 ANTENNA_115 (.DIODE(net443),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_116 (.DIODE(net462),
+ sky130_fd_sc_ls__diode_2 ANTENNA_116 (.DIODE(net443),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_117 (.DIODE(net464),
+ sky130_fd_sc_ls__diode_2 ANTENNA_117 (.DIODE(net445),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_118 (.DIODE(net465),
+ sky130_fd_sc_ls__diode_2 ANTENNA_118 (.DIODE(net445),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_119 (.DIODE(net465),
+ sky130_fd_sc_ls__diode_2 ANTENNA_119 (.DIODE(net446),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_120 (.DIODE(net467),
+ sky130_fd_sc_ls__diode_2 ANTENNA_120 (.DIODE(net446),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_121 (.DIODE(net467),
+ sky130_fd_sc_ls__diode_2 ANTENNA_121 (.DIODE(net449),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_122 (.DIODE(net468),
+ sky130_fd_sc_ls__diode_2 ANTENNA_122 (.DIODE(net449),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_123 (.DIODE(net468),
+ sky130_fd_sc_ls__diode_2 ANTENNA_123 (.DIODE(net454),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_124 (.DIODE(net468),
+ sky130_fd_sc_ls__diode_2 ANTENNA_124 (.DIODE(net455),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_125 (.DIODE(net471),
+ sky130_fd_sc_ls__diode_2 ANTENNA_125 (.DIODE(net455),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_126 (.DIODE(net471),
+ sky130_fd_sc_ls__diode_2 ANTENNA_126 (.DIODE(net456),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_127 (.DIODE(net474),
+ sky130_fd_sc_ls__diode_2 ANTENNA_127 (.DIODE(net456),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_128 (.DIODE(net474),
+ sky130_fd_sc_ls__diode_2 ANTENNA_128 (.DIODE(net457),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_129 (.DIODE(net474),
+ sky130_fd_sc_ls__diode_2 ANTENNA_129 (.DIODE(net458),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_130 (.DIODE(net475),
+ sky130_fd_sc_ls__diode_2 ANTENNA_130 (.DIODE(net458),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_131 (.DIODE(net475),
+ sky130_fd_sc_ls__diode_2 ANTENNA_131 (.DIODE(net458),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_132 (.DIODE(net476),
+ sky130_fd_sc_ls__diode_2 ANTENNA_132 (.DIODE(net459),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_133 (.DIODE(net476),
+ sky130_fd_sc_ls__diode_2 ANTENNA_133 (.DIODE(net461),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_134 (.DIODE(net477),
+ sky130_fd_sc_ls__diode_2 ANTENNA_134 (.DIODE(net461),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_135 (.DIODE(net477),
+ sky130_fd_sc_ls__diode_2 ANTENNA_135 (.DIODE(net461),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_136 (.DIODE(net480),
+ sky130_fd_sc_ls__diode_2 ANTENNA_136 (.DIODE(net466),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_137 (.DIODE(net480),
+ sky130_fd_sc_ls__diode_2 ANTENNA_137 (.DIODE(net466),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_138 (.DIODE(net481),
+ sky130_fd_sc_ls__diode_2 ANTENNA_138 (.DIODE(net467),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_139 (.DIODE(net481),
+ sky130_fd_sc_ls__diode_2 ANTENNA_139 (.DIODE(net467),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_140 (.DIODE(net486),
+ sky130_fd_sc_ls__diode_2 ANTENNA_140 (.DIODE(net467),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_141 (.DIODE(net486),
+ sky130_fd_sc_ls__diode_2 ANTENNA_141 (.DIODE(net469),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_142 (.DIODE(net488),
+ sky130_fd_sc_ls__diode_2 ANTENNA_142 (.DIODE(net469),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_143 (.DIODE(net488),
+ sky130_fd_sc_ls__diode_2 ANTENNA_143 (.DIODE(net469),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_144 (.DIODE(net489),
+ sky130_fd_sc_ls__diode_2 ANTENNA_144 (.DIODE(net471),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_145 (.DIODE(net489),
+ sky130_fd_sc_ls__diode_2 ANTENNA_145 (.DIODE(net473),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_146 (.DIODE(net490),
+ sky130_fd_sc_ls__diode_2 ANTENNA_146 (.DIODE(net473),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_147 (.DIODE(net490),
+ sky130_fd_sc_ls__diode_2 ANTENNA_147 (.DIODE(net473),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_148 (.DIODE(net491),
+ sky130_fd_sc_ls__diode_2 ANTENNA_148 (.DIODE(net474),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_149 (.DIODE(net491),
+ sky130_fd_sc_ls__diode_2 ANTENNA_149 (.DIODE(net477),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_150 (.DIODE(net492),
+ sky130_fd_sc_ls__diode_2 ANTENNA_150 (.DIODE(net477),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_151 (.DIODE(net492),
+ sky130_fd_sc_ls__diode_2 ANTENNA_151 (.DIODE(net479),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_152 (.DIODE(net493),
+ sky130_fd_sc_ls__diode_2 ANTENNA_152 (.DIODE(net479),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_153 (.DIODE(net493),
+ sky130_fd_sc_ls__diode_2 ANTENNA_153 (.DIODE(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_154 (.DIODE(net495),
+ sky130_fd_sc_ls__diode_2 ANTENNA_154 (.DIODE(net480),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_155 (.DIODE(net495),
+ sky130_fd_sc_ls__diode_2 ANTENNA_155 (.DIODE(net482),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_156 (.DIODE(net496),
+ sky130_fd_sc_ls__diode_2 ANTENNA_156 (.DIODE(net482),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_157 (.DIODE(net496),
+ sky130_fd_sc_ls__diode_2 ANTENNA_157 (.DIODE(net485),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_158 (.DIODE(net497),
+ sky130_fd_sc_ls__diode_2 ANTENNA_158 (.DIODE(net485),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_159 (.DIODE(net497),
+ sky130_fd_sc_ls__diode_2 ANTENNA_159 (.DIODE(net487),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_160 (.DIODE(net498),
+ sky130_fd_sc_ls__diode_2 ANTENNA_160 (.DIODE(net487),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_161 (.DIODE(net498),
+ sky130_fd_sc_ls__diode_2 ANTENNA_161 (.DIODE(net488),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_162 (.DIODE(net499),
+ sky130_fd_sc_ls__diode_2 ANTENNA_162 (.DIODE(net488),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_163 (.DIODE(net499),
+ sky130_fd_sc_ls__diode_2 ANTENNA_163 (.DIODE(net492),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_164 (.DIODE(net500),
+ sky130_fd_sc_ls__diode_2 ANTENNA_164 (.DIODE(net492),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_165 (.DIODE(net500),
+ sky130_fd_sc_ls__diode_2 ANTENNA_165 (.DIODE(net499),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_166 (.DIODE(net501),
+ sky130_fd_sc_ls__diode_2 ANTENNA_166 (.DIODE(net499),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_167 (.DIODE(net501),
+ sky130_fd_sc_ls__diode_2 ANTENNA_167 (.DIODE(net502),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_168 (.DIODE(net503),
+ sky130_fd_sc_ls__diode_2 ANTENNA_168 (.DIODE(net502),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_169 (.DIODE(net503),
+ sky130_fd_sc_ls__diode_2 ANTENNA_169 (.DIODE(net509),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_170 (.DIODE(net504),
+ sky130_fd_sc_ls__diode_2 ANTENNA_170 (.DIODE(net509),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_171 (.DIODE(net504),
+ sky130_fd_sc_ls__diode_2 ANTENNA_171 (.DIODE(net510),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_172 (.DIODE(net505),
+ sky130_fd_sc_ls__diode_2 ANTENNA_172 (.DIODE(net510),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_173 (.DIODE(net505),
+ sky130_fd_sc_ls__diode_2 ANTENNA_173 (.DIODE(net511),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_174 (.DIODE(net510),
+ sky130_fd_sc_ls__diode_2 ANTENNA_174 (.DIODE(net511),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_175 (.DIODE(net510),
+ sky130_fd_sc_ls__diode_2 ANTENNA_175 (.DIODE(net512),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -8159,7 +8158,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_177 (.DIODE(net512),
+ sky130_fd_sc_ls__diode_2 ANTENNA_177 (.DIODE(net514),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -8169,37 +8168,37 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_179 (.DIODE(net514),
+ sky130_fd_sc_ls__diode_2 ANTENNA_179 (.DIODE(net519),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_180 (.DIODE(net516),
+ sky130_fd_sc_ls__diode_2 ANTENNA_180 (.DIODE(net519),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_181 (.DIODE(net516),
+ sky130_fd_sc_ls__diode_2 ANTENNA_181 (.DIODE(net522),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_182 (.DIODE(net518),
+ sky130_fd_sc_ls__diode_2 ANTENNA_182 (.DIODE(net522),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_183 (.DIODE(net518),
+ sky130_fd_sc_ls__diode_2 ANTENNA_183 (.DIODE(net523),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_184 (.DIODE(net522),
+ sky130_fd_sc_ls__diode_2 ANTENNA_184 (.DIODE(net525),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_185 (.DIODE(net527),
+ sky130_fd_sc_ls__diode_2 ANTENNA_185 (.DIODE(net525),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -8209,272 +8208,392 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_187 (.DIODE(net529),
+ sky130_fd_sc_ls__diode_2 ANTENNA_187 (.DIODE(net527),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_188 (.DIODE(net532),
+ sky130_fd_sc_ls__diode_2 ANTENNA_188 (.DIODE(net528),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_189 (.DIODE(net532),
+ sky130_fd_sc_ls__diode_2 ANTENNA_189 (.DIODE(net528),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_190 (.DIODE(net534),
+ sky130_fd_sc_ls__diode_2 ANTENNA_190 (.DIODE(net531),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_191 (.DIODE(net534),
+ sky130_fd_sc_ls__diode_2 ANTENNA_191 (.DIODE(net531),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_192 (.DIODE(net537),
+ sky130_fd_sc_ls__diode_2 ANTENNA_192 (.DIODE(net532),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_193 (.DIODE(net537),
+ sky130_fd_sc_ls__diode_2 ANTENNA_193 (.DIODE(net532),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_194 (.DIODE(net539),
+ sky130_fd_sc_ls__diode_2 ANTENNA_194 (.DIODE(net533),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_195 (.DIODE(net539),
+ sky130_fd_sc_ls__diode_2 ANTENNA_195 (.DIODE(net533),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_196 (.DIODE(net540),
+ sky130_fd_sc_ls__diode_2 ANTENNA_196 (.DIODE(net534),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_197 (.DIODE(net541),
+ sky130_fd_sc_ls__diode_2 ANTENNA_197 (.DIODE(net534),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_198 (.DIODE(net541),
+ sky130_fd_sc_ls__diode_2 ANTENNA_198 (.DIODE(net535),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_199 (.DIODE(net545),
+ sky130_fd_sc_ls__diode_2 ANTENNA_199 (.DIODE(net537),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_200 (.DIODE(net545),
+ sky130_fd_sc_ls__diode_2 ANTENNA_200 (.DIODE(net537),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_201 (.DIODE(net549),
+ sky130_fd_sc_ls__diode_2 ANTENNA_201 (.DIODE(net539),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_202 (.DIODE(net549),
+ sky130_fd_sc_ls__diode_2 ANTENNA_202 (.DIODE(net539),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_203 (.DIODE(net551),
+ sky130_fd_sc_ls__diode_2 ANTENNA_203 (.DIODE(net540),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_204 (.DIODE(net553),
+ sky130_fd_sc_ls__diode_2 ANTENNA_204 (.DIODE(net540),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_205 (.DIODE(net555),
+ sky130_fd_sc_ls__diode_2 ANTENNA_205 (.DIODE(net541),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_206 (.DIODE(net559),
+ sky130_fd_sc_ls__diode_2 ANTENNA_206 (.DIODE(net541),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_207 (.DIODE(net559),
+ sky130_fd_sc_ls__diode_2 ANTENNA_207 (.DIODE(net544),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_208 (.DIODE(net562),
+ sky130_fd_sc_ls__diode_2 ANTENNA_208 (.DIODE(net544),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_209 (.DIODE(net562),
+ sky130_fd_sc_ls__diode_2 ANTENNA_209 (.DIODE(net546),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_210 (.DIODE(net568),
+ sky130_fd_sc_ls__diode_2 ANTENNA_210 (.DIODE(net550),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_211 (.DIODE(net572),
+ sky130_fd_sc_ls__diode_2 ANTENNA_211 (.DIODE(net550),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_212 (.DIODE(net572),
+ sky130_fd_sc_ls__diode_2 ANTENNA_212 (.DIODE(net551),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_213 (.DIODE(net574),
+ sky130_fd_sc_ls__diode_2 ANTENNA_213 (.DIODE(net551),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_214 (.DIODE(net574),
+ sky130_fd_sc_ls__diode_2 ANTENNA_214 (.DIODE(net551),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_215 (.DIODE(net575),
+ sky130_fd_sc_ls__diode_2 ANTENNA_215 (.DIODE(net554),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_216 (.DIODE(net575),
+ sky130_fd_sc_ls__diode_2 ANTENNA_216 (.DIODE(net554),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_217 (.DIODE(net577),
+ sky130_fd_sc_ls__diode_2 ANTENNA_217 (.DIODE(net554),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_218 (.DIODE(net577),
+ sky130_fd_sc_ls__diode_2 ANTENNA_218 (.DIODE(net555),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_219 (.DIODE(net578),
+ sky130_fd_sc_ls__diode_2 ANTENNA_219 (.DIODE(net555),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_220 (.DIODE(net578),
+ sky130_fd_sc_ls__diode_2 ANTENNA_220 (.DIODE(net556),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_221 (.DIODE(net585),
+ sky130_fd_sc_ls__diode_2 ANTENNA_221 (.DIODE(net556),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_222 (.DIODE(net585),
+ sky130_fd_sc_ls__diode_2 ANTENNA_222 (.DIODE(net557),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_223 (.DIODE(net587),
+ sky130_fd_sc_ls__diode_2 ANTENNA_223 (.DIODE(net557),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_224 (.DIODE(net587),
+ sky130_fd_sc_ls__diode_2 ANTENNA_224 (.DIODE(net559),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_225 (.DIODE(net590),
+ sky130_fd_sc_ls__diode_2 ANTENNA_225 (.DIODE(net561),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_226 (.DIODE(net590),
+ sky130_fd_sc_ls__diode_2 ANTENNA_226 (.DIODE(net561),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_227 (.DIODE(net592),
+ sky130_fd_sc_ls__diode_2 ANTENNA_227 (.DIODE(net561),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_228 (.DIODE(net592),
+ sky130_fd_sc_ls__diode_2 ANTENNA_228 (.DIODE(net562),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_229 (.DIODE(net593),
+ sky130_fd_sc_ls__diode_2 ANTENNA_229 (.DIODE(net562),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_230 (.DIODE(net593),
+ sky130_fd_sc_ls__diode_2 ANTENNA_230 (.DIODE(net565),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_231 (.DIODE(net595),
+ sky130_fd_sc_ls__diode_2 ANTENNA_231 (.DIODE(net565),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_232 (.DIODE(net595),
+ sky130_fd_sc_ls__diode_2 ANTENNA_232 (.DIODE(net567),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_233 (.DIODE(net598),
+ sky130_fd_sc_ls__diode_2 ANTENNA_233 (.DIODE(net567),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_234 (.DIODE(net598),
+ sky130_fd_sc_ls__diode_2 ANTENNA_234 (.DIODE(net569),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_235 (.DIODE(net599),
+ sky130_fd_sc_ls__diode_2 ANTENNA_235 (.DIODE(net569),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_236 (.DIODE(net599),
+ sky130_fd_sc_ls__diode_2 ANTENNA_236 (.DIODE(net574),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_237 (.DIODE(net603),
+ sky130_fd_sc_ls__diode_2 ANTENNA_237 (.DIODE(net574),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_238 (.DIODE(net603),
+ sky130_fd_sc_ls__diode_2 ANTENNA_238 (.DIODE(net576),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_239 (.DIODE(net604),
+ sky130_fd_sc_ls__diode_2 ANTENNA_239 (.DIODE(net576),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__diode_2 ANTENNA_240 (.DIODE(net604),
+ sky130_fd_sc_ls__diode_2 ANTENNA_240 (.DIODE(net579),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_241 (.DIODE(net579),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_242 (.DIODE(net579),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_243 (.DIODE(net580),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_244 (.DIODE(net580),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_245 (.DIODE(net582),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_246 (.DIODE(net582),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_247 (.DIODE(net585),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_248 (.DIODE(net585),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_249 (.DIODE(net586),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_250 (.DIODE(net586),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_251 (.DIODE(net587),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_252 (.DIODE(net587),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_253 (.DIODE(net588),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_254 (.DIODE(net588),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_255 (.DIODE(net590),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_256 (.DIODE(net590),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_257 (.DIODE(net594),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_258 (.DIODE(net594),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_259 (.DIODE(net599),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_260 (.DIODE(net599),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_261 (.DIODE(net600),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_262 (.DIODE(net600),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_263 (.DIODE(net601),
+    .VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__diode_2 ANTENNA_264 (.DIODE(net601),
     .VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -8519,11 +8638,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_0_73 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_0_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_0_80 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_0_81 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_0_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -8571,15 +8694,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_0_149 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_0_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_0_157 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_0_159 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_0_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -8595,15 +8714,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_0_185 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_0_185 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_0_193 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_0_195 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_0_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -8615,15 +8730,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_0_213 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_0_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_0_221 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_0_223 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_0_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -8635,15 +8746,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_0_241 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_0_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_0_249 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_0_251 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_0_248 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -8655,15 +8762,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_0_269 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_0_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_0_277 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_0_279 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_0_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -8675,15 +8778,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_0_297 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_0_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_0_305 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_0_307 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_0_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -8695,15 +8794,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_0_325 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_0_325 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_0_333 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_0_335 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_0_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -8731,15 +8826,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_0_381 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_0_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_0_389 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_0_391 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_0_388 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -8751,11 +8842,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_0_409 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_0_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_0_416 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_0_417 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_0_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -8783,7 +8878,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_0_465 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_0_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -8799,11 +8894,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_0_493 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_0_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_0_500 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_0_501 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_0_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -8815,11 +8914,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_0_521 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_0_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_0_528 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_0_529 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_0_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -8931,11 +9034,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_1_111 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_1_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_1_118 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_1_119 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_1_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -9343,15 +9450,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_2_219 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_2_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_2_227 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_2_229 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_2_226 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -9491,11 +9594,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_2_445 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_2_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_2_452 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_2_453 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_2_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -9551,11 +9658,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_2_556 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_2_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_2_563 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_2_564 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_2_566 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -10055,15 +10166,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_4_172 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_4_172 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_4_180 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_4_182 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_4_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -10347,7 +10454,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_5_41 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_5_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -10359,7 +10466,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_5_56 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_5_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -10403,7 +10510,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_5_143 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_5_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -10423,7 +10530,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_5_174 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_5_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -10431,7 +10538,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_5_190 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_5_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -10439,7 +10546,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_5_206 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_5_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -10451,15 +10558,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_5_221 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_5_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_5_229 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_5_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_5_237 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_5_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -10479,11 +10586,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_5_276 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_5_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_5_284 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_5_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -10495,7 +10602,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_5_301 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_5_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -10515,7 +10622,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_5_331 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_5_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -10527,15 +10634,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_5_355 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_5_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_5_362 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_5_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_5_370 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_5_365 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_5_370 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -10583,7 +10694,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_5_428 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_5_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -10607,15 +10718,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_5_466 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_5_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_5_474 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_5_476 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_5_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -10643,7 +10750,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_5_520 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_5_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -10707,27 +10814,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_6_29 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_6_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_6_37 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_6_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_6_45 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_6_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_6_53 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_6_53 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_6_61 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_6_69 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_6_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -10739,7 +10842,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_6_84 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_6_84 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -10779,7 +10882,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_6_139 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_6_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -10787,15 +10890,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_6_155 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_6_155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_6_162 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_6_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_6_170 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_6_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -10815,7 +10918,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_6_194 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_6_194 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -10823,7 +10926,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_6_210 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_6_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -10831,7 +10934,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_6_226 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_6_226 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -10847,19 +10950,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_6_249 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_6_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_6_257 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_6_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_6_265 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_6_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_6_273 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_6_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -10891,7 +10994,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_6_328 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_6_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -10911,15 +11014,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_6_359 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_6_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_6_367 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_6_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_6_375 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_6_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -10927,7 +11030,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_6_391 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_6_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -10947,7 +11050,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_6_422 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_6_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -10959,7 +11062,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_6_446 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_6_448 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -10979,23 +11082,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_6_477 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_6_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_6_485 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_6_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_6_493 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_6_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_6_501 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_6_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_6_509 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_6_505 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_6_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -11007,7 +11114,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_6_524 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_6_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -11051,11 +11158,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_7_16 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_7_16 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_7_24 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_7_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -11063,7 +11170,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_7_40 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_7_40 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -11079,15 +11186,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_7_56 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_7_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_7_63 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_7_65 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_7_64 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -11095,11 +11198,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_7_88 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_7_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_7_96 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_7_96 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -11115,7 +11218,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_7_119 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_7_119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -11127,11 +11230,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_7_143 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_7_147 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_7_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -11147,59 +11246,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_7_174 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_7_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_7_182 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_7_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_7_190 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_7_180 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_7_198 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_7_184 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_7_202 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_7_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_7_208 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_7_196 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_7_215 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_7_200 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_7_219 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_7_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_7_221 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_7_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_7_225 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_7_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_7_227 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_7_223 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_7_231 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_7_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_7_239 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_7_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_7_247 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_7_239 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_7_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -11207,7 +11310,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_7_263 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_7_263 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -11231,7 +11334,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_7_296 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_7_296 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -11251,7 +11354,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_7_326 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_7_323 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_7_327 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_7_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -11259,15 +11370,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_7_335 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_7_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_7_337 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_7_342 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_7_342 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -11311,11 +11418,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_7_418 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_7_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_7_426 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_7_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -11327,15 +11434,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_7_441 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_7_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_7_449 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_7_457 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_7_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -11359,11 +11462,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_7_496 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_7_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_7_504 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_7_504 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -11371,11 +11474,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_7_516 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_7_522 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_7_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -11415,7 +11514,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_8_10 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_8_8 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -11427,39 +11526,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_8_29 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_8_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_8_37 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_8_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_8_45 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_8_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_8_49 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_8_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_8_53 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_8_57 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_8_61 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_8_65 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_8_67 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_8_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -11487,11 +11570,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_8_108 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_8_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_8_116 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_8_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -11507,11 +11590,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_8_139 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_8_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_8_147 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_8_146 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_8_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -11519,23 +11606,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_8_167 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_8_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_8_174 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_8_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_8_182 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_8_183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_8_190 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_8_192 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_8_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -11547,27 +11630,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_8_210 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_8_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_8_214 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_8_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_8_219 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_8_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_8_227 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_8_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_8_235 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_8_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_8_243 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_8_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -11575,31 +11658,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_8_249 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_8_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_8_253 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_8_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_8_255 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_8_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_8_259 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_8_272 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_8_267 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_8_275 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_8_283 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_8_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -11627,19 +11702,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_8_339 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_8_336 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_8_341 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_8_343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_8_347 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_8_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_8_354 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_8_355 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_8_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -11663,11 +11742,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_8_404 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_8_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_8_412 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_8_407 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_8_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -11675,11 +11758,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_8_422 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_8_424 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_8_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -11723,7 +11802,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_8_492 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_8_492 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -11735,15 +11814,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_8_516 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_8_520 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_8_522 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_8_519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -11755,11 +11826,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_8_537 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_8_537 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_8_545 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_8_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -11795,31 +11866,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_9_12 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_9_12 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_9_16 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_9_20 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_9_21 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_9_28 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_9_29 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_9_36 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_9_37 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_9_40 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_9_45 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_9_53 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_9_47 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -11831,19 +11898,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_9_77 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_9_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_9_85 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_9_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_9_93 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_9_99 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_9_101 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_9_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -11959,19 +12026,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_9_305 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_9_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_9_313 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_9_308 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_9_321 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_9_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_9_329 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_9_324 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_9_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -11987,19 +12058,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_9_355 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_9_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_9_363 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_9_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_9_372 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_9_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_9_379 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_9_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -12039,83 +12110,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_9_441 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_9_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_9_448 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_9_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_9_456 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_9_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_9_464 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_9_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_9_472 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_9_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_9_480 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_9_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_9_488 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_9_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_9_492 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_9_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_9_494 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_9_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_9_496 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_9_504 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_9_503 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_9_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_9_511 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_9_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_9_519 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_9_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_9_527 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_9_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_9_535 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_9_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_9_539 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_9_551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_9_541 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_9_546 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_9_551 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_9_559 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_9_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -12411,31 +12470,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_10_478 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_10_476 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_10_486 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_10_483 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_10_494 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_10_491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_10_502 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_10_499 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_10_510 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_10_507 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_10_518 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_10_522 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_10_515 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -12443,35 +12498,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_10_532 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_10_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_10_536 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_10_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_10_538 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_10_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_10_542 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_10_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_10_549 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_10_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_10_557 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_10_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_10_565 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_10_573 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_10_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -12535,23 +12586,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_11_74 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_11_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_11_82 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_11_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_11_90 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_11_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_11_98 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_11_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_11_106 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_11_109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -12587,39 +12638,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_11_166 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_11_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_11_173 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_11_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_11_181 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_11_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_11_189 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_11_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_11_197 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_11_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_11_205 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_11_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_11_213 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_11_214 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_11_217 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_11_219 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_11_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -12763,23 +12810,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_11_465 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_11_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_11_473 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_11_467 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_11_481 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_11_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_11_489 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_11_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_11_493 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_11_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -12827,15 +12874,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_11_564 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_11_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_11_574 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_11_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_11_580 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_11_580 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -12851,19 +12898,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_12_12 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_12_12 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_12_16 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_12_22 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_12_26 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_12_20 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -12931,35 +12970,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_12_139 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_12_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_12_147 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_12_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_12_155 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_12_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_12_163 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_12_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_12_171 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_12_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_12_179 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_12_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_12_187 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_12_186 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_12_191 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_12_190 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_12_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -13047,15 +13090,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_12_344 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_12_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_12_352 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_12_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_12_356 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_12_355 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_12_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -13095,35 +13142,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_12_425 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_12_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_12_433 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_12_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_12_441 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_12_438 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_12_449 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_12_446 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_12_451 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_12_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_12_455 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_12_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_12_463 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_12_467 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_12_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -13191,7 +13234,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_12_579 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_12_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -13203,11 +13246,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_13_4 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_13_4 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_13_13 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_13_12 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -13243,23 +13286,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_13_74 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_13_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_13_82 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_13_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_13_90 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_13_96 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_13_98 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_13_104 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_13_106 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_13_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -13283,15 +13326,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_13_151 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_13_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_13_159 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_13_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_13_163 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_13_162 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_13_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -13359,59 +13406,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_13_276 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_13_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_13_284 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_13_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_13_292 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_13_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_13_300 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_13_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_13_308 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_13_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_13_316 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_13_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_13_324 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_13_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_13_328 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_13_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_13_331 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_13_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_13_342 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_13_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_13_350 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_13_338 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_13_358 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_13_340 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_13_366 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_13_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_13_374 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_13_354 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_13_362 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_13_370 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_13_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -13427,31 +13486,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_13_394 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_13_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_13_398 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_13_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_13_400 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_13_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_13_406 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_13_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_13_414 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_13_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_13_422 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_13_430 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_13_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -13503,19 +13558,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_13_520 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_13_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_13_528 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_13_525 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_13_536 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_13_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_13_544 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_13_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -13527,15 +13582,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_13_559 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_13_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_13_563 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_13_565 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_13_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -13543,11 +13594,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_13_587 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_13_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_13_595 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_13_589 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -13559,7 +13610,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_14_20 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_14_20 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_14_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -13579,19 +13634,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_14_61 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_14_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_14_69 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_14_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_14_77 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_14_71 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_14_81 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_14_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -13631,67 +13686,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_14_147 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_14_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_14_155 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_14_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_14_163 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_14_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_14_171 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_14_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_14_179 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_14_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_14_187 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_14_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_14_191 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_14_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_14_194 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_14_194 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_14_201 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_14_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_14_209 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_14_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_14_217 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_14_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_14_225 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_14_226 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_14_233 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_14_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_14_241 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_14_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_14_245 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_14_247 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_14_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -13727,11 +13778,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_14_304 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_14_308 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_14_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -13803,7 +13850,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_14_430 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_14_430 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_14_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -13811,23 +13862,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_14_446 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_14_446 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_14_453 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_14_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_14_461 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_14_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_14_465 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_14_467 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_14_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -13839,27 +13886,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_14_485 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_14_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_14_493 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_14_492 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_14_501 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_14_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_14_509 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_14_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_14_517 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_14_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_14_521 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_14_520 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_14_522 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -13895,47 +13946,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_14_579 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_14_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_14_583 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_14_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_14_593 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_14_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_15_4 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_15_9 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_15_12 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_15_17 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_15_20 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_15_25 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_15_28 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_15_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_15_36 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_15_35 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_15_44 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_15_43 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_15_52 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_15_54 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_15_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -13947,23 +13994,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_15_74 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_15_95 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_15_82 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_15_103 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_15_90 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_15_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_15_98 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_15_106 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_15_109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -13999,35 +14042,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_15_166 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_15_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_15_174 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_15_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_15_182 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_15_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_15_190 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_15_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_15_198 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_15_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_15_206 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_15_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_15_214 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_15_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_15_218 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_15_217 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_15_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -14067,35 +14114,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_15_284 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_15_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_15_291 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_15_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_15_299 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_15_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_15_307 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_15_308 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_15_315 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_15_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_15_323 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_15_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_15_327 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_15_329 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_15_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -14235,27 +14278,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_15_567 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_15_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_15_575 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_15_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_15_583 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_15_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_15_587 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_15_585 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_15_592 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_15_596 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_15_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -14263,51 +14302,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_16_12 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_16_12 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_16_16 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_16_20 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_16_23 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_16_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_16_27 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_16_36 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_16_29 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_16_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_16_37 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_16_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_16_45 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_16_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_16_53 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_16_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_16_60 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_16_76 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_16_64 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_16_70 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_16_78 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_16_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -14315,39 +14346,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_16_84 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_16_84 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_16_92 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_16_88 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_16_100 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_16_90 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_16_104 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_16_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_16_108 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_16_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_16_116 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_16_110 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_16_124 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_16_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_16_132 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_16_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_16_136 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_16_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -14387,31 +14418,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_16_202 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_16_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_16_210 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_16_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_16_218 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_16_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_16_226 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_16_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_16_234 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_16_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_16_242 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_16_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_16_246 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_16_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -14455,59 +14486,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_16_320 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_16_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_16_328 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_16_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_16_336 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_16_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_16_344 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_16_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_16_352 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_16_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_16_356 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_16_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_16_359 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_16_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_16_367 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_16_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_16_375 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_16_366 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_16_383 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_16_374 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_16_391 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_16_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_16_399 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_16_390 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_16_407 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_16_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_16_411 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_16_406 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_16_410 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_16_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -14515,31 +14554,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_16_422 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_16_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_16_430 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_16_428 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_16_438 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_16_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_16_446 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_16_444 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_16_454 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_16_452 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_16_462 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_16_466 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_16_460 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -14591,15 +14626,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_16_556 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_16_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_16_564 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_16_560 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_16_572 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_16_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -14623,27 +14658,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_17_12 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_17_12 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_17_20 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_17_14 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_17_28 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_17_18 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_17_36 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_17_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_17_44 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_17_34 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_17_52 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_17_42 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_17_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -14659,23 +14698,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_17_77 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_17_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_17_85 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_17_82 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_17_93 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_17_90 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_17_101 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_17_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_17_109 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_17_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -14791,19 +14830,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_17_308 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_17_308 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_17_316 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_17_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_17_324 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_17_328 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_17_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -14815,23 +14850,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_17_347 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_17_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_17_355 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_17_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_17_363 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_17_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_17_367 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_17_370 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_17_374 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_17_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -14955,7 +14990,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_17_583 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_17_583 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_17_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -14995,23 +15034,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_18_61 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_18_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_18_65 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_18_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_18_67 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_18_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_18_71 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_18_79 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_18_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -15079,43 +15114,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_18_194 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_18_194 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_18_198 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_18_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_18_202 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_18_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_18_209 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_18_214 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_18_217 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_18_222 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_18_225 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_18_230 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_18_233 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_18_238 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_18_241 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_18_245 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_18_247 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_18_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -15131,23 +15158,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_18_273 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_18_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_18_281 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_18_277 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_18_289 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_18_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_18_297 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_18_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_18_301 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_18_300 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_18_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -15187,35 +15218,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_18_367 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_18_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_18_374 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_18_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_18_382 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_18_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_18_390 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_18_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_18_398 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_18_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_18_406 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_18_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_18_410 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_18_412 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_18_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -15251,35 +15278,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_18_469 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_18_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_18_477 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_18_476 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_18_485 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_18_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_18_493 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_18_492 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_18_501 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_18_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_18_509 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_18_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_18_517 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_18_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_18_521 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_18_520 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_18_522 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -15287,43 +15318,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_18_532 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_18_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_18_537 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_18_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_18_545 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_18_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_18_553 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_18_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_18_561 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_18_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_18_569 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_18_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_18_577 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_18_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_18_579 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_18_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_18_587 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_18_586 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_18_595 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_18_594 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_18_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -15367,15 +15402,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_19_86 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_19_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_19_94 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_19_82 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_19_102 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_19_90 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_19_98 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_19_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -15455,23 +15498,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_19_245 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_19_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_19_253 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_19_247 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_19_261 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_19_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_19_269 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_19_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_19_273 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_19_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -15515,31 +15558,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_19_347 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_19_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_19_351 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_19_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_19_358 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_19_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_19_366 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_19_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_19_374 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_19_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_19_382 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_19_384 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_19_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -15827,7 +15866,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_20_289 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_20_289 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_20_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -15879,27 +15922,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_20_375 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_20_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_20_383 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_20_377 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_20_391 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_20_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_20_399 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_20_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_20_407 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_20_397 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_20_411 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_20_405 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -15911,23 +15954,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_20_430 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_20_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_20_438 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_20_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_20_446 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_20_436 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_20_452 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_20_442 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_20_460 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_20_450 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_20_458 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_20_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -15947,15 +15998,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_20_501 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_20_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_20_505 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_20_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_20_513 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_20_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -15979,19 +16030,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_20_556 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_20_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_20_564 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_20_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_20_572 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_20_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_20_576 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_20_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -16039,31 +16090,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_21_56 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_21_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_21_64 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_21_63 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_21_77 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_21_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_21_85 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_21_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_21_93 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_21_82 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_21_101 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_21_90 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_21_109 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_21_98 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_21_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -16111,10 +16166,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_21_186 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_ls__decap_8 FILLER_21_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -16203,27 +16254,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_21_339 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_21_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_21_347 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_21_343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_21_355 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_21_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_21_361 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_21_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_21_369 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_21_364 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_21_377 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_21_372 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_21_380 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_21_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -16471,19 +16530,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_22_218 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_22_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_22_225 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_22_226 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_22_232 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_22_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_22_240 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_22_242 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_22_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -16559,11 +16622,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_22_375 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_22_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_22_383 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_22_382 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -16723,31 +16786,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_23_56 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_23_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_23_64 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_23_63 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_23_80 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_23_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_23_88 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_23_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_23_96 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_23_85 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_23_104 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_23_93 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_23_108 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_23_101 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_23_109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -16787,31 +16854,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_23_174 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_23_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_23_179 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_23_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_23_187 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_23_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_23_195 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_23_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_23_203 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_23_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_23_211 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_23_214 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_23_219 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_23_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -16859,27 +16926,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_23_300 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_23_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_23_304 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_23_308 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_23_306 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_23_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_23_310 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_23_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_23_318 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_23_326 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_23_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -16935,19 +16998,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_23_426 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_23_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_23_430 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_23_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_23_435 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_23_439 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_23_438 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -16963,19 +17022,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_23_470 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_23_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_23_478 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_23_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_23_486 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_23_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_23_494 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_23_481 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_23_489 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_23_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -17027,7 +17094,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_23_583 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_23_583 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_23_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -17067,11 +17138,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_24_61 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_24_65 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_24_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -17107,15 +17174,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_24_124 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_24_124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_24_132 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_24_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_24_136 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_24_130 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -17299,15 +17366,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_24_457 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_24_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_24_465 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_24_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_24_467 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_24_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -17387,35 +17454,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_25_4 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_25_9 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_25_12 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_25_17 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_25_20 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_25_25 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_25_28 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_25_33 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_25_36 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_25_41 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_25_44 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_25_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_25_52 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_25_54 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_25_53 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -17427,59 +17490,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_25_80 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_25_83 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_25_88 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_25_90 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_25_96 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_25_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_25_104 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_25_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_25_108 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_25_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_25_111 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_25_119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_25_118 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_25_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_25_126 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_25_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_25_134 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_25_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_25_142 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_25_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_25_150 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_25_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_25_158 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_25_162 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_25_164 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_25_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -17491,27 +17546,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_25_182 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_25_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_25_186 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_25_186 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_25_194 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_25_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_25_202 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_25_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_25_210 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_25_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_25_218 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_25_215 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_25_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -17559,27 +17618,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_25_300 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_25_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_25_307 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_25_308 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_25_315 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_25_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_25_323 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_25_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_25_327 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_25_329 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_25_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -17755,67 +17810,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_26_37 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_26_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_26_45 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_26_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_26_53 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_26_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_26_61 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_26_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_26_69 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_26_68 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_26_77 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_26_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_26_81 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_26_84 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_26_84 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_26_92 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_26_91 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_26_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_26_99 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_26_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_26_107 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_26_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_26_115 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_26_124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_26_123 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_26_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_26_131 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_26_135 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_26_137 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_26_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -17859,27 +17906,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_26_210 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_26_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_26_214 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_26_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_26_216 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_26_226 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_26_222 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_26_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_26_230 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_26_238 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_26_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -17935,7 +17978,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_26_336 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_26_336 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_26_338 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -17971,15 +18018,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_26_399 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_26_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_26_407 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_26_404 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_26_411 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_26_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -18003,15 +18050,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_26_454 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_26_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_26_459 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_26_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_26_467 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_26_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -18031,19 +18078,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_26_501 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_26_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_26_506 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_26_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_26_514 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_26_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_26_522 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_26_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -18131,23 +18178,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_27_80 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_27_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_27_88 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_27_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_27_96 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_27_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_27_104 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_27_108 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_27_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -18223,27 +18266,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_27_237 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_27_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_27_245 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_27_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_27_253 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_27_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_27_261 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_27_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_27_269 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_27_273 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_27_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -18279,39 +18318,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_27_331 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_27_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_27_338 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_27_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_27_346 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_27_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_27_354 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_27_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_27_362 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_27_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_27_370 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_27_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_27_378 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_27_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_27_382 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_27_384 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_27_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -18387,27 +18422,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_27_512 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_27_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_27_521 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_27_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_27_529 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_27_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_27_537 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_27_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_27_545 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_27_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_27_549 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_27_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -18619,23 +18654,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_28_320 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_28_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_28_328 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_28_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_28_336 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_28_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_28_344 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_28_340 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_28_352 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_28_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -18819,15 +18854,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_29_64 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_29_64 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_29_89 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_29_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_29_97 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_29_85 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_29_93 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_29_101 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -18855,11 +18898,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_29_143 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_29_147 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_29_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -18895,47 +18934,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_29_206 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_29_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_29_214 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_29_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_29_218 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_29_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_29_221 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_29_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_29_229 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_29_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_29_237 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_29_228 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_29_245 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_29_236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_29_253 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_29_244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_29_261 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_29_252 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_29_265 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_29_260 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_29_271 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_29_268 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_29_272 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_29_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -18971,35 +19018,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_29_331 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_29_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_29_339 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_29_338 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_29_347 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_29_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_29_355 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_29_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_29_363 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_29_362 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_29_371 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_29_370 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_29_379 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_29_378 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_29_383 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_29_382 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_29_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -19015,23 +19066,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_29_410 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_29_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_29_418 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_29_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_29_426 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_29_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_29_434 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_29_438 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_29_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -19051,19 +19098,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_29_473 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_29_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_29_481 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_29_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_29_489 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_29_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_29_493 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_29_492 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_29_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -19191,15 +19242,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_30_124 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_30_124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_30_126 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_30_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_30_130 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_30_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -19219,19 +19270,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_30_174 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_30_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_30_182 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_30_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_30_190 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_30_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_30_192 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_30_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -19275,23 +19326,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_30_265 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_30_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_30_269 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_30_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_30_277 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_30_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_30_285 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_30_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_30_293 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_30_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -19299,35 +19350,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_30_304 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_30_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_30_311 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_30_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_30_319 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_30_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_30_327 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_30_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_30_335 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_30_332 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_30_343 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_30_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_30_351 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_30_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_30_355 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_30_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -19347,63 +19398,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_30_383 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_30_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_30_387 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_30_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_30_389 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_30_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_30_393 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_30_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_30_401 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_30_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_30_409 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_30_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_30_414 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_30_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_30_421 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_30_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_30_429 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_30_438 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_30_437 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_30_446 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_30_445 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_30_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_30_453 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_30_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_30_461 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_30_465 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_30_467 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_30_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -19447,7 +19490,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_30_540 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_30_540 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_30_542 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -19519,11 +19566,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_31_64 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_31_72 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_31_64 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -19743,63 +19786,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_31_449 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_31_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_31_457 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_31_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_31_465 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_31_458 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_31_473 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_31_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_31_481 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_31_474 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_31_489 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_31_482 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_31_493 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_31_490 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_31_496 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_31_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_31_504 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_31_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_31_512 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_31_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_31_520 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_31_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_31_528 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_31_519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_31_536 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_31_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_31_544 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_31_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_31_548 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_31_543 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_31_547 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_31_549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -19843,31 +19894,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_32_29 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_32_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_32_37 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_32_36 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_32_45 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_32_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_32_53 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_32_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_32_61 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_32_65 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_32_69 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_32_77 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_32_73 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -19983,7 +20030,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_32_273 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_32_273 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_32_275 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -20067,35 +20118,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_32_414 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_32_414 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_32_422 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_32_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_32_430 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_32_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_32_437 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_32_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_32_445 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_32_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_32_453 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_32_447 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_32_461 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_32_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_32_465 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_32_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -20215,11 +20266,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_33_64 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_33_72 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_33_64 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -20287,23 +20334,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_33_190 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_33_193 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_33_198 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_33_201 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_33_206 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_33_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_33_214 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_33_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_33_218 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_33_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -20319,27 +20366,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_33_245 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_33_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_33_249 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_33_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_33_254 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_33_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_33_262 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_33_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_33_270 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_33_274 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_33_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -20455,23 +20498,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_33_473 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_33_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_33_480 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_33_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_33_488 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_33_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_33_492 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_33_494 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_33_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -20483,51 +20522,55 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_33_515 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_33_512 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_33_523 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_33_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_33_531 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_33_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_33_539 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_33_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_33_547 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_33_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_33_549 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_33_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_33_551 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_33_551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_33_558 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_33_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_33_565 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_33_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_33_573 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_33_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_33_581 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_33_583 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_33_589 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_33_591 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_33_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -20779,23 +20822,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_34_438 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_34_443 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_34_446 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_34_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_34_454 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_34_459 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_34_462 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_34_466 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_34_467 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -20819,15 +20858,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_34_509 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_34_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_34_517 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_34_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_34_521 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_34_515 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_34_519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -20863,19 +20906,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_34_579 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_34_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_34_586 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_34_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_34_594 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_34_596 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_34_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -20915,11 +20954,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_35_64 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_35_72 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_35_64 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -21103,35 +21138,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_35_386 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_35_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_35_394 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_35_393 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_35_402 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_35_401 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_35_410 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_35_409 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_35_418 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_35_417 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_35_426 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_35_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_35_434 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_35_431 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_35_438 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_35_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -21303,43 +21338,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_36_139 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_36_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_36_146 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_36_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_36_154 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_36_155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_36_158 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_36_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_36_162 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_36_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_36_170 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_36_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_36_178 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_36_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_36_182 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_36_188 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_36_192 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_36_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -21455,19 +21482,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_36_391 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_36_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_36_399 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_36_398 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_36_407 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_36_406 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_36_411 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_36_410 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_36_412 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -21615,31 +21646,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_37_64 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_37_64 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_37_72 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_37_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_37_80 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_37_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_37_88 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_37_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_37_96 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_37_104 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_37_108 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_37_109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -21679,31 +21702,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_37_174 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_37_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_37_179 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_37_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_37_187 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_37_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_37_195 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_37_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_37_203 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_37_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_37_211 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_37_214 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_37_219 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_37_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -21715,67 +21738,59 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_37_237 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_37_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_37_244 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_37_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_37_252 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_37_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_37_260 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_37_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_37_268 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_37_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_37_272 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_37_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_37_274 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_37_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_37_276 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_37_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_37_283 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_37_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_37_291 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_37_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_37_299 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_37_308 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_37_307 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_37_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_37_315 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_37_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_37_323 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_37_327 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_37_329 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_37_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -21847,31 +21862,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_37_449 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_37_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_37_457 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_37_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_37_465 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_37_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_37_473 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_37_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_37_481 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_37_476 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_37_489 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_37_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_37_493 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_37_492 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_37_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -21915,15 +21934,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_37_567 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_37_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_37_571 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_37_576 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_37_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -21959,7 +21974,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_38_45 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_38_45 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_38_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -21991,31 +22010,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_38_100 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_38_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_38_107 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_38_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_38_115 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_38_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_38_123 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_38_124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_38_131 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_38_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_38_135 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_38_137 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_38_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -22027,27 +22042,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_38_155 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_38_155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_38_163 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_38_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_38_171 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_38_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_38_179 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_38_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_38_187 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_38_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_38_191 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_38_184 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_38_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -22147,35 +22166,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_38_359 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_38_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_38_366 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_38_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_38_374 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_38_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_38_382 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_38_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_38_390 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_38_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_38_398 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_38_392 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_38_406 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_38_400 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_38_410 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_38_408 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -22327,31 +22346,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_39_64 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_39_64 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_39_72 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_39_89 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_39_80 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_39_97 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_39_88 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_39_105 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_39_96 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_39_104 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_39_108 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_39_109 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -22391,35 +22402,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_39_174 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_39_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_39_181 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_39_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_39_189 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_39_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_39_197 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_39_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_39_205 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_39_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_39_213 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_39_214 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_39_217 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_39_219 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_39_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -22455,35 +22462,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_39_276 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_39_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_39_284 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_39_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_39_292 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_39_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_39_300 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_39_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_39_308 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_39_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_39_316 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_39_314 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_39_324 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_39_328 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_39_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -22507,19 +22510,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_39_371 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_39_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_39_375 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_39_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_39_380 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_39_384 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_39_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -22667,27 +22666,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_40_45 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_40_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_40_49 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_40_53 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_40_54 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_40_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_40_62 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_40_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_40_70 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_40_78 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_40_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -22747,7 +22742,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_40_179 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_40_179 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_40_183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -22827,43 +22826,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_40_312 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_40_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_40_320 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_40_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_40_328 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_40_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_40_336 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_40_337 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_40_344 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_40_345 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_40_352 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_40_353 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_40_356 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_40_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_40_359 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_40_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_40_363 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_40_368 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_40_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -22987,15 +22982,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_40_579 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_40_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_40_587 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_40_583 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_40_595 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_40_590 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_40_594 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_40_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -23011,19 +23014,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_41_28 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_41_28 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_41_37 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_41_36 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_41_45 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_41_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_41_53 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_41_52 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_41_54 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -23079,15 +23086,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_41_151 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_41_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_41_159 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_41_155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_41_163 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_41_157 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_41_161 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -23219,11 +23230,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_41_386 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_41_390 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_41_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -23255,35 +23262,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_41_441 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_41_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_41_449 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_41_448 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_41_457 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_41_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_41_465 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_41_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_41_473 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_41_472 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_41_481 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_41_480 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_41_489 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_41_488 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_41_493 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_41_492 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_41_494 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -23371,11 +23382,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_42_53 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_42_57 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_42_53 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -23395,35 +23402,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_42_84 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_42_84 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_42_92 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_42_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_42_100 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_42_99 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_42_108 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_42_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_42_116 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_42_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_42_124 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_42_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_42_132 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_42_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_42_136 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_42_135 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_42_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -23447,11 +23458,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_42_179 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_42_183 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_42_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -23467,31 +23474,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_42_205 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_42_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_42_213 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_42_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_42_221 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_42_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_42_229 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_42_226 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_42_237 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_42_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_42_245 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_42_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_42_247 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_42_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -23631,67 +23638,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_42_485 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_42_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_42_493 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_42_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_42_501 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_42_491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_42_509 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_42_495 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_42_517 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_42_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_42_521 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_42_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_42_524 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_42_519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_42_531 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_42_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_42_539 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_42_532 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_42_541 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_42_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_42_545 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_42_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_42_553 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_42_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_42_561 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_42_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_42_565 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_42_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_42_573 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_42_577 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_42_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -23775,31 +23778,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_43_119 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_43_119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_43_127 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_43_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_43_135 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_43_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_43_143 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_43_142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_43_151 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_43_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_43_159 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_43_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_43_163 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_43_162 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_43_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -24015,15 +24022,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_43_539 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_43_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_43_547 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_43_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_43_549 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_43_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -24075,27 +24082,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_44_45 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_44_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_44_50 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_44_53 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_44_58 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_44_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_44_66 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_44_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_44_74 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_44_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_44_82 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_44_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -24151,15 +24158,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_44_179 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_44_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_44_187 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_44_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_44_191 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_44_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -24171,23 +24178,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_44_210 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_44_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_44_214 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_44_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_44_222 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_44_226 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_44_230 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_44_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_44_238 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_44_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -24199,35 +24206,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_44_257 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_44_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_44_262 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_44_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_44_270 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_44_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_44_274 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_44_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_44_282 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_44_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_44_290 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_44_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_44_298 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_44_302 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_44_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -24371,23 +24374,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_44_548 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_44_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_44_556 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_44_552 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_44_564 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_44_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_44_572 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_44_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_44_576 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_44_573 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_44_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -24499,35 +24506,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_45_166 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_45_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_45_174 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_45_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_45_182 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_45_175 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_45_190 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_45_183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_45_198 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_45_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_45_206 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_45_199 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_45_214 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_45_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_45_218 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_45_215 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_45_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -24631,35 +24642,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_45_394 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_45_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_45_398 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_45_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_45_403 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_45_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_45_411 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_45_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_45_419 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_45_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_45_427 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_45_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_45_435 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_45_439 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_45_438 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -24839,27 +24846,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_46_155 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_46_155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_46_159 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_46_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_46_164 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_46_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_46_172 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_46_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_46_180 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_46_186 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_46_188 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_46_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -24903,35 +24910,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_46_257 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_46_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_46_261 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_46_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_46_263 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_46_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_46_267 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_46_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_46_275 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_46_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_46_283 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_46_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_46_291 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_46_299 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_46_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -24967,39 +24970,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_46_359 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_46_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_46_366 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_46_367 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_46_374 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_46_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_46_382 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_46_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_46_390 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_46_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_46_398 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_46_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_46_406 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_46_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_46_410 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_46_412 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_46_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -25247,63 +25246,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_47_237 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_47_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_47_245 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_47_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_47_253 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_47_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_47_261 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_47_254 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_47_269 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_47_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_47_273 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_47_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_47_276 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_47_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_47_283 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_47_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_47_291 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_47_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_47_299 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_47_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_47_307 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_47_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_47_315 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_47_308 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_47_323 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_47_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_47_327 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_47_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_47_329 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_47_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -25451,19 +25450,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_47_583 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_47_583 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_47_587 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_47_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_47_589 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_47_593 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_47_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -25547,35 +25542,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_48_147 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_48_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_48_154 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_48_155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_48_162 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_48_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_48_170 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_48_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_48_178 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_48_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_48_186 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_48_187 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_48_190 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_48_192 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_48_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -25643,15 +25634,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_48_304 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_48_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_48_311 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_48_313 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_48_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -25747,35 +25734,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_48_469 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_48_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_48_477 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_48_476 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_48_485 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_48_484 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_48_493 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_48_492 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_48_501 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_48_500 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_48_509 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_48_508 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_48_517 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_48_516 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_48_521 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_48_520 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_48_522 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -25787,31 +25778,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_48_545 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_48_540 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_48_553 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_48_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_48_561 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_48_556 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_48_569 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_48_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_48_577 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_48_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_48_579 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_48_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_48_581 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_48_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -25875,11 +25866,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_49_96 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_49_98 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_49_96 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -25903,23 +25890,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_49_135 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_49_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_49_143 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_49_141 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_49_151 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_49_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_49_159 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_49_163 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_49_157 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -25939,19 +25922,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_49_198 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_49_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_49_204 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_49_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_49_211 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_49_214 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_49_219 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_49_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -26039,15 +26022,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_49_371 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_49_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_49_379 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_49_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_49_383 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_49_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -26071,19 +26054,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_49_426 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_49_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_49_434 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_49_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_49_438 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_49_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_49_441 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_49_441 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_49_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -26135,11 +26122,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_49_541 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_49_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_49_549 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_49_544 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_49_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -26155,15 +26146,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_49_575 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_49_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_49_581 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_49_583 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_49_589 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_49_591 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_49_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -26211,67 +26206,71 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_50_84 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_50_84 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_50_91 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_50_92 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_50_99 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_50_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_50_107 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_50_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_50_115 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_50_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_50_123 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_50_124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_50_131 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_50_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_50_135 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_50_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_50_137 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_50_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_50_139 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_50_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_50_147 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_50_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_50_155 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_50_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_50_163 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_50_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_50_169 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_50_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_50_177 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_50_186 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_50_185 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_50_190 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_50_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -26279,27 +26278,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_50_202 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_50_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_50_208 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_50_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_50_216 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_50_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_50_224 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_50_226 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_50_232 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_50_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_50_240 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_50_242 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_50_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -26415,19 +26418,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_50_446 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_50_446 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_50_455 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_50_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_50_463 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_50_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_50_467 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_50_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -26583,23 +26586,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_51_135 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_51_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_51_144 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_51_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_51_152 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_51_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_51_160 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_51_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_51_164 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_51_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -26639,31 +26642,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_51_229 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_51_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_51_233 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_51_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_51_235 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_51_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_51_241 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_51_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_51_249 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_51_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_51_257 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_51_265 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_51_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -26671,35 +26670,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_51_276 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_51_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_51_284 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_51_280 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_51_292 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_51_287 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_51_300 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_51_295 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_51_308 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_51_303 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_51_316 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_51_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_51_324 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_51_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_51_328 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_51_327 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_51_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -26751,19 +26754,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_51_418 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_51_421 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_51_426 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_51_429 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_51_434 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_51_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_51_438 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_51_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -26875,39 +26878,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_52_37 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_52_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_52_41 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_52_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_52_45 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_52_53 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_52_52 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_52_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_52_60 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_52_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_52_68 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_52_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_52_76 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_52_80 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_52_82 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_52_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -27059,15 +27054,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_52_344 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_52_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_52_352 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_52_348 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_52_356 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_52_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -27111,31 +27106,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_52_430 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_52_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_52_434 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_52_438 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_52_436 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_52_446 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_52_440 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_52_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_52_448 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_52_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_52_456 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_52_464 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_52_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -27223,23 +27214,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_53_20 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_53_20 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_53_28 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_53_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_53_36 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_53_34 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_53_44 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_53_42 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_53_52 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_53_50 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -27411,31 +27402,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_53_339 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_53_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_53_343 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_53_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_53_349 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_53_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_53_357 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_53_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_53_365 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_53_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_53_373 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_53_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_53_381 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_53_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -27535,35 +27526,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_53_551 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_53_551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_53_558 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_53_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_53_562 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_53_567 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_53_568 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_53_575 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_53_576 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_53_583 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_53_584 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_53_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_53_592 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_53_596 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_53_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -27615,11 +27602,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_54_92 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_54_94 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_54_92 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -27699,15 +27682,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_54_234 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_54_234 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_54_243 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_54_242 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_54_247 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_54_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -27719,27 +27702,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_54_268 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_54_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_54_276 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_54_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_54_284 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_54_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_54_292 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_54_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_54_300 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_54_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_54_302 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_54_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -27747,27 +27730,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_54_311 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_54_311 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_54_318 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_54_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_54_326 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_54_327 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_54_334 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_54_335 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_54_342 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_54_343 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_54_350 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_54_351 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_54_355 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_54_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -27811,27 +27802,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_54_430 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_54_430 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_54_438 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_54_437 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_54_446 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_54_445 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_54_454 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_54_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_54_462 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_54_461 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_54_466 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_54_465 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_54_467 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -27903,11 +27898,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_54_587 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_54_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_54_593 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_54_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -28055,7 +28050,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_55_253 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_55_253 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_55_255 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -28071,35 +28070,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_55_276 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_55_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_55_284 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_55_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_55_292 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_55_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_55_300 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_55_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_55_308 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_55_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_55_316 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_55_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_55_324 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_55_319 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_55_328 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_55_327 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_55_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -28247,15 +28250,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_55_583 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_55_583 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_55_587 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_55_591 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_55_593 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_55_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -28323,15 +28326,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_56_124 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_56_129 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_56_132 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_56_136 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_56_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -28407,23 +28406,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_56_270 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_56_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_56_278 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_56_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_56_286 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_56_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_56_294 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_56_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_56_302 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_56_297 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_56_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -28511,15 +28514,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_56_454 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_56_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_56_459 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_56_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_56_467 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_56_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -28587,55 +28590,43 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_56_579 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_56_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_56_583 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_56_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_56_590 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_56_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_56_594 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_57_4 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_56_596 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_57_12 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_57_4 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_57_20 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_57_10 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_57_24 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_57_18 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_57_31 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_57_26 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_57_39 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_57_34 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_57_42 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_57_50 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_57_54 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_57_47 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -28719,19 +28710,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_57_198 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_57_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_57_206 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_57_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_57_214 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_57_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_57_218 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_57_217 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_57_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -28787,35 +28782,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_57_316 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_57_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_57_324 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_57_321 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_57_328 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_57_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_57_331 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_57_331 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_57_338 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_57_339 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_57_340 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_57_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_57_346 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_57_354 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_57_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -28891,75 +28882,83 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_57_481 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_57_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_57_489 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_57_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_57_493 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_57_491 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_57_496 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_57_496 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_57_504 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_57_503 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_57_512 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_57_511 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_57_520 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_57_519 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_57_528 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_57_527 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_57_536 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_57_535 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_57_544 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_57_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_57_548 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_57_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_57_551 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_57_549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_57_559 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_57_551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_57_567 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_57_555 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_57_575 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_57_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_57_583 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_57_561 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_57_591 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_57_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_57_595 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_57_577 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_57_585 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_57_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -29059,11 +29058,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_58_179 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_58_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_58_187 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_58_183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -29147,27 +29146,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_58_328 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_58_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_58_332 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_58_336 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_58_339 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_58_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_58_347 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_58_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_58_355 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_58_357 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_58_356 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -29183,15 +29178,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_58_383 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_58_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_58_391 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_58_393 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_58_389 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -29275,11 +29266,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_58_540 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_58_545 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_58_548 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_58_549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -29319,27 +29310,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_59_20 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_59_20 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_59_28 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_59_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_59_36 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_59_35 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_59_44 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_59_43 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_59_52 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_59_54 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_59_51 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -29355,23 +29342,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_59_80 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_59_80 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_59_88 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_59_86 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_59_96 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_59_94 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_59_104 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_59_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_59_108 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_59_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -29379,7 +29366,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_59_119 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_59_119 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_59_121 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -29399,11 +29390,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_59_155 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_59_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_59_161 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_59_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -29423,19 +29414,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_59_198 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_59_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_59_206 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_59_207 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_59_214 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_59_215 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_59_218 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_59_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -29511,27 +29502,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_59_347 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_59_347 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_59_355 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_59_351 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_59_363 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_59_357 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_59_371 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_59_365 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_59_379 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_59_373 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_59_383 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_59_381 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -29587,19 +29578,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_59_481 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_59_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_59_485 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_59_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_59_487 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_59_491 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_59_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -29639,27 +29626,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_59_559 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_59_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_59_567 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_59_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_59_575 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_59_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_59_583 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_59_580 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_59_591 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_59_588 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_59_595 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_59_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -29715,23 +29702,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_60_100 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_60_100 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_60_106 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_60_102 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_60_114 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_60_108 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_60_122 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_60_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_60_130 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_60_124 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_60_132 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_60_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -29751,7 +29746,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_60_171 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_60_171 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_60_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -29775,27 +29774,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_60_210 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_60_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_60_218 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_60_216 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_60_226 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_60_224 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_60_234 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_60_232 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_60_242 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_60_246 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_60_240 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -29803,27 +29798,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_60_262 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_60_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_60_270 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_60_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_60_278 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_60_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_60_286 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_60_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_60_294 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_60_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_60_302 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_60_297 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_60_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -29911,15 +29910,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_60_454 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_60_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_60_458 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_60_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_60_464 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_60_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -29939,15 +29938,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_60_501 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_60_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_60_509 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_60_505 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_60_517 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_60_513 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -29955,35 +29954,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_60_524 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_60_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_60_532 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_60_531 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_60_540 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_60_539 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_60_548 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_60_547 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_60_556 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_60_555 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_60_564 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_60_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_60_572 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_60_571 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_60_576 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_60_575 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_60_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -30071,27 +30074,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_61_127 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_61_127 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_61_132 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_61_135 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_61_140 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_61_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_61_148 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_61_151 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_61_156 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_61_159 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_61_164 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_61_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -30211,55 +30214,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_61_371 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_61_371 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_61_378 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_61_379 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_61_382 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_61_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_61_384 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_61_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_61_386 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_61_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_61_393 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_61_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_61_401 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_61_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_61_409 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_61_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_61_417 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_61_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_61_425 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_61_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_61_433 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_61_437 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_61_439 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_61_438 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -30311,19 +30306,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_61_531 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_61_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_61_539 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_61_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_61_547 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_61_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_61_549 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_61_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -30431,35 +30426,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_62_139 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_62_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_62_147 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_62_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_62_155 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_62_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_62_163 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_62_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_62_171 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_62_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_62_179 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_62_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_62_187 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_62_186 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_62_191 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_62_190 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_62_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -30495,15 +30494,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_62_249 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_62_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_62_257 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_62_256 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_62_265 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_62_264 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -30527,7 +30526,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_62_304 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_62_304 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_62_306 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -30623,31 +30626,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_62_469 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_62_469 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_62_473 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_62_477 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_62_480 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_62_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_62_489 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_62_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_62_497 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_62_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_62_505 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_62_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_62_513 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_62_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -30667,7 +30670,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_62_548 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_62_548 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_62_550 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -30923,35 +30930,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_63_386 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_63_386 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_63_390 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_63_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_63_392 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_63_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_63_398 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_63_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_63_406 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_63_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_63_414 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_63_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_63_422 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_63_430 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_63_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -31007,19 +31010,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_63_528 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_63_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_63_536 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_63_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_63_544 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_63_548 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_63_549 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -31303,19 +31302,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_64_446 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_64_446 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_64_454 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_64_450 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_64_462 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_64_456 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_64_466 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_64_464 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -31363,23 +31362,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_64_548 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_64_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_64_556 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_64_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_64_564 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_64_565 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_64_572 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_64_573 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_64_576 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_64_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -31491,35 +31490,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_65_166 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_65_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_65_174 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_65_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_65_182 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_65_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_65_190 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_65_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_65_198 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_65_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_65_206 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_65_205 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_65_214 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_65_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_65_218 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_65_217 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_65_219 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -31531,63 +31534,63 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_65_237 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_65_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_65_245 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_65_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_65_253 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_65_246 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_65_261 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_65_254 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_65_269 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_65_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_65_273 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_65_270 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_65_276 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_65_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_65_283 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_65_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_65_291 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_65_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_65_299 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_65_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_65_307 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_65_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_65_315 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_65_308 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_65_323 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_65_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_65_327 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_65_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_65_329 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_65_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -31631,11 +31634,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_65_402 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_65_406 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_65_402 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -31659,35 +31658,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_65_441 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_65_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_65_449 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_65_448 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_65_457 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_65_455 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_65_465 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_65_463 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_65_473 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_65_471 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_65_481 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_65_479 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_65_489 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_65_493 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_65_487 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -31723,31 +31718,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_65_551 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_65_551 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_65_559 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_65_560 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_65_567 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_65_568 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_65_575 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_65_576 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_65_583 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_65_584 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_65_591 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_65_592 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_65_595 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_65_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -31763,23 +31758,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_66_29 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_66_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_66_33 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_66_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_66_39 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_66_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_66_47 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_66_53 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_66_55 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_66_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -31795,35 +31790,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_66_84 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_66_84 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_66_92 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_66_91 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_66_100 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_66_99 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_66_108 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_66_107 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_66_116 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_66_115 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_66_124 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_66_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_66_128 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_66_131 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_66_134 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_66_135 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_66_137 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -31831,27 +31830,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_66_152 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_66_147 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_66_160 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_66_155 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_66_168 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_66_163 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_66_176 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_66_171 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_66_184 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_66_179 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_66_192 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_66_187 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_66_191 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -31923,35 +31926,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_66_312 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_66_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_66_316 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_66_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_66_321 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_66_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_66_329 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_66_336 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_66_337 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_66_342 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_66_345 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_66_353 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_66_357 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_66_350 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -32007,15 +32002,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_66_454 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_66_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_66_458 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_66_462 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_66_464 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_66_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -32191,39 +32186,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_67_166 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_67_166 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_67_173 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_67_174 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_67_181 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_67_182 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_67_189 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_67_190 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_67_197 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_67_198 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_67_205 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_67_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_67_213 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_67_214 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_67_217 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_67_219 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_67_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -32231,31 +32222,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_67_229 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_67_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_67_234 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_67_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_67_242 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_67_245 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_67_250 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_67_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_67_258 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_67_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_67_266 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_67_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_67_274 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_67_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -32327,31 +32318,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_67_394 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_67_394 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_67_402 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_67_403 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_67_410 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_67_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_67_418 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_67_419 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_67_426 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_67_427 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_67_434 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_67_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_67_438 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_67_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -32423,27 +32414,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_67_559 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_67_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_67_567 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_67_564 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_67_575 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_67_572 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_67_583 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_67_580 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_67_591 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_67_588 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_67_595 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_67_596 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -32459,39 +32450,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_68_29 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_68_29 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_68_36 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_68_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_68_44 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_68_45 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_68_52 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_68_53 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_68_60 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_68_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_68_68 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_68_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_68_76 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_68_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_68_80 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_68_82 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_68_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -32563,31 +32550,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_68_202 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_68_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_68_210 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_68_206 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_68_218 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_68_213 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_68_226 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_68_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_68_234 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_68_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_68_242 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_68_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_68_246 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_68_244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -32787,51 +32774,47 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_68_587 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_68_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_68_589 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_68_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_68_593 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_69_4 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_69_9 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_69_12 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_69_17 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_69_20 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_69_25 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_69_28 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_69_33 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_69_36 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_69_41 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_69_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_69_49 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_69_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_69_53 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_69_54 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_69_56 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_69_60 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_69_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -32931,31 +32914,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_69_229 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_69_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_69_237 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_69_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_69_245 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_69_243 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_69_253 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_69_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_69_261 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_69_259 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_69_269 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_69_273 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_69_267 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -32963,27 +32942,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_69_284 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_69_284 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_69_288 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_69_292 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_69_296 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_69_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_69_304 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_69_308 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_69_312 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_69_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_69_320 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_69_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33039,15 +33018,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_69_418 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_69_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_69_424 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_69_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_69_432 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_69_434 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_69_438 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33167,23 +33150,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_70_56 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_70_53 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_70_64 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_70_61 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_70_72 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_70_69 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_70_80 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_70_77 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_70_82 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_70_81 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33219,35 +33202,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_70_139 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_70_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_70_147 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_70_146 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_70_155 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_70_154 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_70_163 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_70_162 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_70_171 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_70_170 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_70_179 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_70_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_70_187 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_70_186 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_70_191 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_70_190 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_70_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33399,11 +33386,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_70_454 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_70_454 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_70_460 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_70_462 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_70_466 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33415,31 +33406,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_70_485 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_70_485 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_70_492 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_70_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_70_500 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_70_501 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_70_508 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_70_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_70_516 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_70_517 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_70_520 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_70_522 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_70_521 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33499,19 +33486,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_71_28 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_71_28 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_71_32 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_71_36 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_71_40 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_71_48 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_71_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33555,35 +33538,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_71_111 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_71_111 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_71_119 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_71_118 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_71_127 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_71_126 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_71_135 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_71_134 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_71_143 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_71_142 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_71_151 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_71_150 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_71_159 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_71_158 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_71_163 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_71_162 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_71_164 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33727,27 +33714,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_71_410 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_71_410 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_71_417 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_71_418 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_71_425 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_71_426 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_71_433 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_71_434 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_71_437 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_71_439 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_71_438 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33903,47 +33886,51 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_72_116 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_72_116 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_72_121 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_72_124 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_72_129 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_72_132 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_72_137 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_72_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_72_139 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_72_139 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_72_147 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_72_143 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_72_155 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_72_149 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_72_163 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_72_156 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_72_171 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_72_160 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_72_179 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_72_167 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_72_187 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_72_175 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_72_183 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -33991,23 +33978,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_72_265 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_72_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_72_273 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_72_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_72_281 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_72_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_72_289 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_72_277 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_72_297 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_72_285 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_72_293 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -34023,23 +34014,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_72_320 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_72_320 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_72_324 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_72_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_72_332 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_72_336 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_72_340 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_72_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_72_348 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_72_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -34055,23 +34046,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_72_375 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_72_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_72_381 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_72_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_72_389 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_72_391 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_72_397 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_72_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_72_405 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_72_407 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_72_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -34171,19 +34166,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_72_579 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_72_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_72_586 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_72_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_72_594 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_72_596 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_72_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -34191,27 +34182,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_73_12 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_73_12 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_73_18 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_73_20 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_73_26 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_73_28 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_73_34 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_73_36 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_73_42 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_73_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_73_50 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_73_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -34347,35 +34338,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_73_276 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_73_276 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_73_284 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_73_283 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_73_292 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_73_291 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_73_300 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_73_299 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_73_308 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_73_307 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_73_316 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_73_315 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_73_324 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_73_323 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_73_328 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_73_327 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_73_329 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -34443,39 +34438,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_73_441 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_73_441 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_73_448 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_73_449 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_73_456 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_73_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_73_464 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_73_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_73_472 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_73_473 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_73_480 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_73_481 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_73_488 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_73_489 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_73_492 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_73_494 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_73_493 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -34543,11 +34534,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_74_12 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_74_12 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_74_20 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_74_19 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_74_27 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -34883,31 +34878,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_75_4 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_75_4 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_75_10 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_75_12 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_75_18 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_75_20 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_75_26 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_75_28 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_75_34 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_75_36 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_75_42 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_75_44 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_75_50 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_75_52 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -34915,35 +34910,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_75_56 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_75_56 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_75_64 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_75_60 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_75_72 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_75_66 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_75_80 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_75_74 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_75_88 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_75_82 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_75_96 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_75_90 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_75_104 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_75_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_75_108 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_75_106 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35055,23 +35050,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_75_303 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_75_300 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_75_311 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_75_308 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_75_319 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_75_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_75_327 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_75_324 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_75_329 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_75_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35183,23 +35178,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_75_520 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_75_520 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_75_525 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_75_528 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_75_533 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_75_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_75_541 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_75_544 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_75_549 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_75_548 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35379,27 +35374,39 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_76_265 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_76_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_76_273 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_76_269 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_76_281 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_76_271 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_76_289 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_76_277 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_76_297 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_76_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_76_301 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_76_288 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_76_296 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_76_300 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_76_302 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35567,7 +35574,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_76_595 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_76_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35771,27 +35778,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_77_347 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_77_352 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_77_355 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_77_360 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_77_363 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_77_368 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_77_371 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_77_376 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_77_379 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_77_383 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_77_384 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35895,23 +35898,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_77_559 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_77_559 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_77_567 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_77_563 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_77_575 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_77_569 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_77_583 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_77_577 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_77_595 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_77_585 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_77_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -35939,19 +35946,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_78_53 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_78_53 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_78_61 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_78_57 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_78_67 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_78_62 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_78_75 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_78_70 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_78_78 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_78_82 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36019,79 +36034,67 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_78_194 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_78_194 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_78_196 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_78_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_78_202 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_78_209 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_78_210 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_78_217 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_78_218 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_78_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_78_220 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_78_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_78_226 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_78_237 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_78_234 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_78_244 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_78_242 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_78_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_78_246 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_78_257 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_78_249 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_78_265 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_78_256 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_78_273 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_78_264 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_78_281 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_78_272 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_78_289 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_78_280 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_78_297 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_78_288 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_78_296 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_78_300 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_78_302 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_78_301 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36099,31 +36102,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_78_312 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_78_312 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_78_320 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_78_316 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_78_328 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_78_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_78_336 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_78_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_78_344 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_78_338 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_78_352 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_78_346 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_78_356 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_78_354 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36163,11 +36166,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_78_422 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_78_426 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_78_422 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36263,19 +36262,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_78_593 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_78_595 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_79_10 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_79_8 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_79_18 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_79_16 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_79_26 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_79_24 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_79_28 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36283,11 +36286,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_79_37 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_79_37 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_79_45 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_79_41 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_79_43 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_79_49 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36335,15 +36346,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_79_119 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_79_119 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_79_127 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_79_123 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_79_131 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_79_128 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36371,39 +36382,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_79_168 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_79_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_79_174 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_79_181 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_79_182 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_79_189 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_79_190 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_79_197 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_79_194 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_79_202 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_79_202 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_79_210 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_79_209 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_79_217 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_79_219 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_79_218 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36475,19 +36478,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_79_355 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_79_355 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_79_363 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_79_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_79_371 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_79_361 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_79_379 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_79_367 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_8 FILLER_79_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36507,27 +36514,31 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_79_399 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_79_399 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_79_406 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_79_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_79_410 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_79_411 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_79_416 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_79_416 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_79_424 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_79_423 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_79_432 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_79_431 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_79_439 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36543,11 +36554,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_79_467 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_79_469 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_79_465 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36583,23 +36590,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_79_524 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_79_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_79_526 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_79_533 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_79_531 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_79_539 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_79_547 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_79_541 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36619,7 +36618,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_79_580 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_79_582 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36627,23 +36626,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_79_591 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_79_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_79_595 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_80_8 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_80_16 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_80_24 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_80_26 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36675,6 +36662,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_80_73 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_ls__decap_4 FILLER_80_79 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -36683,10 +36674,6 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_80_92 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_ls__fill_diode_2 FILLER_80_98 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -36695,7 +36682,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_80_114 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_80_114 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36731,15 +36718,27 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_80_169 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_80_169 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_80_177 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_80_173 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_80_185 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_80_175 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_80_180 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_80_188 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_80_192 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36751,7 +36750,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_80_206 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_80_206 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_80_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36759,19 +36762,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_80_221 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_80_221 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_80_229 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_80_229 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_80_237 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_80_239 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_80_236 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36779,15 +36778,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_80_249 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_80_249 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_80_257 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_80_253 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_80_262 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_80_255 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_80_262 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36815,11 +36818,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_80_304 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_80_312 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_80_304 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36827,15 +36826,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_80_328 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_80_328 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_80_336 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_80_336 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_80_344 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_80_344 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36847,7 +36846,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_80_359 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_80_359 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36859,7 +36858,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_80_385 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_80_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36895,7 +36894,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_80_435 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_80_435 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36903,11 +36902,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_80_453 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_80_451 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_80_455 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_80_453 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36943,7 +36942,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_80_509 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_80_509 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36955,11 +36954,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_80_524 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_80_524 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_80_534 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_80_532 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_80_536 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -36967,31 +36970,23 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_80_552 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_ls__fill_diode_2 FILLER_80_558 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_80_566 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
  sky130_fd_sc_ls__decap_4 FILLER_80_574 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_80_579 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_80_579 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_80_587 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_80_587 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_80_595 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_80_593 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37063,6 +37058,10 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_81_130 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
  sky130_fd_sc_ls__decap_4 FILLER_81_136 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
@@ -37091,11 +37090,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_81_177 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_81_178 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_81_185 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_81_186 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37111,31 +37110,35 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_81_207 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_81_208 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_81_215 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_81_220 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_81_223 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_81_225 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_81_225 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_81_233 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_81_234 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_81_235 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_81_242 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_81_241 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_81_250 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_81_249 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_81_251 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37143,27 +37146,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_81_262 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_81_261 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_81_266 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_81_274 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_81_268 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_81_273 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_81_277 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_81_279 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_81_278 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37175,11 +37166,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_81_291 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_81_290 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_81_299 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_81_298 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37195,15 +37186,15 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_81_323 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_81_322 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_81_331 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_81_330 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_81_335 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_81_334 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37219,7 +37210,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_81_356 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_81_355 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_1 FILLER_81_363 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37227,11 +37222,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_81_373 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_81_375 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_1 FILLER_81_381 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_1 FILLER_81_383 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37243,11 +37238,11 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_81_407 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_81_407 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_81_417 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_81_415 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37275,11 +37270,7 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_81_459 (.VGND(vssd1),
-    .VNB(vssd1),
-    .VPB(vccd1),
-    .VPWR(vccd1));
- sky130_fd_sc_ls__fill_diode_2 FILLER_81_463 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_8 FILLER_81_457 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
@@ -37339,11 +37330,19 @@
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_8 FILLER_81_541 (.VGND(vssd1),
+ sky130_fd_sc_ls__decap_4 FILLER_81_543 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
- sky130_fd_sc_ls__decap_4 FILLER_81_555 (.VGND(vssd1),
+ sky130_fd_sc_ls__fill_diode_2 FILLER_81_547 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__decap_4 FILLER_81_553 (.VGND(vssd1),
+    .VNB(vssd1),
+    .VPB(vccd1),
+    .VPWR(vccd1));
+ sky130_fd_sc_ls__fill_diode_2 FILLER_81_557 (.VGND(vssd1),
     .VNB(vssd1),
     .VPB(vccd1),
     .VPWR(vccd1));
diff --git a/verilog/rtl/user_proj_cells.v b/verilog/rtl/user_proj_cells.v
index 72b2dc1..0921633 100644
--- a/verilog/rtl/user_proj_cells.v
+++ b/verilog/rtl/user_proj_cells.v
@@ -12,8 +12,8 @@
   inout B, // input
   inout Y, // output
      `ifdef USE_POWER_PINS
-	inout vdd, // cell power supply
-	inout gnd  // cell ground supply
+	inout VPWR, // cell power supply
+	inout VGND  // cell ground supply
      `endif
 );
 endmodule
@@ -23,8 +23,8 @@
   inout B, // input
   inout Y, // output
      `ifdef USE_POWER_PINS
-	inout vdd, // cell power supply
-	inout gnd  // cell ground supply
+	inout VPWR, // cell power supply
+	inout VGND  // cell ground supply
      `endif
 );
 endmodule
@@ -35,8 +35,8 @@
   inout C, // input
   inout Y, // output
      `ifdef USE_POWER_PINS
-	inout vdd, // cell power supply
-	inout gnd  // cell ground supply
+	inout VPWR, // cell power supply
+	inout VGND  // cell ground supply
      `endif
 );
 endmodule
@@ -48,8 +48,8 @@
   inout D, // input
   inout Y, // output
      `ifdef USE_POWER_PINS
-	inout vdd, // cell power supply
-	inout gnd  // cell ground supply
+	inout VPWR, // cell power supply
+	inout VGND  // cell ground supply
      `endif
 );
 endmodule
@@ -58,8 +58,8 @@
   inout A, // input
   inout Y, // output
      `ifdef USE_POWER_PINS
-	inout vdd, // cell power supply
-	inout gnd  // cell ground supply
+	inout VPWR, // cell power supply
+	inout VGND  // cell ground supply
      `endif
 );
 endmodule
@@ -68,8 +68,8 @@
   inout A, // input
   inout Y, // output
      `ifdef USE_POWER_PINS
-	inout vdd, // cell power supply
-	inout gnd  // cell ground supply
+	inout VPWR, // cell power supply
+	inout VGND  // cell ground supply
      `endif
 );
 endmodule
@@ -78,8 +78,8 @@
   inout A, // input
   inout Y, // output
      `ifdef USE_POWER_PINS
-	inout vdd, // cell power supply
-	inout gnd  // cell ground supply
+	inout VPWR, // cell power supply
+	inout VGND  // cell ground supply
      `endif
 );
 endmodule
@@ -88,8 +88,8 @@
   inout A, // input
   inout Y, // output
      `ifdef USE_POWER_PINS
-	inout vdd, // cell power supply
-	inout gnd  // cell ground supply
+	inout VPWR, // cell power supply
+	inout VGND  // cell ground supply
      `endif
 );
 endmodule
@@ -98,8 +98,8 @@
   inout A, // input
   inout Y, // output
      `ifdef USE_POWER_PINS
-	inout vdd, // cell power supply
-	inout gnd  // cell ground supply
+	inout VPWR, // cell power supply
+	inout VGND  // cell ground supply
      `endif
 );
 endmodule
@@ -108,8 +108,8 @@
   inout A, // input
   inout Y, // output
      `ifdef USE_POWER_PINS
-	inout vdd, // cell power supply
-	inout gnd  // cell ground supply
+	inout VPWR, // cell power supply
+	inout VGND  // cell ground supply
      `endif
 );
 endmodule
@@ -118,8 +118,8 @@
   inout A, // input
   inout Y, // output
      `ifdef USE_POWER_PINS
-	inout vdd, // cell power supply
-	inout gnd  // cell ground supply
+	inout VPWR, // cell power supply
+	inout VGND  // cell ground supply
      `endif
 );
 endmodule
@@ -128,8 +128,8 @@
   inout A, // input
   inout Y, // output
      `ifdef USE_POWER_PINS
-	inout vdd, // cell power supply
-	inout gnd  // cell ground supply
+	inout VPWR, // cell power supply
+	inout VGND  // cell ground supply
      `endif
 );
 endmodule
@@ -140,8 +140,8 @@
   inout S, // input
   inout Y, // output
      `ifdef USE_POWER_PINS
-	inout vdd, // cell power supply
-	inout gnd  // cell ground supply
+	inout VPWR, // cell power supply
+	inout VGND  // cell ground supply
      `endif
 );
 endmodule
@@ -151,8 +151,8 @@
   inout B, // input
   inout Y, // output
      `ifdef USE_POWER_PINS
-	inout vdd, // cell power supply
-	inout gnd  // cell ground supply
+	inout VPWR, // cell power supply
+	inout VGND  // cell ground supply
      `endif
 );
 endmodule
@@ -163,8 +163,8 @@
   inout C, // input
   inout Y, // output
      `ifdef USE_POWER_PINS
-	inout vdd, // cell power supply
-	inout gnd  // cell ground supply
+	inout VPWR, // cell power supply
+	inout VGND  // cell ground supply
      `endif
 );
 endmodule
@@ -174,8 +174,8 @@
   inout B, // input
   inout Y, // output
      `ifdef USE_POWER_PINS
-	inout vdd, // cell power supply
-	inout gnd  // cell ground supply
+	inout VPWR, // cell power supply
+	inout VGND  // cell ground supply
      `endif
 );
 endmodule
@@ -185,8 +185,8 @@
   inout B, // input
   inout Y, // output
      `ifdef USE_POWER_PINS
-	inout vdd, // cell power supply
-	inout gnd  // cell ground supply
+	inout VPWR, // cell power supply
+	inout VGND  // cell ground supply
      `endif
 );
 endmodule
@@ -196,8 +196,8 @@
   inout B, // input
   inout Y, // output
      `ifdef USE_POWER_PINS
-	inout vdd, // cell power supply
-	inout gnd  // cell ground supply
+	inout VPWR, // cell power supply
+	inout VGND  // cell ground supply
      `endif
 );
 endmodule
@@ -207,8 +207,8 @@
   inout B, // input
   inout Y, // output
      `ifdef USE_POWER_PINS
-	inout vdd, // cell power supply
-	inout gnd  // cell ground supply
+	inout VPWR, // cell power supply
+	inout VGND  // cell ground supply
      `endif
 );
 endmodule
diff --git a/verilog/rtl/user_proj_example.v b/verilog/rtl/user_proj_example.v
index 86df095..83c8a8c 100644
--- a/verilog/rtl/user_proj_example.v
+++ b/verilog/rtl/user_proj_example.v
@@ -46,8 +46,8 @@
 
 AND2X1 AND2X1(
  `ifdef USE_POWER_PINS
-  .vdd(vccd1),
-  .gnd(vssd1),
+  .VPWR(vccd1),
+  .VGND(vssd1),
  `endif
   .A(io_in[0]),
   .B(io_in[1]),
@@ -55,8 +55,8 @@
 );
 AND2X2 AND2X2(
  `ifdef USE_POWER_PINS
-  .vdd(vccd1),
-  .gnd(vssd1),
+  .VPWR(vccd1),
+  .VGND(vssd1),
  `endif
   .A(io_in[3]),
   .B(io_in[4]),
@@ -64,8 +64,8 @@
 );
 AOI21X1 AOI21X1(
  `ifdef USE_POWER_PINS
-  .vdd(vccd1),
-  .gnd(vssd1),
+  .VPWR(vccd1),
+  .VGND(vssd1),
  `endif
   .A(io_in[6]),
   .B(io_in[7]),
@@ -74,8 +74,8 @@
 );
 AOI22X1 AOI22X1(
  `ifdef USE_POWER_PINS
-  .vdd(vccd1),
-  .gnd(vssd1),
+  .VPWR(vccd1),
+  .VGND(vssd1),
  `endif
   .A(io_in[10]),
   .B(io_in[11]),
@@ -85,72 +85,72 @@
 );
 BUFX2 BUFX2(
  `ifdef USE_POWER_PINS
-  .vdd(vccd1),
-  .gnd(vssd1),
+  .VPWR(vccd1),
+  .VGND(vssd1),
  `endif
   .A(io_in[15]),
   .Y(io_out[16]),
 );
 BUFX4 BUFX4(
  `ifdef USE_POWER_PINS
-  .vdd(vccd1),
-  .gnd(vssd1),
+  .VPWR(vccd1),
+  .VGND(vssd1),
  `endif
   .A(io_in[17]),
   .Y(io_out[18]),
 );
 CLKBUF1 CLKBUF1(
  `ifdef USE_POWER_PINS
-  .vdd(vccd1),
-  .gnd(vssd1),
+  .VPWR(vccd1),
+  .VGND(vssd1),
  `endif
   .A(io_in[19]),
   .Y(io_out[20]),
 );
 INV INV(
  `ifdef USE_POWER_PINS
-  .vdd(vccd1),
-  .gnd(vssd1),
+  .VPWR(vccd1),
+  .VGND(vssd1),
  `endif
   .A(io_in[21]),
   .Y(io_out[22]),
 );
 INVX1 INVX1(
  `ifdef USE_POWER_PINS
-  .vdd(vccd1),
-  .gnd(vssd1),
+  .VPWR(vccd1),
+  .VGND(vssd1),
  `endif
   .A(io_in[23]),
   .Y(io_out[24]),
 );
 INVX2 INVX2(
  `ifdef USE_POWER_PINS
-  .vdd(vccd1),
-  .gnd(vssd1),
+  .VPWR(vccd1),
+  .VGND(vssd1),
  `endif
   .A(io_in[25]),
   .Y(io_out[26]),
 );
 INVX4 INVX4(
  `ifdef USE_POWER_PINS
-  .vdd(vccd1),
-  .gnd(vssd1),
+  .VPWR(vccd1),
+  .VGND(vssd1),
  `endif
   .A(io_in[27]),
   .Y(io_out[28]),
 );
 INVX8 INVX8(
  `ifdef USE_POWER_PINS
-  .vdd(vccd1),
-  .gnd(vssd1),
+  .VPWR(vccd1),
+  .VGND(vssd1),
  `endif
   .A(io_in[29]),
   .Y(io_out[30]),
 );
 MUX2X1 MUX2X1(
  `ifdef USE_POWER_PINS
-  .vdd(vccd1),
-  .gnd(vssd1),
+  .VPWR(vccd1),
+  .VGND(vssd1),
  `endif
   .A(io_in[31]),
   .B(io_in[32]),
@@ -159,8 +159,8 @@
 );
 NAND2X1 NAND2X1(
  `ifdef USE_POWER_PINS
-  .vdd(vccd1),
-  .gnd(vssd1),
+  .VPWR(vccd1),
+  .VGND(vssd1),
  `endif
   .A(io_in[35]),
   .B(io_in[36]),
@@ -168,8 +168,8 @@
 );
 NAND3X1 NAND3X1(
  `ifdef USE_POWER_PINS
-  .vdd(vccd1),
-  .gnd(vssd1),
+  .VPWR(vccd1),
+  .VGND(vssd1),
  `endif
   .A(la_data_in[0]),
   .B(la_data_in[1]),
@@ -178,8 +178,8 @@
 );
 OR2X1 OR2X1(
  `ifdef USE_POWER_PINS
-  .vdd(vccd1),
-  .gnd(vssd1),
+  .VPWR(vccd1),
+  .VGND(vssd1),
  `endif
   .A(la_data_in[4]),
   .B(la_data_in[5]),
@@ -187,8 +187,8 @@
 );
 OR2X2 OR2X2(
  `ifdef USE_POWER_PINS
-  .vdd(vccd1),
-  .gnd(vssd1),
+  .VPWR(vccd1),
+  .VGND(vssd1),
  `endif
   .A(la_data_in[7]),
   .B(la_data_in[8]),
@@ -196,8 +196,8 @@
 );
 XNOR2X1 XNOR2X1(
  `ifdef USE_POWER_PINS
-  .vdd(vccd1),
-  .gnd(vssd1),
+  .VPWR(vccd1),
+  .VGND(vssd1),
  `endif
   .A(la_data_in[10]),
   .B(la_data_in[11]),
@@ -205,8 +205,8 @@
 );
 XOR2X1 XOR2X1(
  `ifdef USE_POWER_PINS
-  .vdd(vccd1),
-  .gnd(vssd1),
+  .VPWR(vccd1),
+  .VGND(vssd1),
  `endif
   .A(la_data_in[13]),
   .B(la_data_in[14]),