tree: 800aa8cee1f0c55318e2c29d34d16a3034ed3a08 [path history] [tgz]
  1. sky130_hilas_capacitorArray01.sch
  2. sky130_hilas_capacitorArray01.sym
  3. sky130_hilas_cellAttempt01.sch
  4. sky130_hilas_cellAttempt01.sym
  5. sky130_hilas_DAC5bit01.sch
  6. sky130_hilas_DAC5bit01.sym
  7. sky130_hilas_drainSelect01.sch
  8. sky130_hilas_drainSelect01.sym
  9. sky130_hilas_FGBiasWeakGate2x1cell.sch
  10. sky130_hilas_FGBiasWeakGate2x1cell.sym
  11. sky130_hilas_FGcharacterization01.sch
  12. sky130_hilas_FGcharacterization01.sym
  13. sky130_hilas_FGtrans2x1cell.sch
  14. sky130_hilas_FGtrans2x1cell.sym
  15. sky130_hilas_LeftProtection.sch
  16. sky130_hilas_LeftProtection.sym
  17. sky130_hilas_LevelShift4InputUp.sch
  18. sky130_hilas_LevelShift4InputUp.sym
  19. sky130_hilas_nFETLarge.sch
  20. sky130_hilas_nFETLarge.sym
  21. sky130_hilas_pFETLarge.sch
  22. sky130_hilas_pFETLarge.sym
  23. sky130_hilas_polyresistorGND.sch
  24. sky130_hilas_polyresistorGND.sym
  25. sky130_hilas_RightProtection.sch
  26. sky130_hilas_RightProtection.sym
  27. sky130_hilas_swc4x1BiasCell.sch
  28. sky130_hilas_swc4x1BiasCell.sym
  29. sky130_hilas_swc4x2cell.sch
  30. sky130_hilas_swc4x2cell.sym
  31. sky130_hilas_TA2Cell_1FG.sch
  32. sky130_hilas_TA2Cell_1FG.sym
  33. sky130_hilas_TA2Cell_1FG_Strong.sch
  34. sky130_hilas_TA2Cell_1FG_Strong.sym
  35. sky130_hilas_TA2Cell_NoFG.sch
  36. sky130_hilas_TA2Cell_NoFG.sym
  37. sky130_hilas_TA2SignalBiasCell.sch
  38. sky130_hilas_TA2SignalBiasCell.sym
  39. sky130_hilas_Tgate4Double01.sch
  40. sky130_hilas_Tgate4Double01.sym
  41. sky130_hilas_Tgate4Single01.sch
  42. sky130_hilas_Tgate4Single01.sym
  43. sky130_hilas_TopLevelProtectStructure.sch
  44. sky130_hilas_TopLevelTestStructure.sch
  45. sky130_hilas_TopLevelTestStructure.sym
  46. sky130_hilas_TopProtection.sch
  47. sky130_hilas_TopProtection.sym
  48. sky130_hilas_Trans2med.sch
  49. sky130_hilas_Trans2med.sym
  50. sky130_hilas_Trans4small.sch
  51. sky130_hilas_Trans4small.sym
  52. sky130_hilas_VinjDecode2to4.sch
  53. sky130_hilas_VinjDecode2to4.sym
  54. sky130_hilas_VinjDiodeProtect01.sch
  55. sky130_hilas_VinjDiodeProtect01.sym
  56. sky130_hilas_WTA4Stage01.sch
  57. sky130_hilas_WTA4Stage01.sym
  58. xschemrc