blob: 865cd0adeb762d9c8794445c814d724528660b64 [file] [log] [blame]
VERSION 5.7 ;
NOWIREEXTENSIONATPIN ON ;
DIVIDERCHAR "/" ;
BUSBITCHARS "[]" ;
MACRO user_project_wrapper
CLASS BLOCK ;
FOREIGN user_project_wrapper ;
ORIGIN 0.000 0.000 ;
SIZE 2920.000 BY 3520.000 ;
PIN analog_io[0]
DIRECTION INOUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2917.600 28.980 2924.800 30.180 ;
END
END analog_io[0]
PIN analog_io[10]
DIRECTION INOUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2917.600 2374.980 2924.800 2376.180 ;
END
END analog_io[10]
PIN analog_io[11]
DIRECTION INOUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2917.600 2609.580 2924.800 2610.780 ;
END
END analog_io[11]
PIN analog_io[12]
DIRECTION INOUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2917.600 2844.180 2924.800 2845.380 ;
END
END analog_io[12]
PIN analog_io[13]
DIRECTION INOUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2917.600 3078.780 2924.800 3079.980 ;
END
END analog_io[13]
PIN analog_io[14]
DIRECTION INOUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2917.600 3313.380 2924.800 3314.580 ;
END
END analog_io[14]
PIN analog_io[15]
DIRECTION INOUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2879.090 3517.600 2879.650 3524.800 ;
END
END analog_io[15]
PIN analog_io[16]
DIRECTION INOUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2554.790 3517.600 2555.350 3524.800 ;
END
END analog_io[16]
PIN analog_io[17]
DIRECTION INOUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2230.490 3517.600 2231.050 3524.800 ;
END
END analog_io[17]
PIN analog_io[18]
DIRECTION INOUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1905.730 3517.600 1906.290 3524.800 ;
END
END analog_io[18]
PIN analog_io[19]
DIRECTION INOUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1581.430 3517.600 1581.990 3524.800 ;
END
END analog_io[19]
PIN analog_io[1]
DIRECTION INOUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2917.600 263.580 2924.800 264.780 ;
END
END analog_io[1]
PIN analog_io[20]
DIRECTION INOUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1257.130 3517.600 1257.690 3524.800 ;
END
END analog_io[20]
PIN analog_io[21]
DIRECTION INOUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 932.370 3517.600 932.930 3524.800 ;
END
END analog_io[21]
PIN analog_io[22]
DIRECTION INOUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 608.070 3517.600 608.630 3524.800 ;
END
END analog_io[22]
PIN analog_io[23]
DIRECTION INOUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 283.770 3517.600 284.330 3524.800 ;
END
END analog_io[23]
PIN analog_io[24]
DIRECTION INOUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT -4.800 3481.340 2.400 3482.540 ;
END
END analog_io[24]
PIN analog_io[25]
DIRECTION INOUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT -4.800 3182.140 2.400 3183.340 ;
END
END analog_io[25]
PIN analog_io[26]
DIRECTION INOUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT -4.800 2882.260 2.400 2883.460 ;
END
END analog_io[26]
PIN analog_io[27]
DIRECTION INOUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT -4.800 2583.060 2.400 2584.260 ;
END
END analog_io[27]
PIN analog_io[28]
DIRECTION INOUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT -4.800 2283.180 2.400 2284.380 ;
END
END analog_io[28]
PIN analog_io[2]
DIRECTION INOUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2917.600 498.180 2924.800 499.380 ;
END
END analog_io[2]
PIN analog_io[3]
DIRECTION INOUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2917.600 732.780 2924.800 733.980 ;
END
END analog_io[3]
PIN analog_io[4]
DIRECTION INOUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2917.600 967.380 2924.800 968.580 ;
END
END analog_io[4]
PIN analog_io[5]
DIRECTION INOUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2917.600 1201.980 2924.800 1203.180 ;
END
END analog_io[5]
PIN analog_io[6]
DIRECTION INOUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2917.600 1436.580 2924.800 1437.780 ;
END
END analog_io[6]
PIN analog_io[7]
DIRECTION INOUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2917.600 1671.180 2924.800 1672.380 ;
END
END analog_io[7]
PIN analog_io[8]
DIRECTION INOUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2917.600 1905.780 2924.800 1906.980 ;
END
END analog_io[8]
PIN analog_io[9]
DIRECTION INOUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2917.600 2140.380 2924.800 2141.580 ;
END
END analog_io[9]
PIN io_in[0]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2917.600 87.460 2924.800 88.660 ;
END
END io_in[0]
PIN io_in[10]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2917.600 2433.460 2924.800 2434.660 ;
END
END io_in[10]
PIN io_in[11]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2917.600 2668.740 2924.800 2669.940 ;
END
END io_in[11]
PIN io_in[12]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2917.600 2903.340 2924.800 2904.540 ;
END
END io_in[12]
PIN io_in[13]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2917.600 3137.940 2924.800 3139.140 ;
END
END io_in[13]
PIN io_in[14]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2917.600 3372.540 2924.800 3373.740 ;
END
END io_in[14]
PIN io_in[15]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2798.130 3517.600 2798.690 3524.800 ;
END
END io_in[15]
PIN io_in[16]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2473.830 3517.600 2474.390 3524.800 ;
END
END io_in[16]
PIN io_in[17]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2149.070 3517.600 2149.630 3524.800 ;
END
END io_in[17]
PIN io_in[18]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1824.770 3517.600 1825.330 3524.800 ;
END
END io_in[18]
PIN io_in[19]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1500.470 3517.600 1501.030 3524.800 ;
END
END io_in[19]
PIN io_in[1]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2917.600 322.060 2924.800 323.260 ;
END
END io_in[1]
PIN io_in[20]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1175.710 3517.600 1176.270 3524.800 ;
END
END io_in[20]
PIN io_in[21]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 851.410 3517.600 851.970 3524.800 ;
END
END io_in[21]
PIN io_in[22]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 527.110 3517.600 527.670 3524.800 ;
END
END io_in[22]
PIN io_in[23]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 202.350 3517.600 202.910 3524.800 ;
END
END io_in[23]
PIN io_in[24]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT -4.800 3406.540 2.400 3407.740 ;
END
END io_in[24]
PIN io_in[25]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT -4.800 3107.340 2.400 3108.540 ;
END
END io_in[25]
PIN io_in[26]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT -4.800 2807.460 2.400 2808.660 ;
END
END io_in[26]
PIN io_in[27]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT -4.800 2508.260 2.400 2509.460 ;
END
END io_in[27]
PIN io_in[28]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT -4.800 2208.380 2.400 2209.580 ;
END
END io_in[28]
PIN io_in[29]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT -4.800 1983.980 2.400 1985.180 ;
END
END io_in[29]
PIN io_in[2]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2917.600 556.660 2924.800 557.860 ;
END
END io_in[2]
PIN io_in[30]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT -4.800 1758.900 2.400 1760.100 ;
END
END io_in[30]
PIN io_in[31]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT -4.800 1534.500 2.400 1535.700 ;
END
END io_in[31]
PIN io_in[32]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT -4.800 1310.100 2.400 1311.300 ;
END
END io_in[32]
PIN io_in[33]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT -4.800 1085.020 2.400 1086.220 ;
END
END io_in[33]
PIN io_in[34]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT -4.800 860.620 2.400 861.820 ;
END
END io_in[34]
PIN io_in[35]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT -4.800 636.220 2.400 637.420 ;
END
END io_in[35]
PIN io_in[36]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT -4.800 411.140 2.400 412.340 ;
END
END io_in[36]
PIN io_in[37]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT -4.800 186.740 2.400 187.940 ;
END
END io_in[37]
PIN io_in[3]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2917.600 791.260 2924.800 792.460 ;
END
END io_in[3]
PIN io_in[4]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2917.600 1025.860 2924.800 1027.060 ;
END
END io_in[4]
PIN io_in[5]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2917.600 1260.460 2924.800 1261.660 ;
END
END io_in[5]
PIN io_in[6]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2917.600 1495.060 2924.800 1496.260 ;
END
END io_in[6]
PIN io_in[7]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2917.600 1729.660 2924.800 1730.860 ;
END
END io_in[7]
PIN io_in[8]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2917.600 1964.260 2924.800 1965.460 ;
END
END io_in[8]
PIN io_in[9]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2917.600 2198.860 2924.800 2200.060 ;
END
END io_in[9]
PIN io_oeb[0]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2917.600 204.420 2924.800 205.620 ;
END
END io_oeb[0]
PIN io_oeb[10]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2917.600 2551.100 2924.800 2552.300 ;
END
END io_oeb[10]
PIN io_oeb[11]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2917.600 2785.700 2924.800 2786.900 ;
END
END io_oeb[11]
PIN io_oeb[12]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2917.600 3020.300 2924.800 3021.500 ;
END
END io_oeb[12]
PIN io_oeb[13]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2917.600 3254.900 2924.800 3256.100 ;
END
END io_oeb[13]
PIN io_oeb[14]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2917.600 3489.500 2924.800 3490.700 ;
END
END io_oeb[14]
PIN io_oeb[15]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2635.750 3517.600 2636.310 3524.800 ;
END
END io_oeb[15]
PIN io_oeb[16]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2311.450 3517.600 2312.010 3524.800 ;
END
END io_oeb[16]
PIN io_oeb[17]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1987.150 3517.600 1987.710 3524.800 ;
END
END io_oeb[17]
PIN io_oeb[18]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1662.390 3517.600 1662.950 3524.800 ;
END
END io_oeb[18]
PIN io_oeb[19]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1338.090 3517.600 1338.650 3524.800 ;
END
END io_oeb[19]
PIN io_oeb[1]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2917.600 439.020 2924.800 440.220 ;
END
END io_oeb[1]
PIN io_oeb[20]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1013.790 3517.600 1014.350 3524.800 ;
END
END io_oeb[20]
PIN io_oeb[21]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 689.030 3517.600 689.590 3524.800 ;
END
END io_oeb[21]
PIN io_oeb[22]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 364.730 3517.600 365.290 3524.800 ;
END
END io_oeb[22]
PIN io_oeb[23]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 40.430 3517.600 40.990 3524.800 ;
END
END io_oeb[23]
PIN io_oeb[24]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT -4.800 3256.940 2.400 3258.140 ;
END
END io_oeb[24]
PIN io_oeb[25]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT -4.800 2957.060 2.400 2958.260 ;
END
END io_oeb[25]
PIN io_oeb[26]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT -4.800 2657.860 2.400 2659.060 ;
END
END io_oeb[26]
PIN io_oeb[27]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT -4.800 2357.980 2.400 2359.180 ;
END
END io_oeb[27]
PIN io_oeb[28]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT -4.800 2058.780 2.400 2059.980 ;
END
END io_oeb[28]
PIN io_oeb[29]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT -4.800 1834.380 2.400 1835.580 ;
END
END io_oeb[29]
PIN io_oeb[2]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2917.600 673.620 2924.800 674.820 ;
END
END io_oeb[2]
PIN io_oeb[30]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT -4.800 1609.300 2.400 1610.500 ;
END
END io_oeb[30]
PIN io_oeb[31]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT -4.800 1384.900 2.400 1386.100 ;
END
END io_oeb[31]
PIN io_oeb[32]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT -4.800 1159.820 2.400 1161.020 ;
END
END io_oeb[32]
PIN io_oeb[33]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT -4.800 935.420 2.400 936.620 ;
END
END io_oeb[33]
PIN io_oeb[34]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT -4.800 711.020 2.400 712.220 ;
END
END io_oeb[34]
PIN io_oeb[35]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT -4.800 485.940 2.400 487.140 ;
END
END io_oeb[35]
PIN io_oeb[36]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT -4.800 261.540 2.400 262.740 ;
END
END io_oeb[36]
PIN io_oeb[37]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT -4.800 37.140 2.400 38.340 ;
END
END io_oeb[37]
PIN io_oeb[3]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2917.600 908.900 2924.800 910.100 ;
END
END io_oeb[3]
PIN io_oeb[4]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2917.600 1143.500 2924.800 1144.700 ;
END
END io_oeb[4]
PIN io_oeb[5]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2917.600 1378.100 2924.800 1379.300 ;
END
END io_oeb[5]
PIN io_oeb[6]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2917.600 1612.700 2924.800 1613.900 ;
END
END io_oeb[6]
PIN io_oeb[7]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2917.600 1847.300 2924.800 1848.500 ;
END
END io_oeb[7]
PIN io_oeb[8]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2917.600 2081.900 2924.800 2083.100 ;
END
END io_oeb[8]
PIN io_oeb[9]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2917.600 2316.500 2924.800 2317.700 ;
END
END io_oeb[9]
PIN io_out[0]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2917.600 145.940 2924.800 147.140 ;
END
END io_out[0]
PIN io_out[10]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2917.600 2492.620 2924.800 2493.820 ;
END
END io_out[10]
PIN io_out[11]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2917.600 2727.220 2924.800 2728.420 ;
END
END io_out[11]
PIN io_out[12]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2917.600 2961.820 2924.800 2963.020 ;
END
END io_out[12]
PIN io_out[13]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2917.600 3196.420 2924.800 3197.620 ;
END
END io_out[13]
PIN io_out[14]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2917.600 3431.020 2924.800 3432.220 ;
END
END io_out[14]
PIN io_out[15]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2717.170 3517.600 2717.730 3524.800 ;
END
END io_out[15]
PIN io_out[16]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2392.410 3517.600 2392.970 3524.800 ;
END
END io_out[16]
PIN io_out[17]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2068.110 3517.600 2068.670 3524.800 ;
END
END io_out[17]
PIN io_out[18]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1743.810 3517.600 1744.370 3524.800 ;
END
END io_out[18]
PIN io_out[19]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1419.050 3517.600 1419.610 3524.800 ;
END
END io_out[19]
PIN io_out[1]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2917.600 380.540 2924.800 381.740 ;
END
END io_out[1]
PIN io_out[20]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1094.750 3517.600 1095.310 3524.800 ;
END
END io_out[20]
PIN io_out[21]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 770.450 3517.600 771.010 3524.800 ;
END
END io_out[21]
PIN io_out[22]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 445.690 3517.600 446.250 3524.800 ;
END
END io_out[22]
PIN io_out[23]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 121.390 3517.600 121.950 3524.800 ;
END
END io_out[23]
PIN io_out[24]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT -4.800 3331.740 2.400 3332.940 ;
END
END io_out[24]
PIN io_out[25]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT -4.800 3032.540 2.400 3033.740 ;
END
END io_out[25]
PIN io_out[26]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT -4.800 2732.660 2.400 2733.860 ;
END
END io_out[26]
PIN io_out[27]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT -4.800 2433.460 2.400 2434.660 ;
END
END io_out[27]
PIN io_out[28]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT -4.800 2133.580 2.400 2134.780 ;
END
END io_out[28]
PIN io_out[29]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT -4.800 1909.180 2.400 1910.380 ;
END
END io_out[29]
PIN io_out[2]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2917.600 615.140 2924.800 616.340 ;
END
END io_out[2]
PIN io_out[30]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT -4.800 1684.100 2.400 1685.300 ;
END
END io_out[30]
PIN io_out[31]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT -4.800 1459.700 2.400 1460.900 ;
END
END io_out[31]
PIN io_out[32]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT -4.800 1235.300 2.400 1236.500 ;
END
END io_out[32]
PIN io_out[33]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT -4.800 1010.220 2.400 1011.420 ;
END
END io_out[33]
PIN io_out[34]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT -4.800 785.820 2.400 787.020 ;
END
END io_out[34]
PIN io_out[35]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT -4.800 560.740 2.400 561.940 ;
END
END io_out[35]
PIN io_out[36]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT -4.800 336.340 2.400 337.540 ;
END
END io_out[36]
PIN io_out[37]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT -4.800 111.940 2.400 113.140 ;
END
END io_out[37]
PIN io_out[3]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2917.600 849.740 2924.800 850.940 ;
END
END io_out[3]
PIN io_out[4]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2917.600 1084.340 2924.800 1085.540 ;
END
END io_out[4]
PIN io_out[5]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2917.600 1318.940 2924.800 1320.140 ;
END
END io_out[5]
PIN io_out[6]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2917.600 1553.540 2924.800 1554.740 ;
END
END io_out[6]
PIN io_out[7]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2917.600 1788.820 2924.800 1790.020 ;
END
END io_out[7]
PIN io_out[8]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2917.600 2023.420 2924.800 2024.620 ;
END
END io_out[8]
PIN io_out[9]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 2917.600 2258.020 2924.800 2259.220 ;
END
END io_out[9]
PIN la_data_in[0]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 632.910 -4.800 633.470 2.400 ;
END
END la_data_in[0]
PIN la_data_in[100]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2417.250 -4.800 2417.810 2.400 ;
END
END la_data_in[100]
PIN la_data_in[101]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2434.730 -4.800 2435.290 2.400 ;
END
END la_data_in[101]
PIN la_data_in[102]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2452.670 -4.800 2453.230 2.400 ;
END
END la_data_in[102]
PIN la_data_in[103]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2470.610 -4.800 2471.170 2.400 ;
END
END la_data_in[103]
PIN la_data_in[104]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2488.550 -4.800 2489.110 2.400 ;
END
END la_data_in[104]
PIN la_data_in[105]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2506.030 -4.800 2506.590 2.400 ;
END
END la_data_in[105]
PIN la_data_in[106]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2523.970 -4.800 2524.530 2.400 ;
END
END la_data_in[106]
PIN la_data_in[107]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2541.910 -4.800 2542.470 2.400 ;
END
END la_data_in[107]
PIN la_data_in[108]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2559.850 -4.800 2560.410 2.400 ;
END
END la_data_in[108]
PIN la_data_in[109]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2577.790 -4.800 2578.350 2.400 ;
END
END la_data_in[109]
PIN la_data_in[10]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 811.390 -4.800 811.950 2.400 ;
END
END la_data_in[10]
PIN la_data_in[110]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2595.270 -4.800 2595.830 2.400 ;
END
END la_data_in[110]
PIN la_data_in[111]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2613.210 -4.800 2613.770 2.400 ;
END
END la_data_in[111]
PIN la_data_in[112]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2631.150 -4.800 2631.710 2.400 ;
END
END la_data_in[112]
PIN la_data_in[113]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2649.090 -4.800 2649.650 2.400 ;
END
END la_data_in[113]
PIN la_data_in[114]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2667.030 -4.800 2667.590 2.400 ;
END
END la_data_in[114]
PIN la_data_in[115]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2684.510 -4.800 2685.070 2.400 ;
END
END la_data_in[115]
PIN la_data_in[116]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2702.450 -4.800 2703.010 2.400 ;
END
END la_data_in[116]
PIN la_data_in[117]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2720.390 -4.800 2720.950 2.400 ;
END
END la_data_in[117]
PIN la_data_in[118]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2738.330 -4.800 2738.890 2.400 ;
END
END la_data_in[118]
PIN la_data_in[119]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2755.810 -4.800 2756.370 2.400 ;
END
END la_data_in[119]
PIN la_data_in[11]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 829.330 -4.800 829.890 2.400 ;
END
END la_data_in[11]
PIN la_data_in[120]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2773.750 -4.800 2774.310 2.400 ;
END
END la_data_in[120]
PIN la_data_in[121]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2791.690 -4.800 2792.250 2.400 ;
END
END la_data_in[121]
PIN la_data_in[122]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2809.630 -4.800 2810.190 2.400 ;
END
END la_data_in[122]
PIN la_data_in[123]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2827.570 -4.800 2828.130 2.400 ;
END
END la_data_in[123]
PIN la_data_in[124]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2845.050 -4.800 2845.610 2.400 ;
END
END la_data_in[124]
PIN la_data_in[125]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2862.990 -4.800 2863.550 2.400 ;
END
END la_data_in[125]
PIN la_data_in[126]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2880.930 -4.800 2881.490 2.400 ;
END
END la_data_in[126]
PIN la_data_in[127]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2898.870 -4.800 2899.430 2.400 ;
END
END la_data_in[127]
PIN la_data_in[12]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 846.810 -4.800 847.370 2.400 ;
END
END la_data_in[12]
PIN la_data_in[13]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 864.750 -4.800 865.310 2.400 ;
END
END la_data_in[13]
PIN la_data_in[14]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 882.690 -4.800 883.250 2.400 ;
END
END la_data_in[14]
PIN la_data_in[15]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 900.630 -4.800 901.190 2.400 ;
END
END la_data_in[15]
PIN la_data_in[16]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 918.570 -4.800 919.130 2.400 ;
END
END la_data_in[16]
PIN la_data_in[17]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 936.050 -4.800 936.610 2.400 ;
END
END la_data_in[17]
PIN la_data_in[18]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 953.990 -4.800 954.550 2.400 ;
END
END la_data_in[18]
PIN la_data_in[19]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 971.930 -4.800 972.490 2.400 ;
END
END la_data_in[19]
PIN la_data_in[1]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 650.850 -4.800 651.410 2.400 ;
END
END la_data_in[1]
PIN la_data_in[20]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 989.870 -4.800 990.430 2.400 ;
END
END la_data_in[20]
PIN la_data_in[21]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1007.350 -4.800 1007.910 2.400 ;
END
END la_data_in[21]
PIN la_data_in[22]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1025.290 -4.800 1025.850 2.400 ;
END
END la_data_in[22]
PIN la_data_in[23]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1043.230 -4.800 1043.790 2.400 ;
END
END la_data_in[23]
PIN la_data_in[24]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1061.170 -4.800 1061.730 2.400 ;
END
END la_data_in[24]
PIN la_data_in[25]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1079.110 -4.800 1079.670 2.400 ;
END
END la_data_in[25]
PIN la_data_in[26]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1096.590 -4.800 1097.150 2.400 ;
END
END la_data_in[26]
PIN la_data_in[27]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1114.530 -4.800 1115.090 2.400 ;
END
END la_data_in[27]
PIN la_data_in[28]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1132.470 -4.800 1133.030 2.400 ;
END
END la_data_in[28]
PIN la_data_in[29]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1150.410 -4.800 1150.970 2.400 ;
END
END la_data_in[29]
PIN la_data_in[2]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 668.790 -4.800 669.350 2.400 ;
END
END la_data_in[2]
PIN la_data_in[30]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1168.350 -4.800 1168.910 2.400 ;
END
END la_data_in[30]
PIN la_data_in[31]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1185.830 -4.800 1186.390 2.400 ;
END
END la_data_in[31]
PIN la_data_in[32]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1203.770 -4.800 1204.330 2.400 ;
END
END la_data_in[32]
PIN la_data_in[33]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1221.710 -4.800 1222.270 2.400 ;
END
END la_data_in[33]
PIN la_data_in[34]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1239.650 -4.800 1240.210 2.400 ;
END
END la_data_in[34]
PIN la_data_in[35]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1257.130 -4.800 1257.690 2.400 ;
END
END la_data_in[35]
PIN la_data_in[36]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1275.070 -4.800 1275.630 2.400 ;
END
END la_data_in[36]
PIN la_data_in[37]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1293.010 -4.800 1293.570 2.400 ;
END
END la_data_in[37]
PIN la_data_in[38]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1310.950 -4.800 1311.510 2.400 ;
END
END la_data_in[38]
PIN la_data_in[39]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1328.890 -4.800 1329.450 2.400 ;
END
END la_data_in[39]
PIN la_data_in[3]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 686.270 -4.800 686.830 2.400 ;
END
END la_data_in[3]
PIN la_data_in[40]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1346.370 -4.800 1346.930 2.400 ;
END
END la_data_in[40]
PIN la_data_in[41]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1364.310 -4.800 1364.870 2.400 ;
END
END la_data_in[41]
PIN la_data_in[42]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1382.250 -4.800 1382.810 2.400 ;
END
END la_data_in[42]
PIN la_data_in[43]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1400.190 -4.800 1400.750 2.400 ;
END
END la_data_in[43]
PIN la_data_in[44]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1418.130 -4.800 1418.690 2.400 ;
END
END la_data_in[44]
PIN la_data_in[45]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1435.610 -4.800 1436.170 2.400 ;
END
END la_data_in[45]
PIN la_data_in[46]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1453.550 -4.800 1454.110 2.400 ;
END
END la_data_in[46]
PIN la_data_in[47]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1471.490 -4.800 1472.050 2.400 ;
END
END la_data_in[47]
PIN la_data_in[48]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1489.430 -4.800 1489.990 2.400 ;
END
END la_data_in[48]
PIN la_data_in[49]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1506.910 -4.800 1507.470 2.400 ;
END
END la_data_in[49]
PIN la_data_in[4]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 704.210 -4.800 704.770 2.400 ;
END
END la_data_in[4]
PIN la_data_in[50]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1524.850 -4.800 1525.410 2.400 ;
END
END la_data_in[50]
PIN la_data_in[51]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1542.790 -4.800 1543.350 2.400 ;
END
END la_data_in[51]
PIN la_data_in[52]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1560.730 -4.800 1561.290 2.400 ;
END
END la_data_in[52]
PIN la_data_in[53]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1578.670 -4.800 1579.230 2.400 ;
END
END la_data_in[53]
PIN la_data_in[54]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1596.150 -4.800 1596.710 2.400 ;
END
END la_data_in[54]
PIN la_data_in[55]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1614.090 -4.800 1614.650 2.400 ;
END
END la_data_in[55]
PIN la_data_in[56]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1632.030 -4.800 1632.590 2.400 ;
END
END la_data_in[56]
PIN la_data_in[57]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1649.970 -4.800 1650.530 2.400 ;
END
END la_data_in[57]
PIN la_data_in[58]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1667.910 -4.800 1668.470 2.400 ;
END
END la_data_in[58]
PIN la_data_in[59]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1685.390 -4.800 1685.950 2.400 ;
END
END la_data_in[59]
PIN la_data_in[5]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 722.150 -4.800 722.710 2.400 ;
END
END la_data_in[5]
PIN la_data_in[60]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1703.330 -4.800 1703.890 2.400 ;
END
END la_data_in[60]
PIN la_data_in[61]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1721.270 -4.800 1721.830 2.400 ;
END
END la_data_in[61]
PIN la_data_in[62]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1739.210 -4.800 1739.770 2.400 ;
END
END la_data_in[62]
PIN la_data_in[63]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1756.690 -4.800 1757.250 2.400 ;
END
END la_data_in[63]
PIN la_data_in[64]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1774.630 -4.800 1775.190 2.400 ;
END
END la_data_in[64]
PIN la_data_in[65]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1792.570 -4.800 1793.130 2.400 ;
END
END la_data_in[65]
PIN la_data_in[66]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1810.510 -4.800 1811.070 2.400 ;
END
END la_data_in[66]
PIN la_data_in[67]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1828.450 -4.800 1829.010 2.400 ;
END
END la_data_in[67]
PIN la_data_in[68]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1845.930 -4.800 1846.490 2.400 ;
END
END la_data_in[68]
PIN la_data_in[69]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1863.870 -4.800 1864.430 2.400 ;
END
END la_data_in[69]
PIN la_data_in[6]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 740.090 -4.800 740.650 2.400 ;
END
END la_data_in[6]
PIN la_data_in[70]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1881.810 -4.800 1882.370 2.400 ;
END
END la_data_in[70]
PIN la_data_in[71]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1899.750 -4.800 1900.310 2.400 ;
END
END la_data_in[71]
PIN la_data_in[72]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1917.690 -4.800 1918.250 2.400 ;
END
END la_data_in[72]
PIN la_data_in[73]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1935.170 -4.800 1935.730 2.400 ;
END
END la_data_in[73]
PIN la_data_in[74]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1953.110 -4.800 1953.670 2.400 ;
END
END la_data_in[74]
PIN la_data_in[75]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1971.050 -4.800 1971.610 2.400 ;
END
END la_data_in[75]
PIN la_data_in[76]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1988.990 -4.800 1989.550 2.400 ;
END
END la_data_in[76]
PIN la_data_in[77]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2006.470 -4.800 2007.030 2.400 ;
END
END la_data_in[77]
PIN la_data_in[78]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2024.410 -4.800 2024.970 2.400 ;
END
END la_data_in[78]
PIN la_data_in[79]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2042.350 -4.800 2042.910 2.400 ;
END
END la_data_in[79]
PIN la_data_in[7]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 757.570 -4.800 758.130 2.400 ;
END
END la_data_in[7]
PIN la_data_in[80]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2060.290 -4.800 2060.850 2.400 ;
END
END la_data_in[80]
PIN la_data_in[81]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2078.230 -4.800 2078.790 2.400 ;
END
END la_data_in[81]
PIN la_data_in[82]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2095.710 -4.800 2096.270 2.400 ;
END
END la_data_in[82]
PIN la_data_in[83]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2113.650 -4.800 2114.210 2.400 ;
END
END la_data_in[83]
PIN la_data_in[84]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2131.590 -4.800 2132.150 2.400 ;
END
END la_data_in[84]
PIN la_data_in[85]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2149.530 -4.800 2150.090 2.400 ;
END
END la_data_in[85]
PIN la_data_in[86]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2167.470 -4.800 2168.030 2.400 ;
END
END la_data_in[86]
PIN la_data_in[87]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2184.950 -4.800 2185.510 2.400 ;
END
END la_data_in[87]
PIN la_data_in[88]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2202.890 -4.800 2203.450 2.400 ;
END
END la_data_in[88]
PIN la_data_in[89]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2220.830 -4.800 2221.390 2.400 ;
END
END la_data_in[89]
PIN la_data_in[8]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 775.510 -4.800 776.070 2.400 ;
END
END la_data_in[8]
PIN la_data_in[90]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2238.770 -4.800 2239.330 2.400 ;
END
END la_data_in[90]
PIN la_data_in[91]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2256.250 -4.800 2256.810 2.400 ;
END
END la_data_in[91]
PIN la_data_in[92]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2274.190 -4.800 2274.750 2.400 ;
END
END la_data_in[92]
PIN la_data_in[93]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2292.130 -4.800 2292.690 2.400 ;
END
END la_data_in[93]
PIN la_data_in[94]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2310.070 -4.800 2310.630 2.400 ;
END
END la_data_in[94]
PIN la_data_in[95]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2328.010 -4.800 2328.570 2.400 ;
END
END la_data_in[95]
PIN la_data_in[96]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2345.490 -4.800 2346.050 2.400 ;
END
END la_data_in[96]
PIN la_data_in[97]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2363.430 -4.800 2363.990 2.400 ;
END
END la_data_in[97]
PIN la_data_in[98]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2381.370 -4.800 2381.930 2.400 ;
END
END la_data_in[98]
PIN la_data_in[99]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2399.310 -4.800 2399.870 2.400 ;
END
END la_data_in[99]
PIN la_data_in[9]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 793.450 -4.800 794.010 2.400 ;
END
END la_data_in[9]
PIN la_data_out[0]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 638.890 -4.800 639.450 2.400 ;
END
END la_data_out[0]
PIN la_data_out[100]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2422.770 -4.800 2423.330 2.400 ;
END
END la_data_out[100]
PIN la_data_out[101]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2440.710 -4.800 2441.270 2.400 ;
END
END la_data_out[101]
PIN la_data_out[102]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2458.650 -4.800 2459.210 2.400 ;
END
END la_data_out[102]
PIN la_data_out[103]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2476.590 -4.800 2477.150 2.400 ;
END
END la_data_out[103]
PIN la_data_out[104]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2494.530 -4.800 2495.090 2.400 ;
END
END la_data_out[104]
PIN la_data_out[105]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2512.010 -4.800 2512.570 2.400 ;
END
END la_data_out[105]
PIN la_data_out[106]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2529.950 -4.800 2530.510 2.400 ;
END
END la_data_out[106]
PIN la_data_out[107]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2547.890 -4.800 2548.450 2.400 ;
END
END la_data_out[107]
PIN la_data_out[108]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2565.830 -4.800 2566.390 2.400 ;
END
END la_data_out[108]
PIN la_data_out[109]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2583.770 -4.800 2584.330 2.400 ;
END
END la_data_out[109]
PIN la_data_out[10]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 817.370 -4.800 817.930 2.400 ;
END
END la_data_out[10]
PIN la_data_out[110]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2601.250 -4.800 2601.810 2.400 ;
END
END la_data_out[110]
PIN la_data_out[111]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2619.190 -4.800 2619.750 2.400 ;
END
END la_data_out[111]
PIN la_data_out[112]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2637.130 -4.800 2637.690 2.400 ;
END
END la_data_out[112]
PIN la_data_out[113]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2655.070 -4.800 2655.630 2.400 ;
END
END la_data_out[113]
PIN la_data_out[114]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2672.550 -4.800 2673.110 2.400 ;
END
END la_data_out[114]
PIN la_data_out[115]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2690.490 -4.800 2691.050 2.400 ;
END
END la_data_out[115]
PIN la_data_out[116]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2708.430 -4.800 2708.990 2.400 ;
END
END la_data_out[116]
PIN la_data_out[117]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2726.370 -4.800 2726.930 2.400 ;
END
END la_data_out[117]
PIN la_data_out[118]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2744.310 -4.800 2744.870 2.400 ;
END
END la_data_out[118]
PIN la_data_out[119]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2761.790 -4.800 2762.350 2.400 ;
END
END la_data_out[119]
PIN la_data_out[11]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 835.310 -4.800 835.870 2.400 ;
END
END la_data_out[11]
PIN la_data_out[120]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2779.730 -4.800 2780.290 2.400 ;
END
END la_data_out[120]
PIN la_data_out[121]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2797.670 -4.800 2798.230 2.400 ;
END
END la_data_out[121]
PIN la_data_out[122]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2815.610 -4.800 2816.170 2.400 ;
END
END la_data_out[122]
PIN la_data_out[123]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2833.550 -4.800 2834.110 2.400 ;
END
END la_data_out[123]
PIN la_data_out[124]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2851.030 -4.800 2851.590 2.400 ;
END
END la_data_out[124]
PIN la_data_out[125]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2868.970 -4.800 2869.530 2.400 ;
END
END la_data_out[125]
PIN la_data_out[126]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2886.910 -4.800 2887.470 2.400 ;
END
END la_data_out[126]
PIN la_data_out[127]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2904.850 -4.800 2905.410 2.400 ;
END
END la_data_out[127]
PIN la_data_out[12]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 852.790 -4.800 853.350 2.400 ;
END
END la_data_out[12]
PIN la_data_out[13]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 870.730 -4.800 871.290 2.400 ;
END
END la_data_out[13]
PIN la_data_out[14]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 888.670 -4.800 889.230 2.400 ;
END
END la_data_out[14]
PIN la_data_out[15]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 906.610 -4.800 907.170 2.400 ;
END
END la_data_out[15]
PIN la_data_out[16]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 924.090 -4.800 924.650 2.400 ;
END
END la_data_out[16]
PIN la_data_out[17]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 942.030 -4.800 942.590 2.400 ;
END
END la_data_out[17]
PIN la_data_out[18]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 959.970 -4.800 960.530 2.400 ;
END
END la_data_out[18]
PIN la_data_out[19]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 977.910 -4.800 978.470 2.400 ;
END
END la_data_out[19]
PIN la_data_out[1]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 656.830 -4.800 657.390 2.400 ;
END
END la_data_out[1]
PIN la_data_out[20]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 995.850 -4.800 996.410 2.400 ;
END
END la_data_out[20]
PIN la_data_out[21]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1013.330 -4.800 1013.890 2.400 ;
END
END la_data_out[21]
PIN la_data_out[22]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1031.270 -4.800 1031.830 2.400 ;
END
END la_data_out[22]
PIN la_data_out[23]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1049.210 -4.800 1049.770 2.400 ;
END
END la_data_out[23]
PIN la_data_out[24]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1067.150 -4.800 1067.710 2.400 ;
END
END la_data_out[24]
PIN la_data_out[25]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1085.090 -4.800 1085.650 2.400 ;
END
END la_data_out[25]
PIN la_data_out[26]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1102.570 -4.800 1103.130 2.400 ;
END
END la_data_out[26]
PIN la_data_out[27]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1120.510 -4.800 1121.070 2.400 ;
END
END la_data_out[27]
PIN la_data_out[28]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1138.450 -4.800 1139.010 2.400 ;
END
END la_data_out[28]
PIN la_data_out[29]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1156.390 -4.800 1156.950 2.400 ;
END
END la_data_out[29]
PIN la_data_out[2]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 674.310 -4.800 674.870 2.400 ;
END
END la_data_out[2]
PIN la_data_out[30]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1173.870 -4.800 1174.430 2.400 ;
END
END la_data_out[30]
PIN la_data_out[31]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1191.810 -4.800 1192.370 2.400 ;
END
END la_data_out[31]
PIN la_data_out[32]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1209.750 -4.800 1210.310 2.400 ;
END
END la_data_out[32]
PIN la_data_out[33]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1227.690 -4.800 1228.250 2.400 ;
END
END la_data_out[33]
PIN la_data_out[34]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1245.630 -4.800 1246.190 2.400 ;
END
END la_data_out[34]
PIN la_data_out[35]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1263.110 -4.800 1263.670 2.400 ;
END
END la_data_out[35]
PIN la_data_out[36]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1281.050 -4.800 1281.610 2.400 ;
END
END la_data_out[36]
PIN la_data_out[37]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1298.990 -4.800 1299.550 2.400 ;
END
END la_data_out[37]
PIN la_data_out[38]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1316.930 -4.800 1317.490 2.400 ;
END
END la_data_out[38]
PIN la_data_out[39]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1334.870 -4.800 1335.430 2.400 ;
END
END la_data_out[39]
PIN la_data_out[3]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 692.250 -4.800 692.810 2.400 ;
END
END la_data_out[3]
PIN la_data_out[40]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1352.350 -4.800 1352.910 2.400 ;
END
END la_data_out[40]
PIN la_data_out[41]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1370.290 -4.800 1370.850 2.400 ;
END
END la_data_out[41]
PIN la_data_out[42]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1388.230 -4.800 1388.790 2.400 ;
END
END la_data_out[42]
PIN la_data_out[43]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1406.170 -4.800 1406.730 2.400 ;
END
END la_data_out[43]
PIN la_data_out[44]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1423.650 -4.800 1424.210 2.400 ;
END
END la_data_out[44]
PIN la_data_out[45]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1441.590 -4.800 1442.150 2.400 ;
END
END la_data_out[45]
PIN la_data_out[46]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1459.530 -4.800 1460.090 2.400 ;
END
END la_data_out[46]
PIN la_data_out[47]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1477.470 -4.800 1478.030 2.400 ;
END
END la_data_out[47]
PIN la_data_out[48]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1495.410 -4.800 1495.970 2.400 ;
END
END la_data_out[48]
PIN la_data_out[49]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1512.890 -4.800 1513.450 2.400 ;
END
END la_data_out[49]
PIN la_data_out[4]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 710.190 -4.800 710.750 2.400 ;
END
END la_data_out[4]
PIN la_data_out[50]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1530.830 -4.800 1531.390 2.400 ;
END
END la_data_out[50]
PIN la_data_out[51]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1548.770 -4.800 1549.330 2.400 ;
END
END la_data_out[51]
PIN la_data_out[52]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1566.710 -4.800 1567.270 2.400 ;
END
END la_data_out[52]
PIN la_data_out[53]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1584.650 -4.800 1585.210 2.400 ;
END
END la_data_out[53]
PIN la_data_out[54]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1602.130 -4.800 1602.690 2.400 ;
END
END la_data_out[54]
PIN la_data_out[55]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1620.070 -4.800 1620.630 2.400 ;
END
END la_data_out[55]
PIN la_data_out[56]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1638.010 -4.800 1638.570 2.400 ;
END
END la_data_out[56]
PIN la_data_out[57]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1655.950 -4.800 1656.510 2.400 ;
END
END la_data_out[57]
PIN la_data_out[58]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1673.430 -4.800 1673.990 2.400 ;
END
END la_data_out[58]
PIN la_data_out[59]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1691.370 -4.800 1691.930 2.400 ;
END
END la_data_out[59]
PIN la_data_out[5]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 728.130 -4.800 728.690 2.400 ;
END
END la_data_out[5]
PIN la_data_out[60]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1709.310 -4.800 1709.870 2.400 ;
END
END la_data_out[60]
PIN la_data_out[61]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1727.250 -4.800 1727.810 2.400 ;
END
END la_data_out[61]
PIN la_data_out[62]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1745.190 -4.800 1745.750 2.400 ;
END
END la_data_out[62]
PIN la_data_out[63]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1762.670 -4.800 1763.230 2.400 ;
END
END la_data_out[63]
PIN la_data_out[64]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1780.610 -4.800 1781.170 2.400 ;
END
END la_data_out[64]
PIN la_data_out[65]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1798.550 -4.800 1799.110 2.400 ;
END
END la_data_out[65]
PIN la_data_out[66]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1816.490 -4.800 1817.050 2.400 ;
END
END la_data_out[66]
PIN la_data_out[67]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1834.430 -4.800 1834.990 2.400 ;
END
END la_data_out[67]
PIN la_data_out[68]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1851.910 -4.800 1852.470 2.400 ;
END
END la_data_out[68]
PIN la_data_out[69]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1869.850 -4.800 1870.410 2.400 ;
END
END la_data_out[69]
PIN la_data_out[6]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 746.070 -4.800 746.630 2.400 ;
END
END la_data_out[6]
PIN la_data_out[70]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1887.790 -4.800 1888.350 2.400 ;
END
END la_data_out[70]
PIN la_data_out[71]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1905.730 -4.800 1906.290 2.400 ;
END
END la_data_out[71]
PIN la_data_out[72]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1923.210 -4.800 1923.770 2.400 ;
END
END la_data_out[72]
PIN la_data_out[73]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1941.150 -4.800 1941.710 2.400 ;
END
END la_data_out[73]
PIN la_data_out[74]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1959.090 -4.800 1959.650 2.400 ;
END
END la_data_out[74]
PIN la_data_out[75]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1977.030 -4.800 1977.590 2.400 ;
END
END la_data_out[75]
PIN la_data_out[76]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1994.970 -4.800 1995.530 2.400 ;
END
END la_data_out[76]
PIN la_data_out[77]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2012.450 -4.800 2013.010 2.400 ;
END
END la_data_out[77]
PIN la_data_out[78]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2030.390 -4.800 2030.950 2.400 ;
END
END la_data_out[78]
PIN la_data_out[79]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2048.330 -4.800 2048.890 2.400 ;
END
END la_data_out[79]
PIN la_data_out[7]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 763.550 -4.800 764.110 2.400 ;
END
END la_data_out[7]
PIN la_data_out[80]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2066.270 -4.800 2066.830 2.400 ;
END
END la_data_out[80]
PIN la_data_out[81]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2084.210 -4.800 2084.770 2.400 ;
END
END la_data_out[81]
PIN la_data_out[82]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2101.690 -4.800 2102.250 2.400 ;
END
END la_data_out[82]
PIN la_data_out[83]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2119.630 -4.800 2120.190 2.400 ;
END
END la_data_out[83]
PIN la_data_out[84]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2137.570 -4.800 2138.130 2.400 ;
END
END la_data_out[84]
PIN la_data_out[85]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2155.510 -4.800 2156.070 2.400 ;
END
END la_data_out[85]
PIN la_data_out[86]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2172.990 -4.800 2173.550 2.400 ;
END
END la_data_out[86]
PIN la_data_out[87]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2190.930 -4.800 2191.490 2.400 ;
END
END la_data_out[87]
PIN la_data_out[88]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2208.870 -4.800 2209.430 2.400 ;
END
END la_data_out[88]
PIN la_data_out[89]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2226.810 -4.800 2227.370 2.400 ;
END
END la_data_out[89]
PIN la_data_out[8]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 781.490 -4.800 782.050 2.400 ;
END
END la_data_out[8]
PIN la_data_out[90]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2244.750 -4.800 2245.310 2.400 ;
END
END la_data_out[90]
PIN la_data_out[91]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2262.230 -4.800 2262.790 2.400 ;
END
END la_data_out[91]
PIN la_data_out[92]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2280.170 -4.800 2280.730 2.400 ;
END
END la_data_out[92]
PIN la_data_out[93]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2298.110 -4.800 2298.670 2.400 ;
END
END la_data_out[93]
PIN la_data_out[94]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2316.050 -4.800 2316.610 2.400 ;
END
END la_data_out[94]
PIN la_data_out[95]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2333.990 -4.800 2334.550 2.400 ;
END
END la_data_out[95]
PIN la_data_out[96]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2351.470 -4.800 2352.030 2.400 ;
END
END la_data_out[96]
PIN la_data_out[97]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2369.410 -4.800 2369.970 2.400 ;
END
END la_data_out[97]
PIN la_data_out[98]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2387.350 -4.800 2387.910 2.400 ;
END
END la_data_out[98]
PIN la_data_out[99]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2405.290 -4.800 2405.850 2.400 ;
END
END la_data_out[99]
PIN la_data_out[9]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 799.430 -4.800 799.990 2.400 ;
END
END la_data_out[9]
PIN la_oen[0]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 644.870 -4.800 645.430 2.400 ;
END
END la_oen[0]
PIN la_oen[100]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2428.750 -4.800 2429.310 2.400 ;
END
END la_oen[100]
PIN la_oen[101]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2446.690 -4.800 2447.250 2.400 ;
END
END la_oen[101]
PIN la_oen[102]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2464.630 -4.800 2465.190 2.400 ;
END
END la_oen[102]
PIN la_oen[103]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2482.570 -4.800 2483.130 2.400 ;
END
END la_oen[103]
PIN la_oen[104]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2500.510 -4.800 2501.070 2.400 ;
END
END la_oen[104]
PIN la_oen[105]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2517.990 -4.800 2518.550 2.400 ;
END
END la_oen[105]
PIN la_oen[106]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2535.930 -4.800 2536.490 2.400 ;
END
END la_oen[106]
PIN la_oen[107]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2553.870 -4.800 2554.430 2.400 ;
END
END la_oen[107]
PIN la_oen[108]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2571.810 -4.800 2572.370 2.400 ;
END
END la_oen[108]
PIN la_oen[109]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2589.290 -4.800 2589.850 2.400 ;
END
END la_oen[109]
PIN la_oen[10]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 823.350 -4.800 823.910 2.400 ;
END
END la_oen[10]
PIN la_oen[110]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2607.230 -4.800 2607.790 2.400 ;
END
END la_oen[110]
PIN la_oen[111]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2625.170 -4.800 2625.730 2.400 ;
END
END la_oen[111]
PIN la_oen[112]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2643.110 -4.800 2643.670 2.400 ;
END
END la_oen[112]
PIN la_oen[113]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2661.050 -4.800 2661.610 2.400 ;
END
END la_oen[113]
PIN la_oen[114]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2678.530 -4.800 2679.090 2.400 ;
END
END la_oen[114]
PIN la_oen[115]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2696.470 -4.800 2697.030 2.400 ;
END
END la_oen[115]
PIN la_oen[116]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2714.410 -4.800 2714.970 2.400 ;
END
END la_oen[116]
PIN la_oen[117]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2732.350 -4.800 2732.910 2.400 ;
END
END la_oen[117]
PIN la_oen[118]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2750.290 -4.800 2750.850 2.400 ;
END
END la_oen[118]
PIN la_oen[119]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2767.770 -4.800 2768.330 2.400 ;
END
END la_oen[119]
PIN la_oen[11]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 840.830 -4.800 841.390 2.400 ;
END
END la_oen[11]
PIN la_oen[120]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2785.710 -4.800 2786.270 2.400 ;
END
END la_oen[120]
PIN la_oen[121]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2803.650 -4.800 2804.210 2.400 ;
END
END la_oen[121]
PIN la_oen[122]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2821.590 -4.800 2822.150 2.400 ;
END
END la_oen[122]
PIN la_oen[123]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2839.070 -4.800 2839.630 2.400 ;
END
END la_oen[123]
PIN la_oen[124]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2857.010 -4.800 2857.570 2.400 ;
END
END la_oen[124]
PIN la_oen[125]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2874.950 -4.800 2875.510 2.400 ;
END
END la_oen[125]
PIN la_oen[126]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2892.890 -4.800 2893.450 2.400 ;
END
END la_oen[126]
PIN la_oen[127]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2910.830 -4.800 2911.390 2.400 ;
END
END la_oen[127]
PIN la_oen[12]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 858.770 -4.800 859.330 2.400 ;
END
END la_oen[12]
PIN la_oen[13]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 876.710 -4.800 877.270 2.400 ;
END
END la_oen[13]
PIN la_oen[14]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 894.650 -4.800 895.210 2.400 ;
END
END la_oen[14]
PIN la_oen[15]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 912.590 -4.800 913.150 2.400 ;
END
END la_oen[15]
PIN la_oen[16]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 930.070 -4.800 930.630 2.400 ;
END
END la_oen[16]
PIN la_oen[17]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 948.010 -4.800 948.570 2.400 ;
END
END la_oen[17]
PIN la_oen[18]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 965.950 -4.800 966.510 2.400 ;
END
END la_oen[18]
PIN la_oen[19]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 983.890 -4.800 984.450 2.400 ;
END
END la_oen[19]
PIN la_oen[1]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 662.810 -4.800 663.370 2.400 ;
END
END la_oen[1]
PIN la_oen[20]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1001.830 -4.800 1002.390 2.400 ;
END
END la_oen[20]
PIN la_oen[21]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1019.310 -4.800 1019.870 2.400 ;
END
END la_oen[21]
PIN la_oen[22]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1037.250 -4.800 1037.810 2.400 ;
END
END la_oen[22]
PIN la_oen[23]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1055.190 -4.800 1055.750 2.400 ;
END
END la_oen[23]
PIN la_oen[24]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1073.130 -4.800 1073.690 2.400 ;
END
END la_oen[24]
PIN la_oen[25]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1090.610 -4.800 1091.170 2.400 ;
END
END la_oen[25]
PIN la_oen[26]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1108.550 -4.800 1109.110 2.400 ;
END
END la_oen[26]
PIN la_oen[27]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1126.490 -4.800 1127.050 2.400 ;
END
END la_oen[27]
PIN la_oen[28]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1144.430 -4.800 1144.990 2.400 ;
END
END la_oen[28]
PIN la_oen[29]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1162.370 -4.800 1162.930 2.400 ;
END
END la_oen[29]
PIN la_oen[2]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 680.290 -4.800 680.850 2.400 ;
END
END la_oen[2]
PIN la_oen[30]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1179.850 -4.800 1180.410 2.400 ;
END
END la_oen[30]
PIN la_oen[31]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1197.790 -4.800 1198.350 2.400 ;
END
END la_oen[31]
PIN la_oen[32]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1215.730 -4.800 1216.290 2.400 ;
END
END la_oen[32]
PIN la_oen[33]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1233.670 -4.800 1234.230 2.400 ;
END
END la_oen[33]
PIN la_oen[34]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1251.610 -4.800 1252.170 2.400 ;
END
END la_oen[34]
PIN la_oen[35]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1269.090 -4.800 1269.650 2.400 ;
END
END la_oen[35]
PIN la_oen[36]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1287.030 -4.800 1287.590 2.400 ;
END
END la_oen[36]
PIN la_oen[37]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1304.970 -4.800 1305.530 2.400 ;
END
END la_oen[37]
PIN la_oen[38]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1322.910 -4.800 1323.470 2.400 ;
END
END la_oen[38]
PIN la_oen[39]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1340.390 -4.800 1340.950 2.400 ;
END
END la_oen[39]
PIN la_oen[3]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 698.230 -4.800 698.790 2.400 ;
END
END la_oen[3]
PIN la_oen[40]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1358.330 -4.800 1358.890 2.400 ;
END
END la_oen[40]
PIN la_oen[41]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1376.270 -4.800 1376.830 2.400 ;
END
END la_oen[41]
PIN la_oen[42]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1394.210 -4.800 1394.770 2.400 ;
END
END la_oen[42]
PIN la_oen[43]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1412.150 -4.800 1412.710 2.400 ;
END
END la_oen[43]
PIN la_oen[44]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1429.630 -4.800 1430.190 2.400 ;
END
END la_oen[44]
PIN la_oen[45]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1447.570 -4.800 1448.130 2.400 ;
END
END la_oen[45]
PIN la_oen[46]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1465.510 -4.800 1466.070 2.400 ;
END
END la_oen[46]
PIN la_oen[47]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1483.450 -4.800 1484.010 2.400 ;
END
END la_oen[47]
PIN la_oen[48]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1501.390 -4.800 1501.950 2.400 ;
END
END la_oen[48]
PIN la_oen[49]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1518.870 -4.800 1519.430 2.400 ;
END
END la_oen[49]
PIN la_oen[4]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 716.170 -4.800 716.730 2.400 ;
END
END la_oen[4]
PIN la_oen[50]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1536.810 -4.800 1537.370 2.400 ;
END
END la_oen[50]
PIN la_oen[51]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1554.750 -4.800 1555.310 2.400 ;
END
END la_oen[51]
PIN la_oen[52]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1572.690 -4.800 1573.250 2.400 ;
END
END la_oen[52]
PIN la_oen[53]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1590.170 -4.800 1590.730 2.400 ;
END
END la_oen[53]
PIN la_oen[54]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1608.110 -4.800 1608.670 2.400 ;
END
END la_oen[54]
PIN la_oen[55]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1626.050 -4.800 1626.610 2.400 ;
END
END la_oen[55]
PIN la_oen[56]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1643.990 -4.800 1644.550 2.400 ;
END
END la_oen[56]
PIN la_oen[57]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1661.930 -4.800 1662.490 2.400 ;
END
END la_oen[57]
PIN la_oen[58]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1679.410 -4.800 1679.970 2.400 ;
END
END la_oen[58]
PIN la_oen[59]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1697.350 -4.800 1697.910 2.400 ;
END
END la_oen[59]
PIN la_oen[5]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 734.110 -4.800 734.670 2.400 ;
END
END la_oen[5]
PIN la_oen[60]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1715.290 -4.800 1715.850 2.400 ;
END
END la_oen[60]
PIN la_oen[61]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1733.230 -4.800 1733.790 2.400 ;
END
END la_oen[61]
PIN la_oen[62]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1751.170 -4.800 1751.730 2.400 ;
END
END la_oen[62]
PIN la_oen[63]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1768.650 -4.800 1769.210 2.400 ;
END
END la_oen[63]
PIN la_oen[64]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1786.590 -4.800 1787.150 2.400 ;
END
END la_oen[64]
PIN la_oen[65]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1804.530 -4.800 1805.090 2.400 ;
END
END la_oen[65]
PIN la_oen[66]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1822.470 -4.800 1823.030 2.400 ;
END
END la_oen[66]
PIN la_oen[67]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1839.950 -4.800 1840.510 2.400 ;
END
END la_oen[67]
PIN la_oen[68]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1857.890 -4.800 1858.450 2.400 ;
END
END la_oen[68]
PIN la_oen[69]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1875.830 -4.800 1876.390 2.400 ;
END
END la_oen[69]
PIN la_oen[6]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 752.050 -4.800 752.610 2.400 ;
END
END la_oen[6]
PIN la_oen[70]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1893.770 -4.800 1894.330 2.400 ;
END
END la_oen[70]
PIN la_oen[71]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1911.710 -4.800 1912.270 2.400 ;
END
END la_oen[71]
PIN la_oen[72]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1929.190 -4.800 1929.750 2.400 ;
END
END la_oen[72]
PIN la_oen[73]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1947.130 -4.800 1947.690 2.400 ;
END
END la_oen[73]
PIN la_oen[74]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1965.070 -4.800 1965.630 2.400 ;
END
END la_oen[74]
PIN la_oen[75]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 1983.010 -4.800 1983.570 2.400 ;
END
END la_oen[75]
PIN la_oen[76]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2000.950 -4.800 2001.510 2.400 ;
END
END la_oen[76]
PIN la_oen[77]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2018.430 -4.800 2018.990 2.400 ;
END
END la_oen[77]
PIN la_oen[78]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2036.370 -4.800 2036.930 2.400 ;
END
END la_oen[78]
PIN la_oen[79]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2054.310 -4.800 2054.870 2.400 ;
END
END la_oen[79]
PIN la_oen[7]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 769.530 -4.800 770.090 2.400 ;
END
END la_oen[7]
PIN la_oen[80]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2072.250 -4.800 2072.810 2.400 ;
END
END la_oen[80]
PIN la_oen[81]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2089.730 -4.800 2090.290 2.400 ;
END
END la_oen[81]
PIN la_oen[82]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2107.670 -4.800 2108.230 2.400 ;
END
END la_oen[82]
PIN la_oen[83]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2125.610 -4.800 2126.170 2.400 ;
END
END la_oen[83]
PIN la_oen[84]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2143.550 -4.800 2144.110 2.400 ;
END
END la_oen[84]
PIN la_oen[85]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2161.490 -4.800 2162.050 2.400 ;
END
END la_oen[85]
PIN la_oen[86]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2178.970 -4.800 2179.530 2.400 ;
END
END la_oen[86]
PIN la_oen[87]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2196.910 -4.800 2197.470 2.400 ;
END
END la_oen[87]
PIN la_oen[88]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2214.850 -4.800 2215.410 2.400 ;
END
END la_oen[88]
PIN la_oen[89]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2232.790 -4.800 2233.350 2.400 ;
END
END la_oen[89]
PIN la_oen[8]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 787.470 -4.800 788.030 2.400 ;
END
END la_oen[8]
PIN la_oen[90]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2250.730 -4.800 2251.290 2.400 ;
END
END la_oen[90]
PIN la_oen[91]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2268.210 -4.800 2268.770 2.400 ;
END
END la_oen[91]
PIN la_oen[92]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2286.150 -4.800 2286.710 2.400 ;
END
END la_oen[92]
PIN la_oen[93]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2304.090 -4.800 2304.650 2.400 ;
END
END la_oen[93]
PIN la_oen[94]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2322.030 -4.800 2322.590 2.400 ;
END
END la_oen[94]
PIN la_oen[95]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2339.510 -4.800 2340.070 2.400 ;
END
END la_oen[95]
PIN la_oen[96]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2357.450 -4.800 2358.010 2.400 ;
END
END la_oen[96]
PIN la_oen[97]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2375.390 -4.800 2375.950 2.400 ;
END
END la_oen[97]
PIN la_oen[98]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2393.330 -4.800 2393.890 2.400 ;
END
END la_oen[98]
PIN la_oen[99]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2411.270 -4.800 2411.830 2.400 ;
END
END la_oen[99]
PIN la_oen[9]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 805.410 -4.800 805.970 2.400 ;
END
END la_oen[9]
PIN user_clock2
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2916.810 -4.800 2917.370 2.400 ;
END
END user_clock2
PIN wb_clk_i
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2.710 -4.800 3.270 2.400 ;
END
END wb_clk_i
PIN wb_rst_i
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 8.230 -4.800 8.790 2.400 ;
END
END wb_rst_i
PIN wbs_ack_o
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 14.210 -4.800 14.770 2.400 ;
END
END wbs_ack_o
PIN wbs_adr_i[0]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 38.130 -4.800 38.690 2.400 ;
END
END wbs_adr_i[0]
PIN wbs_adr_i[10]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 240.530 -4.800 241.090 2.400 ;
END
END wbs_adr_i[10]
PIN wbs_adr_i[11]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 258.010 -4.800 258.570 2.400 ;
END
END wbs_adr_i[11]
PIN wbs_adr_i[12]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 275.950 -4.800 276.510 2.400 ;
END
END wbs_adr_i[12]
PIN wbs_adr_i[13]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 293.890 -4.800 294.450 2.400 ;
END
END wbs_adr_i[13]
PIN wbs_adr_i[14]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 311.830 -4.800 312.390 2.400 ;
END
END wbs_adr_i[14]
PIN wbs_adr_i[15]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 329.770 -4.800 330.330 2.400 ;
END
END wbs_adr_i[15]
PIN wbs_adr_i[16]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 347.250 -4.800 347.810 2.400 ;
END
END wbs_adr_i[16]
PIN wbs_adr_i[17]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 365.190 -4.800 365.750 2.400 ;
END
END wbs_adr_i[17]
PIN wbs_adr_i[18]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 383.130 -4.800 383.690 2.400 ;
END
END wbs_adr_i[18]
PIN wbs_adr_i[19]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 401.070 -4.800 401.630 2.400 ;
END
END wbs_adr_i[19]
PIN wbs_adr_i[1]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 62.050 -4.800 62.610 2.400 ;
END
END wbs_adr_i[1]
PIN wbs_adr_i[20]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 419.010 -4.800 419.570 2.400 ;
END
END wbs_adr_i[20]
PIN wbs_adr_i[21]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 436.490 -4.800 437.050 2.400 ;
END
END wbs_adr_i[21]
PIN wbs_adr_i[22]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 454.430 -4.800 454.990 2.400 ;
END
END wbs_adr_i[22]
PIN wbs_adr_i[23]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 472.370 -4.800 472.930 2.400 ;
END
END wbs_adr_i[23]
PIN wbs_adr_i[24]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 490.310 -4.800 490.870 2.400 ;
END
END wbs_adr_i[24]
PIN wbs_adr_i[25]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 507.790 -4.800 508.350 2.400 ;
END
END wbs_adr_i[25]
PIN wbs_adr_i[26]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 525.730 -4.800 526.290 2.400 ;
END
END wbs_adr_i[26]
PIN wbs_adr_i[27]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 543.670 -4.800 544.230 2.400 ;
END
END wbs_adr_i[27]
PIN wbs_adr_i[28]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 561.610 -4.800 562.170 2.400 ;
END
END wbs_adr_i[28]
PIN wbs_adr_i[29]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 579.550 -4.800 580.110 2.400 ;
END
END wbs_adr_i[29]
PIN wbs_adr_i[2]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 85.970 -4.800 86.530 2.400 ;
END
END wbs_adr_i[2]
PIN wbs_adr_i[30]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 597.030 -4.800 597.590 2.400 ;
END
END wbs_adr_i[30]
PIN wbs_adr_i[31]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 614.970 -4.800 615.530 2.400 ;
END
END wbs_adr_i[31]
PIN wbs_adr_i[3]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 109.430 -4.800 109.990 2.400 ;
END
END wbs_adr_i[3]
PIN wbs_adr_i[4]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 133.350 -4.800 133.910 2.400 ;
END
END wbs_adr_i[4]
PIN wbs_adr_i[5]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 151.290 -4.800 151.850 2.400 ;
END
END wbs_adr_i[5]
PIN wbs_adr_i[6]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 169.230 -4.800 169.790 2.400 ;
END
END wbs_adr_i[6]
PIN wbs_adr_i[7]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 186.710 -4.800 187.270 2.400 ;
END
END wbs_adr_i[7]
PIN wbs_adr_i[8]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 204.650 -4.800 205.210 2.400 ;
END
END wbs_adr_i[8]
PIN wbs_adr_i[9]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 222.590 -4.800 223.150 2.400 ;
END
END wbs_adr_i[9]
PIN wbs_cyc_i
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 20.190 -4.800 20.750 2.400 ;
END
END wbs_cyc_i
PIN wbs_dat_i[0]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 44.110 -4.800 44.670 2.400 ;
END
END wbs_dat_i[0]
PIN wbs_dat_i[10]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 246.510 -4.800 247.070 2.400 ;
END
END wbs_dat_i[10]
PIN wbs_dat_i[11]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 263.990 -4.800 264.550 2.400 ;
END
END wbs_dat_i[11]
PIN wbs_dat_i[12]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 281.930 -4.800 282.490 2.400 ;
END
END wbs_dat_i[12]
PIN wbs_dat_i[13]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 299.870 -4.800 300.430 2.400 ;
END
END wbs_dat_i[13]
PIN wbs_dat_i[14]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 317.810 -4.800 318.370 2.400 ;
END
END wbs_dat_i[14]
PIN wbs_dat_i[15]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 335.750 -4.800 336.310 2.400 ;
END
END wbs_dat_i[15]
PIN wbs_dat_i[16]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 353.230 -4.800 353.790 2.400 ;
END
END wbs_dat_i[16]
PIN wbs_dat_i[17]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 371.170 -4.800 371.730 2.400 ;
END
END wbs_dat_i[17]
PIN wbs_dat_i[18]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 389.110 -4.800 389.670 2.400 ;
END
END wbs_dat_i[18]
PIN wbs_dat_i[19]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 407.050 -4.800 407.610 2.400 ;
END
END wbs_dat_i[19]
PIN wbs_dat_i[1]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 68.030 -4.800 68.590 2.400 ;
END
END wbs_dat_i[1]
PIN wbs_dat_i[20]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 424.530 -4.800 425.090 2.400 ;
END
END wbs_dat_i[20]
PIN wbs_dat_i[21]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 442.470 -4.800 443.030 2.400 ;
END
END wbs_dat_i[21]
PIN wbs_dat_i[22]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 460.410 -4.800 460.970 2.400 ;
END
END wbs_dat_i[22]
PIN wbs_dat_i[23]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 478.350 -4.800 478.910 2.400 ;
END
END wbs_dat_i[23]
PIN wbs_dat_i[24]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 496.290 -4.800 496.850 2.400 ;
END
END wbs_dat_i[24]
PIN wbs_dat_i[25]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 513.770 -4.800 514.330 2.400 ;
END
END wbs_dat_i[25]
PIN wbs_dat_i[26]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 531.710 -4.800 532.270 2.400 ;
END
END wbs_dat_i[26]
PIN wbs_dat_i[27]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 549.650 -4.800 550.210 2.400 ;
END
END wbs_dat_i[27]
PIN wbs_dat_i[28]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 567.590 -4.800 568.150 2.400 ;
END
END wbs_dat_i[28]
PIN wbs_dat_i[29]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 585.530 -4.800 586.090 2.400 ;
END
END wbs_dat_i[29]
PIN wbs_dat_i[2]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 91.490 -4.800 92.050 2.400 ;
END
END wbs_dat_i[2]
PIN wbs_dat_i[30]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 603.010 -4.800 603.570 2.400 ;
END
END wbs_dat_i[30]
PIN wbs_dat_i[31]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 620.950 -4.800 621.510 2.400 ;
END
END wbs_dat_i[31]
PIN wbs_dat_i[3]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 115.410 -4.800 115.970 2.400 ;
END
END wbs_dat_i[3]
PIN wbs_dat_i[4]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 139.330 -4.800 139.890 2.400 ;
END
END wbs_dat_i[4]
PIN wbs_dat_i[5]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 157.270 -4.800 157.830 2.400 ;
END
END wbs_dat_i[5]
PIN wbs_dat_i[6]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 174.750 -4.800 175.310 2.400 ;
END
END wbs_dat_i[6]
PIN wbs_dat_i[7]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 192.690 -4.800 193.250 2.400 ;
END
END wbs_dat_i[7]
PIN wbs_dat_i[8]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 210.630 -4.800 211.190 2.400 ;
END
END wbs_dat_i[8]
PIN wbs_dat_i[9]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 228.570 -4.800 229.130 2.400 ;
END
END wbs_dat_i[9]
PIN wbs_dat_o[0]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 50.090 -4.800 50.650 2.400 ;
END
END wbs_dat_o[0]
PIN wbs_dat_o[10]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 252.490 -4.800 253.050 2.400 ;
END
END wbs_dat_o[10]
PIN wbs_dat_o[11]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 269.970 -4.800 270.530 2.400 ;
END
END wbs_dat_o[11]
PIN wbs_dat_o[12]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 287.910 -4.800 288.470 2.400 ;
END
END wbs_dat_o[12]
PIN wbs_dat_o[13]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 305.850 -4.800 306.410 2.400 ;
END
END wbs_dat_o[13]
PIN wbs_dat_o[14]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 323.790 -4.800 324.350 2.400 ;
END
END wbs_dat_o[14]
PIN wbs_dat_o[15]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 341.270 -4.800 341.830 2.400 ;
END
END wbs_dat_o[15]
PIN wbs_dat_o[16]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 359.210 -4.800 359.770 2.400 ;
END
END wbs_dat_o[16]
PIN wbs_dat_o[17]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 377.150 -4.800 377.710 2.400 ;
END
END wbs_dat_o[17]
PIN wbs_dat_o[18]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 395.090 -4.800 395.650 2.400 ;
END
END wbs_dat_o[18]
PIN wbs_dat_o[19]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 413.030 -4.800 413.590 2.400 ;
END
END wbs_dat_o[19]
PIN wbs_dat_o[1]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 74.010 -4.800 74.570 2.400 ;
END
END wbs_dat_o[1]
PIN wbs_dat_o[20]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 430.510 -4.800 431.070 2.400 ;
END
END wbs_dat_o[20]
PIN wbs_dat_o[21]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 448.450 -4.800 449.010 2.400 ;
END
END wbs_dat_o[21]
PIN wbs_dat_o[22]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 466.390 -4.800 466.950 2.400 ;
END
END wbs_dat_o[22]
PIN wbs_dat_o[23]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 484.330 -4.800 484.890 2.400 ;
END
END wbs_dat_o[23]
PIN wbs_dat_o[24]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 502.270 -4.800 502.830 2.400 ;
END
END wbs_dat_o[24]
PIN wbs_dat_o[25]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 519.750 -4.800 520.310 2.400 ;
END
END wbs_dat_o[25]
PIN wbs_dat_o[26]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 537.690 -4.800 538.250 2.400 ;
END
END wbs_dat_o[26]
PIN wbs_dat_o[27]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 555.630 -4.800 556.190 2.400 ;
END
END wbs_dat_o[27]
PIN wbs_dat_o[28]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 573.570 -4.800 574.130 2.400 ;
END
END wbs_dat_o[28]
PIN wbs_dat_o[29]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 591.050 -4.800 591.610 2.400 ;
END
END wbs_dat_o[29]
PIN wbs_dat_o[2]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 97.470 -4.800 98.030 2.400 ;
END
END wbs_dat_o[2]
PIN wbs_dat_o[30]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 608.990 -4.800 609.550 2.400 ;
END
END wbs_dat_o[30]
PIN wbs_dat_o[31]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 626.930 -4.800 627.490 2.400 ;
END
END wbs_dat_o[31]
PIN wbs_dat_o[3]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 121.390 -4.800 121.950 2.400 ;
END
END wbs_dat_o[3]
PIN wbs_dat_o[4]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 145.310 -4.800 145.870 2.400 ;
END
END wbs_dat_o[4]
PIN wbs_dat_o[5]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 163.250 -4.800 163.810 2.400 ;
END
END wbs_dat_o[5]
PIN wbs_dat_o[6]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 180.730 -4.800 181.290 2.400 ;
END
END wbs_dat_o[6]
PIN wbs_dat_o[7]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 198.670 -4.800 199.230 2.400 ;
END
END wbs_dat_o[7]
PIN wbs_dat_o[8]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 216.610 -4.800 217.170 2.400 ;
END
END wbs_dat_o[8]
PIN wbs_dat_o[9]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 234.550 -4.800 235.110 2.400 ;
END
END wbs_dat_o[9]
PIN wbs_sel_i[0]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 56.070 -4.800 56.630 2.400 ;
END
END wbs_sel_i[0]
PIN wbs_sel_i[1]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 79.990 -4.800 80.550 2.400 ;
END
END wbs_sel_i[1]
PIN wbs_sel_i[2]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 103.450 -4.800 104.010 2.400 ;
END
END wbs_sel_i[2]
PIN wbs_sel_i[3]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 127.370 -4.800 127.930 2.400 ;
END
END wbs_sel_i[3]
PIN wbs_stb_i
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 26.170 -4.800 26.730 2.400 ;
END
END wbs_stb_i
PIN wbs_we_i
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 32.150 -4.800 32.710 2.400 ;
END
END wbs_we_i
PIN vccd1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 2889.020 -9.320 2892.020 3529.000 ;
END
END vccd1
PIN vccd1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 2709.020 -9.320 2712.020 3529.000 ;
END
END vccd1
PIN vccd1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 2529.020 -9.320 2532.020 3529.000 ;
END
END vccd1
PIN vccd1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 2349.020 -9.320 2352.020 3529.000 ;
END
END vccd1
PIN vccd1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 2169.020 -9.320 2172.020 3529.000 ;
END
END vccd1
PIN vccd1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 1989.020 2299.760 1992.020 3529.000 ;
END
END vccd1
PIN vccd1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 1809.020 2299.760 1812.020 3529.000 ;
END
END vccd1
PIN vccd1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 1629.020 2299.760 1632.020 3529.000 ;
END
END vccd1
PIN vccd1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 1449.020 2299.760 1452.020 3529.000 ;
END
END vccd1
PIN vccd1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 1269.020 2299.760 1272.020 3529.000 ;
END
END vccd1
PIN vccd1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 1089.020 -9.320 1092.020 3529.000 ;
END
END vccd1
PIN vccd1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 909.020 -9.320 912.020 3529.000 ;
END
END vccd1
PIN vccd1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 729.020 -9.320 732.020 3529.000 ;
END
END vccd1
PIN vccd1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 549.020 -9.320 552.020 3529.000 ;
END
END vccd1
PIN vccd1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 369.020 -9.320 372.020 3529.000 ;
END
END vccd1
PIN vccd1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 189.020 -9.320 192.020 3529.000 ;
END
END vccd1
PIN vccd1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 9.020 -9.320 12.020 3529.000 ;
END
END vccd1
PIN vccd1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 2926.600 -4.620 2929.600 3524.300 ;
END
END vccd1
PIN vccd1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT -9.980 -4.620 -6.980 3524.300 ;
END
END vccd1
PIN vccd1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 1989.020 -9.320 1992.020 1680.240 ;
END
END vccd1
PIN vccd1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 1809.020 -9.320 1812.020 1680.240 ;
END
END vccd1
PIN vccd1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 1629.020 -9.320 1632.020 1680.240 ;
END
END vccd1
PIN vccd1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 1449.020 -9.320 1452.020 1680.240 ;
END
END vccd1
PIN vccd1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 1269.020 -9.320 1272.020 1680.240 ;
END
END vccd1
PIN vccd1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -9.980 3521.300 2929.600 3524.300 ;
END
END vccd1
PIN vccd1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -14.680 3434.140 2934.300 3437.140 ;
END
END vccd1
PIN vccd1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -14.680 3254.140 2934.300 3257.140 ;
END
END vccd1
PIN vccd1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -14.680 3074.140 2934.300 3077.140 ;
END
END vccd1
PIN vccd1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -14.680 2894.140 2934.300 2897.140 ;
END
END vccd1
PIN vccd1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -14.680 2714.140 2934.300 2717.140 ;
END
END vccd1
PIN vccd1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -14.680 2534.140 2934.300 2537.140 ;
END
END vccd1
PIN vccd1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -14.680 2354.140 2934.300 2357.140 ;
END
END vccd1
PIN vccd1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -14.680 2174.140 2934.300 2177.140 ;
END
END vccd1
PIN vccd1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -14.680 1994.140 2934.300 1997.140 ;
END
END vccd1
PIN vccd1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -14.680 1814.140 2934.300 1817.140 ;
END
END vccd1
PIN vccd1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -14.680 1634.140 2934.300 1637.140 ;
END
END vccd1
PIN vccd1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -14.680 1454.140 2934.300 1457.140 ;
END
END vccd1
PIN vccd1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -14.680 1274.140 2934.300 1277.140 ;
END
END vccd1
PIN vccd1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -14.680 1094.140 2934.300 1097.140 ;
END
END vccd1
PIN vccd1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -14.680 914.140 2934.300 917.140 ;
END
END vccd1
PIN vccd1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -14.680 734.140 2934.300 737.140 ;
END
END vccd1
PIN vccd1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -14.680 554.140 2934.300 557.140 ;
END
END vccd1
PIN vccd1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -14.680 374.140 2934.300 377.140 ;
END
END vccd1
PIN vccd1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -14.680 194.140 2934.300 197.140 ;
END
END vccd1
PIN vccd1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -14.680 14.140 2934.300 17.140 ;
END
END vccd1
PIN vccd1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -9.980 -4.620 2929.600 -1.620 ;
END
END vccd1
PIN vssd1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 2931.300 -9.320 2934.300 3529.000 ;
END
END vssd1
PIN vssd1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 2799.020 -9.320 2802.020 3529.000 ;
END
END vssd1
PIN vssd1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 2619.020 -9.320 2622.020 3529.000 ;
END
END vssd1
PIN vssd1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 2439.020 -9.320 2442.020 3529.000 ;
END
END vssd1
PIN vssd1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 2259.020 -9.320 2262.020 3529.000 ;
END
END vssd1
PIN vssd1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 2079.020 2299.760 2082.020 3529.000 ;
END
END vssd1
PIN vssd1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 1899.020 2299.760 1902.020 3529.000 ;
END
END vssd1
PIN vssd1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 1719.020 2299.760 1722.020 3529.000 ;
END
END vssd1
PIN vssd1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 1539.020 2299.760 1542.020 3529.000 ;
END
END vssd1
PIN vssd1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 1359.020 2299.760 1362.020 3529.000 ;
END
END vssd1
PIN vssd1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 1179.020 2299.760 1182.020 3529.000 ;
END
END vssd1
PIN vssd1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 999.020 -9.320 1002.020 3529.000 ;
END
END vssd1
PIN vssd1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 819.020 -9.320 822.020 3529.000 ;
END
END vssd1
PIN vssd1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 639.020 -9.320 642.020 3529.000 ;
END
END vssd1
PIN vssd1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 459.020 -9.320 462.020 3529.000 ;
END
END vssd1
PIN vssd1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 279.020 -9.320 282.020 3529.000 ;
END
END vssd1
PIN vssd1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 99.020 -9.320 102.020 3529.000 ;
END
END vssd1
PIN vssd1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT -14.680 -9.320 -11.680 3529.000 ;
END
END vssd1
PIN vssd1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 2079.020 -9.320 2082.020 1680.240 ;
END
END vssd1
PIN vssd1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 1899.020 -9.320 1902.020 1680.240 ;
END
END vssd1
PIN vssd1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 1719.020 -9.320 1722.020 1680.240 ;
END
END vssd1
PIN vssd1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 1539.020 -9.320 1542.020 1680.240 ;
END
END vssd1
PIN vssd1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 1359.020 -9.320 1362.020 1680.240 ;
END
END vssd1
PIN vssd1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 1179.020 -9.320 1182.020 1680.240 ;
END
END vssd1
PIN vssd1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met5 ;
RECT -14.680 3526.000 2934.300 3529.000 ;
END
END vssd1
PIN vssd1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met5 ;
RECT -14.680 3344.140 2934.300 3347.140 ;
END
END vssd1
PIN vssd1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met5 ;
RECT -14.680 3164.140 2934.300 3167.140 ;
END
END vssd1
PIN vssd1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met5 ;
RECT -14.680 2984.140 2934.300 2987.140 ;
END
END vssd1
PIN vssd1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met5 ;
RECT -14.680 2804.140 2934.300 2807.140 ;
END
END vssd1
PIN vssd1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met5 ;
RECT -14.680 2624.140 2934.300 2627.140 ;
END
END vssd1
PIN vssd1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met5 ;
RECT -14.680 2444.140 2934.300 2447.140 ;
END
END vssd1
PIN vssd1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met5 ;
RECT -14.680 2264.140 2934.300 2267.140 ;
END
END vssd1
PIN vssd1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met5 ;
RECT -14.680 2084.140 2934.300 2087.140 ;
END
END vssd1
PIN vssd1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met5 ;
RECT -14.680 1904.140 2934.300 1907.140 ;
END
END vssd1
PIN vssd1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met5 ;
RECT -14.680 1724.140 2934.300 1727.140 ;
END
END vssd1
PIN vssd1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met5 ;
RECT -14.680 1544.140 2934.300 1547.140 ;
END
END vssd1
PIN vssd1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met5 ;
RECT -14.680 1364.140 2934.300 1367.140 ;
END
END vssd1
PIN vssd1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met5 ;
RECT -14.680 1184.140 2934.300 1187.140 ;
END
END vssd1
PIN vssd1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met5 ;
RECT -14.680 1004.140 2934.300 1007.140 ;
END
END vssd1
PIN vssd1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met5 ;
RECT -14.680 824.140 2934.300 827.140 ;
END
END vssd1
PIN vssd1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met5 ;
RECT -14.680 644.140 2934.300 647.140 ;
END
END vssd1
PIN vssd1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met5 ;
RECT -14.680 464.140 2934.300 467.140 ;
END
END vssd1
PIN vssd1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met5 ;
RECT -14.680 284.140 2934.300 287.140 ;
END
END vssd1
PIN vssd1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met5 ;
RECT -14.680 104.140 2934.300 107.140 ;
END
END vssd1
PIN vssd1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met5 ;
RECT -14.680 -9.320 2934.300 -6.320 ;
END
END vssd1
PIN vccd2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 2907.020 -18.720 2910.020 3538.400 ;
END
END vccd2
PIN vccd2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 2727.020 -18.720 2730.020 3538.400 ;
END
END vccd2
PIN vccd2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 2547.020 -18.720 2550.020 3538.400 ;
END
END vccd2
PIN vccd2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 2367.020 -18.720 2370.020 3538.400 ;
END
END vccd2
PIN vccd2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 2187.020 -18.720 2190.020 3538.400 ;
END
END vccd2
PIN vccd2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 2007.020 2300.000 2010.020 3538.400 ;
END
END vccd2
PIN vccd2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 1827.020 2300.000 1830.020 3538.400 ;
END
END vccd2
PIN vccd2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 1647.020 2300.000 1650.020 3538.400 ;
END
END vccd2
PIN vccd2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 1467.020 2300.000 1470.020 3538.400 ;
END
END vccd2
PIN vccd2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 1287.020 2300.000 1290.020 3538.400 ;
END
END vccd2
PIN vccd2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 1107.020 -18.720 1110.020 3538.400 ;
END
END vccd2
PIN vccd2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 927.020 -18.720 930.020 3538.400 ;
END
END vccd2
PIN vccd2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 747.020 -18.720 750.020 3538.400 ;
END
END vccd2
PIN vccd2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 567.020 -18.720 570.020 3538.400 ;
END
END vccd2
PIN vccd2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 387.020 -18.720 390.020 3538.400 ;
END
END vccd2
PIN vccd2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 207.020 -18.720 210.020 3538.400 ;
END
END vccd2
PIN vccd2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 27.020 -18.720 30.020 3538.400 ;
END
END vccd2
PIN vccd2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 2936.000 -14.020 2939.000 3533.700 ;
END
END vccd2
PIN vccd2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT -19.380 -14.020 -16.380 3533.700 ;
END
END vccd2
PIN vccd2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 2007.020 -18.720 2010.020 1680.000 ;
END
END vccd2
PIN vccd2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 1827.020 -18.720 1830.020 1680.000 ;
END
END vccd2
PIN vccd2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 1647.020 -18.720 1650.020 1680.000 ;
END
END vccd2
PIN vccd2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 1467.020 -18.720 1470.020 1680.000 ;
END
END vccd2
PIN vccd2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 1287.020 -18.720 1290.020 1680.000 ;
END
END vccd2
PIN vccd2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -19.380 3530.700 2939.000 3533.700 ;
END
END vccd2
PIN vccd2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -24.080 3452.380 2943.700 3455.380 ;
END
END vccd2
PIN vccd2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -24.080 3272.380 2943.700 3275.380 ;
END
END vccd2
PIN vccd2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -24.080 3092.380 2943.700 3095.380 ;
END
END vccd2
PIN vccd2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -24.080 2912.380 2943.700 2915.380 ;
END
END vccd2
PIN vccd2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -24.080 2732.380 2943.700 2735.380 ;
END
END vccd2
PIN vccd2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -24.080 2552.380 2943.700 2555.380 ;
END
END vccd2
PIN vccd2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -24.080 2372.380 2943.700 2375.380 ;
END
END vccd2
PIN vccd2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -24.080 2192.380 2943.700 2195.380 ;
END
END vccd2
PIN vccd2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -24.080 2012.380 2943.700 2015.380 ;
END
END vccd2
PIN vccd2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -24.080 1832.380 2943.700 1835.380 ;
END
END vccd2
PIN vccd2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -24.080 1652.380 2943.700 1655.380 ;
END
END vccd2
PIN vccd2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -24.080 1472.380 2943.700 1475.380 ;
END
END vccd2
PIN vccd2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -24.080 1292.380 2943.700 1295.380 ;
END
END vccd2
PIN vccd2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -24.080 1112.380 2943.700 1115.380 ;
END
END vccd2
PIN vccd2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -24.080 932.380 2943.700 935.380 ;
END
END vccd2
PIN vccd2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -24.080 752.380 2943.700 755.380 ;
END
END vccd2
PIN vccd2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -24.080 572.380 2943.700 575.380 ;
END
END vccd2
PIN vccd2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -24.080 392.380 2943.700 395.380 ;
END
END vccd2
PIN vccd2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -24.080 212.380 2943.700 215.380 ;
END
END vccd2
PIN vccd2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -24.080 32.380 2943.700 35.380 ;
END
END vccd2
PIN vccd2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -19.380 -14.020 2939.000 -11.020 ;
END
END vccd2
PIN vssd2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 2940.700 -18.720 2943.700 3538.400 ;
END
END vssd2
PIN vssd2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 2817.020 -18.720 2820.020 3538.400 ;
END
END vssd2
PIN vssd2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 2637.020 -18.720 2640.020 3538.400 ;
END
END vssd2
PIN vssd2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 2457.020 -18.720 2460.020 3538.400 ;
END
END vssd2
PIN vssd2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 2277.020 -18.720 2280.020 3538.400 ;
END
END vssd2
PIN vssd2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 2097.020 -18.720 2100.020 3538.400 ;
END
END vssd2
PIN vssd2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 1917.020 2300.000 1920.020 3538.400 ;
END
END vssd2
PIN vssd2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 1737.020 2300.000 1740.020 3538.400 ;
END
END vssd2
PIN vssd2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 1557.020 2300.000 1560.020 3538.400 ;
END
END vssd2
PIN vssd2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 1377.020 2300.000 1380.020 3538.400 ;
END
END vssd2
PIN vssd2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 1197.020 2300.000 1200.020 3538.400 ;
END
END vssd2
PIN vssd2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 1017.020 -18.720 1020.020 3538.400 ;
END
END vssd2
PIN vssd2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 837.020 -18.720 840.020 3538.400 ;
END
END vssd2
PIN vssd2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 657.020 -18.720 660.020 3538.400 ;
END
END vssd2
PIN vssd2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 477.020 -18.720 480.020 3538.400 ;
END
END vssd2
PIN vssd2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 297.020 -18.720 300.020 3538.400 ;
END
END vssd2
PIN vssd2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 117.020 -18.720 120.020 3538.400 ;
END
END vssd2
PIN vssd2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT -24.080 -18.720 -21.080 3538.400 ;
END
END vssd2
PIN vssd2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 1917.020 -18.720 1920.020 1680.000 ;
END
END vssd2
PIN vssd2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 1737.020 -18.720 1740.020 1680.000 ;
END
END vssd2
PIN vssd2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 1557.020 -18.720 1560.020 1680.000 ;
END
END vssd2
PIN vssd2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 1377.020 -18.720 1380.020 1680.000 ;
END
END vssd2
PIN vssd2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 1197.020 -18.720 1200.020 1680.000 ;
END
END vssd2
PIN vssd2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met5 ;
RECT -24.080 3535.400 2943.700 3538.400 ;
END
END vssd2
PIN vssd2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met5 ;
RECT -24.080 3362.380 2943.700 3365.380 ;
END
END vssd2
PIN vssd2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met5 ;
RECT -24.080 3182.380 2943.700 3185.380 ;
END
END vssd2
PIN vssd2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met5 ;
RECT -24.080 3002.380 2943.700 3005.380 ;
END
END vssd2
PIN vssd2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met5 ;
RECT -24.080 2822.380 2943.700 2825.380 ;
END
END vssd2
PIN vssd2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met5 ;
RECT -24.080 2642.380 2943.700 2645.380 ;
END
END vssd2
PIN vssd2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met5 ;
RECT -24.080 2462.380 2943.700 2465.380 ;
END
END vssd2
PIN vssd2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met5 ;
RECT -24.080 2282.380 2943.700 2285.380 ;
END
END vssd2
PIN vssd2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met5 ;
RECT -24.080 2102.380 2943.700 2105.380 ;
END
END vssd2
PIN vssd2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met5 ;
RECT -24.080 1922.380 2943.700 1925.380 ;
END
END vssd2
PIN vssd2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met5 ;
RECT -24.080 1742.380 2943.700 1745.380 ;
END
END vssd2
PIN vssd2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met5 ;
RECT -24.080 1562.380 2943.700 1565.380 ;
END
END vssd2
PIN vssd2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met5 ;
RECT -24.080 1382.380 2943.700 1385.380 ;
END
END vssd2
PIN vssd2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met5 ;
RECT -24.080 1202.380 2943.700 1205.380 ;
END
END vssd2
PIN vssd2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met5 ;
RECT -24.080 1022.380 2943.700 1025.380 ;
END
END vssd2
PIN vssd2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met5 ;
RECT -24.080 842.380 2943.700 845.380 ;
END
END vssd2
PIN vssd2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met5 ;
RECT -24.080 662.380 2943.700 665.380 ;
END
END vssd2
PIN vssd2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met5 ;
RECT -24.080 482.380 2943.700 485.380 ;
END
END vssd2
PIN vssd2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met5 ;
RECT -24.080 302.380 2943.700 305.380 ;
END
END vssd2
PIN vssd2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met5 ;
RECT -24.080 122.380 2943.700 125.380 ;
END
END vssd2
PIN vssd2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met5 ;
RECT -24.080 -18.720 2943.700 -15.720 ;
END
END vssd2
PIN vdda1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 2745.020 -28.120 2748.020 3547.800 ;
END
END vdda1
PIN vdda1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 2565.020 -28.120 2568.020 3547.800 ;
END
END vdda1
PIN vdda1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 2385.020 -28.120 2388.020 3547.800 ;
END
END vdda1
PIN vdda1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 2205.020 -28.120 2208.020 3547.800 ;
END
END vdda1
PIN vdda1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 2025.020 2300.000 2028.020 3547.800 ;
END
END vdda1
PIN vdda1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 1845.020 2300.000 1848.020 3547.800 ;
END
END vdda1
PIN vdda1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 1665.020 2300.000 1668.020 3547.800 ;
END
END vdda1
PIN vdda1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 1485.020 2300.000 1488.020 3547.800 ;
END
END vdda1
PIN vdda1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 1305.020 2300.000 1308.020 3547.800 ;
END
END vdda1
PIN vdda1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 1125.020 -28.120 1128.020 3547.800 ;
END
END vdda1
PIN vdda1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 945.020 -28.120 948.020 3547.800 ;
END
END vdda1
PIN vdda1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 765.020 -28.120 768.020 3547.800 ;
END
END vdda1
PIN vdda1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 585.020 -28.120 588.020 3547.800 ;
END
END vdda1
PIN vdda1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 405.020 -28.120 408.020 3547.800 ;
END
END vdda1
PIN vdda1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 225.020 -28.120 228.020 3547.800 ;
END
END vdda1
PIN vdda1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 45.020 -28.120 48.020 3547.800 ;
END
END vdda1
PIN vdda1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 2945.400 -23.420 2948.400 3543.100 ;
END
END vdda1
PIN vdda1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT -28.780 -23.420 -25.780 3543.100 ;
END
END vdda1
PIN vdda1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 2025.020 -28.120 2028.020 1680.000 ;
END
END vdda1
PIN vdda1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 1845.020 -28.120 1848.020 1680.000 ;
END
END vdda1
PIN vdda1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 1665.020 -28.120 1668.020 1680.000 ;
END
END vdda1
PIN vdda1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 1485.020 -28.120 1488.020 1680.000 ;
END
END vdda1
PIN vdda1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 1305.020 -28.120 1308.020 1680.000 ;
END
END vdda1
PIN vdda1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -28.780 3540.100 2948.400 3543.100 ;
END
END vdda1
PIN vdda1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -33.480 3470.380 2953.100 3473.380 ;
END
END vdda1
PIN vdda1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -33.480 3290.380 2953.100 3293.380 ;
END
END vdda1
PIN vdda1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -33.480 3110.380 2953.100 3113.380 ;
END
END vdda1
PIN vdda1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -33.480 2930.380 2953.100 2933.380 ;
END
END vdda1
PIN vdda1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -33.480 2750.380 2953.100 2753.380 ;
END
END vdda1
PIN vdda1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -33.480 2570.380 2953.100 2573.380 ;
END
END vdda1
PIN vdda1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -33.480 2390.380 2953.100 2393.380 ;
END
END vdda1
PIN vdda1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -33.480 2210.380 2953.100 2213.380 ;
END
END vdda1
PIN vdda1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -33.480 2030.380 2953.100 2033.380 ;
END
END vdda1
PIN vdda1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -33.480 1850.380 2953.100 1853.380 ;
END
END vdda1
PIN vdda1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -33.480 1670.380 2953.100 1673.380 ;
END
END vdda1
PIN vdda1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -33.480 1490.380 2953.100 1493.380 ;
END
END vdda1
PIN vdda1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -33.480 1310.380 2953.100 1313.380 ;
END
END vdda1
PIN vdda1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -33.480 1130.380 2953.100 1133.380 ;
END
END vdda1
PIN vdda1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -33.480 950.380 2953.100 953.380 ;
END
END vdda1
PIN vdda1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -33.480 770.380 2953.100 773.380 ;
END
END vdda1
PIN vdda1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -33.480 590.380 2953.100 593.380 ;
END
END vdda1
PIN vdda1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -33.480 410.380 2953.100 413.380 ;
END
END vdda1
PIN vdda1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -33.480 230.380 2953.100 233.380 ;
END
END vdda1
PIN vdda1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -33.480 50.380 2953.100 53.380 ;
END
END vdda1
PIN vdda1
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -28.780 -23.420 2948.400 -20.420 ;
END
END vdda1
PIN vssa1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 2950.100 -28.120 2953.100 3547.800 ;
END
END vssa1
PIN vssa1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 2835.020 -28.120 2838.020 3547.800 ;
END
END vssa1
PIN vssa1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 2655.020 -28.120 2658.020 3547.800 ;
END
END vssa1
PIN vssa1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 2475.020 -28.120 2478.020 3547.800 ;
END
END vssa1
PIN vssa1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 2295.020 -28.120 2298.020 3547.800 ;
END
END vssa1
PIN vssa1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 2115.020 -28.120 2118.020 3547.800 ;
END
END vssa1
PIN vssa1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 1935.020 2300.000 1938.020 3547.800 ;
END
END vssa1
PIN vssa1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 1755.020 2300.000 1758.020 3547.800 ;
END
END vssa1
PIN vssa1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 1575.020 2300.000 1578.020 3547.800 ;
END
END vssa1
PIN vssa1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 1395.020 2300.000 1398.020 3547.800 ;
END
END vssa1
PIN vssa1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 1215.020 2300.000 1218.020 3547.800 ;
END
END vssa1
PIN vssa1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 1035.020 -28.120 1038.020 3547.800 ;
END
END vssa1
PIN vssa1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 855.020 -28.120 858.020 3547.800 ;
END
END vssa1
PIN vssa1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 675.020 -28.120 678.020 3547.800 ;
END
END vssa1
PIN vssa1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 495.020 -28.120 498.020 3547.800 ;
END
END vssa1
PIN vssa1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 315.020 -28.120 318.020 3547.800 ;
END
END vssa1
PIN vssa1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 135.020 -28.120 138.020 3547.800 ;
END
END vssa1
PIN vssa1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT -33.480 -28.120 -30.480 3547.800 ;
END
END vssa1
PIN vssa1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 1935.020 -28.120 1938.020 1680.000 ;
END
END vssa1
PIN vssa1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 1755.020 -28.120 1758.020 1680.000 ;
END
END vssa1
PIN vssa1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 1575.020 -28.120 1578.020 1680.000 ;
END
END vssa1
PIN vssa1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 1395.020 -28.120 1398.020 1680.000 ;
END
END vssa1
PIN vssa1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 1215.020 -28.120 1218.020 1680.000 ;
END
END vssa1
PIN vssa1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met5 ;
RECT -33.480 3544.800 2953.100 3547.800 ;
END
END vssa1
PIN vssa1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met5 ;
RECT -33.480 3380.380 2953.100 3383.380 ;
END
END vssa1
PIN vssa1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met5 ;
RECT -33.480 3200.380 2953.100 3203.380 ;
END
END vssa1
PIN vssa1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met5 ;
RECT -33.480 3020.380 2953.100 3023.380 ;
END
END vssa1
PIN vssa1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met5 ;
RECT -33.480 2840.380 2953.100 2843.380 ;
END
END vssa1
PIN vssa1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met5 ;
RECT -33.480 2660.380 2953.100 2663.380 ;
END
END vssa1
PIN vssa1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met5 ;
RECT -33.480 2480.380 2953.100 2483.380 ;
END
END vssa1
PIN vssa1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met5 ;
RECT -33.480 2300.380 2953.100 2303.380 ;
END
END vssa1
PIN vssa1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met5 ;
RECT -33.480 2120.380 2953.100 2123.380 ;
END
END vssa1
PIN vssa1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met5 ;
RECT -33.480 1940.380 2953.100 1943.380 ;
END
END vssa1
PIN vssa1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met5 ;
RECT -33.480 1760.380 2953.100 1763.380 ;
END
END vssa1
PIN vssa1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met5 ;
RECT -33.480 1580.380 2953.100 1583.380 ;
END
END vssa1
PIN vssa1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met5 ;
RECT -33.480 1400.380 2953.100 1403.380 ;
END
END vssa1
PIN vssa1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met5 ;
RECT -33.480 1220.380 2953.100 1223.380 ;
END
END vssa1
PIN vssa1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met5 ;
RECT -33.480 1040.380 2953.100 1043.380 ;
END
END vssa1
PIN vssa1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met5 ;
RECT -33.480 860.380 2953.100 863.380 ;
END
END vssa1
PIN vssa1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met5 ;
RECT -33.480 680.380 2953.100 683.380 ;
END
END vssa1
PIN vssa1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met5 ;
RECT -33.480 500.380 2953.100 503.380 ;
END
END vssa1
PIN vssa1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met5 ;
RECT -33.480 320.380 2953.100 323.380 ;
END
END vssa1
PIN vssa1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met5 ;
RECT -33.480 140.380 2953.100 143.380 ;
END
END vssa1
PIN vssa1
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met5 ;
RECT -33.480 -28.120 2953.100 -25.120 ;
END
END vssa1
PIN vdda2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 2763.020 -37.520 2766.020 3557.200 ;
END
END vdda2
PIN vdda2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 2583.020 -37.520 2586.020 3557.200 ;
END
END vdda2
PIN vdda2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 2403.020 -37.520 2406.020 3557.200 ;
END
END vdda2
PIN vdda2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 2223.020 -37.520 2226.020 3557.200 ;
END
END vdda2
PIN vdda2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 2043.020 2300.000 2046.020 3557.200 ;
END
END vdda2
PIN vdda2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 1863.020 2300.000 1866.020 3557.200 ;
END
END vdda2
PIN vdda2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 1683.020 2300.000 1686.020 3557.200 ;
END
END vdda2
PIN vdda2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 1503.020 2300.000 1506.020 3557.200 ;
END
END vdda2
PIN vdda2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 1323.020 2300.000 1326.020 3557.200 ;
END
END vdda2
PIN vdda2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 1143.020 -37.520 1146.020 3557.200 ;
END
END vdda2
PIN vdda2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 963.020 -37.520 966.020 3557.200 ;
END
END vdda2
PIN vdda2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 783.020 -37.520 786.020 3557.200 ;
END
END vdda2
PIN vdda2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 603.020 -37.520 606.020 3557.200 ;
END
END vdda2
PIN vdda2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 423.020 -37.520 426.020 3557.200 ;
END
END vdda2
PIN vdda2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 243.020 -37.520 246.020 3557.200 ;
END
END vdda2
PIN vdda2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 63.020 -37.520 66.020 3557.200 ;
END
END vdda2
PIN vdda2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 2954.800 -32.820 2957.800 3552.500 ;
END
END vdda2
PIN vdda2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT -38.180 -32.820 -35.180 3552.500 ;
END
END vdda2
PIN vdda2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 2043.020 -37.520 2046.020 1680.000 ;
END
END vdda2
PIN vdda2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 1863.020 -37.520 1866.020 1680.000 ;
END
END vdda2
PIN vdda2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 1683.020 -37.520 1686.020 1680.000 ;
END
END vdda2
PIN vdda2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 1503.020 -37.520 1506.020 1680.000 ;
END
END vdda2
PIN vdda2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 1323.020 -37.520 1326.020 1680.000 ;
END
END vdda2
PIN vdda2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -38.180 3549.500 2957.800 3552.500 ;
END
END vdda2
PIN vdda2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -42.880 3488.380 2962.500 3491.380 ;
END
END vdda2
PIN vdda2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -42.880 3308.380 2962.500 3311.380 ;
END
END vdda2
PIN vdda2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -42.880 3128.380 2962.500 3131.380 ;
END
END vdda2
PIN vdda2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -42.880 2948.380 2962.500 2951.380 ;
END
END vdda2
PIN vdda2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -42.880 2768.380 2962.500 2771.380 ;
END
END vdda2
PIN vdda2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -42.880 2588.380 2962.500 2591.380 ;
END
END vdda2
PIN vdda2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -42.880 2408.380 2962.500 2411.380 ;
END
END vdda2
PIN vdda2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -42.880 2228.380 2962.500 2231.380 ;
END
END vdda2
PIN vdda2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -42.880 2048.380 2962.500 2051.380 ;
END
END vdda2
PIN vdda2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -42.880 1868.380 2962.500 1871.380 ;
END
END vdda2
PIN vdda2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -42.880 1688.380 2962.500 1691.380 ;
END
END vdda2
PIN vdda2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -42.880 1508.380 2962.500 1511.380 ;
END
END vdda2
PIN vdda2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -42.880 1328.380 2962.500 1331.380 ;
END
END vdda2
PIN vdda2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -42.880 1148.380 2962.500 1151.380 ;
END
END vdda2
PIN vdda2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -42.880 968.380 2962.500 971.380 ;
END
END vdda2
PIN vdda2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -42.880 788.380 2962.500 791.380 ;
END
END vdda2
PIN vdda2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -42.880 608.380 2962.500 611.380 ;
END
END vdda2
PIN vdda2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -42.880 428.380 2962.500 431.380 ;
END
END vdda2
PIN vdda2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -42.880 248.380 2962.500 251.380 ;
END
END vdda2
PIN vdda2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -42.880 68.380 2962.500 71.380 ;
END
END vdda2
PIN vdda2
DIRECTION INOUT ;
USE POWER ;
PORT
LAYER met5 ;
RECT -38.180 -32.820 2957.800 -29.820 ;
END
END vdda2
PIN vssa2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 2959.500 -37.520 2962.500 3557.200 ;
END
END vssa2
PIN vssa2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 2853.020 -37.520 2856.020 3557.200 ;
END
END vssa2
PIN vssa2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 2673.020 -37.520 2676.020 3557.200 ;
END
END vssa2
PIN vssa2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 2493.020 -37.520 2496.020 3557.200 ;
END
END vssa2
PIN vssa2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 2313.020 -37.520 2316.020 3557.200 ;
END
END vssa2
PIN vssa2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 2133.020 -37.520 2136.020 3557.200 ;
END
END vssa2
PIN vssa2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 1953.020 2300.000 1956.020 3557.200 ;
END
END vssa2
PIN vssa2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 1773.020 2300.000 1776.020 3557.200 ;
END
END vssa2
PIN vssa2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 1593.020 2300.000 1596.020 3557.200 ;
END
END vssa2
PIN vssa2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 1413.020 2300.000 1416.020 3557.200 ;
END
END vssa2
PIN vssa2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 1233.020 2300.000 1236.020 3557.200 ;
END
END vssa2
PIN vssa2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 1053.020 -37.520 1056.020 3557.200 ;
END
END vssa2
PIN vssa2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 873.020 -37.520 876.020 3557.200 ;
END
END vssa2
PIN vssa2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 693.020 -37.520 696.020 3557.200 ;
END
END vssa2
PIN vssa2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 513.020 -37.520 516.020 3557.200 ;
END
END vssa2
PIN vssa2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 333.020 -37.520 336.020 3557.200 ;
END
END vssa2
PIN vssa2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 153.020 -37.520 156.020 3557.200 ;
END
END vssa2
PIN vssa2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT -42.880 -37.520 -39.880 3557.200 ;
END
END vssa2
PIN vssa2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 1953.020 -37.520 1956.020 1680.000 ;
END
END vssa2
PIN vssa2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 1773.020 -37.520 1776.020 1680.000 ;
END
END vssa2
PIN vssa2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 1593.020 -37.520 1596.020 1680.000 ;
END
END vssa2
PIN vssa2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 1413.020 -37.520 1416.020 1680.000 ;
END
END vssa2
PIN vssa2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 1233.020 -37.520 1236.020 1680.000 ;
END
END vssa2
PIN vssa2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met5 ;
RECT -42.880 3554.200 2962.500 3557.200 ;
END
END vssa2
PIN vssa2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met5 ;
RECT -42.880 3398.380 2962.500 3401.380 ;
END
END vssa2
PIN vssa2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met5 ;
RECT -42.880 3218.380 2962.500 3221.380 ;
END
END vssa2
PIN vssa2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met5 ;
RECT -42.880 3038.380 2962.500 3041.380 ;
END
END vssa2
PIN vssa2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met5 ;
RECT -42.880 2858.380 2962.500 2861.380 ;
END
END vssa2
PIN vssa2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met5 ;
RECT -42.880 2678.380 2962.500 2681.380 ;
END
END vssa2
PIN vssa2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met5 ;
RECT -42.880 2498.380 2962.500 2501.380 ;
END
END vssa2
PIN vssa2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met5 ;
RECT -42.880 2318.380 2962.500 2321.380 ;
END
END vssa2
PIN vssa2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met5 ;
RECT -42.880 2138.380 2962.500 2141.380 ;
END
END vssa2
PIN vssa2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met5 ;
RECT -42.880 1958.380 2962.500 1961.380 ;
END
END vssa2
PIN vssa2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met5 ;
RECT -42.880 1778.380 2962.500 1781.380 ;
END
END vssa2
PIN vssa2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met5 ;
RECT -42.880 1598.380 2962.500 1601.380 ;
END
END vssa2
PIN vssa2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met5 ;
RECT -42.880 1418.380 2962.500 1421.380 ;
END
END vssa2
PIN vssa2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met5 ;
RECT -42.880 1238.380 2962.500 1241.380 ;
END
END vssa2
PIN vssa2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met5 ;
RECT -42.880 1058.380 2962.500 1061.380 ;
END
END vssa2
PIN vssa2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met5 ;
RECT -42.880 878.380 2962.500 881.380 ;
END
END vssa2
PIN vssa2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met5 ;
RECT -42.880 698.380 2962.500 701.380 ;
END
END vssa2
PIN vssa2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met5 ;
RECT -42.880 518.380 2962.500 521.380 ;
END
END vssa2
PIN vssa2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met5 ;
RECT -42.880 338.380 2962.500 341.380 ;
END
END vssa2
PIN vssa2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met5 ;
RECT -42.880 158.380 2962.500 161.380 ;
END
END vssa2
PIN vssa2
DIRECTION INOUT ;
USE GROUND ;
PORT
LAYER met5 ;
RECT -42.880 -37.520 2962.500 -34.520 ;
END
END vssa2
OBS
LAYER li1 ;
RECT 216.805 12.665 2546.875 2300.695 ;
LAYER met1 ;
RECT 2.830 10.640 2914.100 3509.040 ;
LAYER met2 ;
RECT 2.860 3517.320 40.150 3517.600 ;
RECT 41.270 3517.320 121.110 3517.600 ;
RECT 122.230 3517.320 202.070 3517.600 ;
RECT 203.190 3517.320 283.490 3517.600 ;
RECT 284.610 3517.320 364.450 3517.600 ;
RECT 365.570 3517.320 445.410 3517.600 ;
RECT 446.530 3517.320 526.830 3517.600 ;
RECT 527.950 3517.320 607.790 3517.600 ;
RECT 608.910 3517.320 688.750 3517.600 ;
RECT 689.870 3517.320 770.170 3517.600 ;
RECT 771.290 3517.320 851.130 3517.600 ;
RECT 852.250 3517.320 932.090 3517.600 ;
RECT 933.210 3517.320 1013.510 3517.600 ;
RECT 1014.630 3517.320 1094.470 3517.600 ;
RECT 1095.590 3517.320 1175.430 3517.600 ;
RECT 1176.550 3517.320 1256.850 3517.600 ;
RECT 1257.970 3517.320 1337.810 3517.600 ;
RECT 1338.930 3517.320 1418.770 3517.600 ;
RECT 1419.890 3517.320 1500.190 3517.600 ;
RECT 1501.310 3517.320 1581.150 3517.600 ;
RECT 1582.270 3517.320 1662.110 3517.600 ;
RECT 1663.230 3517.320 1743.530 3517.600 ;
RECT 1744.650 3517.320 1824.490 3517.600 ;
RECT 1825.610 3517.320 1905.450 3517.600 ;
RECT 1906.570 3517.320 1986.870 3517.600 ;
RECT 1987.990 3517.320 2067.830 3517.600 ;
RECT 2068.950 3517.320 2148.790 3517.600 ;
RECT 2149.910 3517.320 2230.210 3517.600 ;
RECT 2231.330 3517.320 2311.170 3517.600 ;
RECT 2312.290 3517.320 2392.130 3517.600 ;
RECT 2393.250 3517.320 2473.550 3517.600 ;
RECT 2474.670 3517.320 2554.510 3517.600 ;
RECT 2555.630 3517.320 2635.470 3517.600 ;
RECT 2636.590 3517.320 2716.890 3517.600 ;
RECT 2718.010 3517.320 2797.850 3517.600 ;
RECT 2798.970 3517.320 2878.810 3517.600 ;
RECT 2879.930 3517.320 2911.250 3517.600 ;
RECT 2.860 2.680 2911.250 3517.320 ;
RECT 3.550 2.400 7.950 2.680 ;
RECT 9.070 2.400 13.930 2.680 ;
RECT 15.050 2.400 19.910 2.680 ;
RECT 21.030 2.400 25.890 2.680 ;
RECT 27.010 2.400 31.870 2.680 ;
RECT 32.990 2.400 37.850 2.680 ;
RECT 38.970 2.400 43.830 2.680 ;
RECT 44.950 2.400 49.810 2.680 ;
RECT 50.930 2.400 55.790 2.680 ;
RECT 56.910 2.400 61.770 2.680 ;
RECT 62.890 2.400 67.750 2.680 ;
RECT 68.870 2.400 73.730 2.680 ;
RECT 74.850 2.400 79.710 2.680 ;
RECT 80.830 2.400 85.690 2.680 ;
RECT 86.810 2.400 91.210 2.680 ;
RECT 92.330 2.400 97.190 2.680 ;
RECT 98.310 2.400 103.170 2.680 ;
RECT 104.290 2.400 109.150 2.680 ;
RECT 110.270 2.400 115.130 2.680 ;
RECT 116.250 2.400 121.110 2.680 ;
RECT 122.230 2.400 127.090 2.680 ;
RECT 128.210 2.400 133.070 2.680 ;
RECT 134.190 2.400 139.050 2.680 ;
RECT 140.170 2.400 145.030 2.680 ;
RECT 146.150 2.400 151.010 2.680 ;
RECT 152.130 2.400 156.990 2.680 ;
RECT 158.110 2.400 162.970 2.680 ;
RECT 164.090 2.400 168.950 2.680 ;
RECT 170.070 2.400 174.470 2.680 ;
RECT 175.590 2.400 180.450 2.680 ;
RECT 181.570 2.400 186.430 2.680 ;
RECT 187.550 2.400 192.410 2.680 ;
RECT 193.530 2.400 198.390 2.680 ;
RECT 199.510 2.400 204.370 2.680 ;
RECT 205.490 2.400 210.350 2.680 ;
RECT 211.470 2.400 216.330 2.680 ;
RECT 217.450 2.400 222.310 2.680 ;
RECT 223.430 2.400 228.290 2.680 ;
RECT 229.410 2.400 234.270 2.680 ;
RECT 235.390 2.400 240.250 2.680 ;
RECT 241.370 2.400 246.230 2.680 ;
RECT 247.350 2.400 252.210 2.680 ;
RECT 253.330 2.400 257.730 2.680 ;
RECT 258.850 2.400 263.710 2.680 ;
RECT 264.830 2.400 269.690 2.680 ;
RECT 270.810 2.400 275.670 2.680 ;
RECT 276.790 2.400 281.650 2.680 ;
RECT 282.770 2.400 287.630 2.680 ;
RECT 288.750 2.400 293.610 2.680 ;
RECT 294.730 2.400 299.590 2.680 ;
RECT 300.710 2.400 305.570 2.680 ;
RECT 306.690 2.400 311.550 2.680 ;
RECT 312.670 2.400 317.530 2.680 ;
RECT 318.650 2.400 323.510 2.680 ;
RECT 324.630 2.400 329.490 2.680 ;
RECT 330.610 2.400 335.470 2.680 ;
RECT 336.590 2.400 340.990 2.680 ;
RECT 342.110 2.400 346.970 2.680 ;
RECT 348.090 2.400 352.950 2.680 ;
RECT 354.070 2.400 358.930 2.680 ;
RECT 360.050 2.400 364.910 2.680 ;
RECT 366.030 2.400 370.890 2.680 ;
RECT 372.010 2.400 376.870 2.680 ;
RECT 377.990 2.400 382.850 2.680 ;
RECT 383.970 2.400 388.830 2.680 ;
RECT 389.950 2.400 394.810 2.680 ;
RECT 395.930 2.400 400.790 2.680 ;
RECT 401.910 2.400 406.770 2.680 ;
RECT 407.890 2.400 412.750 2.680 ;
RECT 413.870 2.400 418.730 2.680 ;
RECT 419.850 2.400 424.250 2.680 ;
RECT 425.370 2.400 430.230 2.680 ;
RECT 431.350 2.400 436.210 2.680 ;
RECT 437.330 2.400 442.190 2.680 ;
RECT 443.310 2.400 448.170 2.680 ;
RECT 449.290 2.400 454.150 2.680 ;
RECT 455.270 2.400 460.130 2.680 ;
RECT 461.250 2.400 466.110 2.680 ;
RECT 467.230 2.400 472.090 2.680 ;
RECT 473.210 2.400 478.070 2.680 ;
RECT 479.190 2.400 484.050 2.680 ;
RECT 485.170 2.400 490.030 2.680 ;
RECT 491.150 2.400 496.010 2.680 ;
RECT 497.130 2.400 501.990 2.680 ;
RECT 503.110 2.400 507.510 2.680 ;
RECT 508.630 2.400 513.490 2.680 ;
RECT 514.610 2.400 519.470 2.680 ;
RECT 520.590 2.400 525.450 2.680 ;
RECT 526.570 2.400 531.430 2.680 ;
RECT 532.550 2.400 537.410 2.680 ;
RECT 538.530 2.400 543.390 2.680 ;
RECT 544.510 2.400 549.370 2.680 ;
RECT 550.490 2.400 555.350 2.680 ;
RECT 556.470 2.400 561.330 2.680 ;
RECT 562.450 2.400 567.310 2.680 ;
RECT 568.430 2.400 573.290 2.680 ;
RECT 574.410 2.400 579.270 2.680 ;
RECT 580.390 2.400 585.250 2.680 ;
RECT 586.370 2.400 590.770 2.680 ;
RECT 591.890 2.400 596.750 2.680 ;
RECT 597.870 2.400 602.730 2.680 ;
RECT 603.850 2.400 608.710 2.680 ;
RECT 609.830 2.400 614.690 2.680 ;
RECT 615.810 2.400 620.670 2.680 ;
RECT 621.790 2.400 626.650 2.680 ;
RECT 627.770 2.400 632.630 2.680 ;
RECT 633.750 2.400 638.610 2.680 ;
RECT 639.730 2.400 644.590 2.680 ;
RECT 645.710 2.400 650.570 2.680 ;
RECT 651.690 2.400 656.550 2.680 ;
RECT 657.670 2.400 662.530 2.680 ;
RECT 663.650 2.400 668.510 2.680 ;
RECT 669.630 2.400 674.030 2.680 ;
RECT 675.150 2.400 680.010 2.680 ;
RECT 681.130 2.400 685.990 2.680 ;
RECT 687.110 2.400 691.970 2.680 ;
RECT 693.090 2.400 697.950 2.680 ;
RECT 699.070 2.400 703.930 2.680 ;
RECT 705.050 2.400 709.910 2.680 ;
RECT 711.030 2.400 715.890 2.680 ;
RECT 717.010 2.400 721.870 2.680 ;
RECT 722.990 2.400 727.850 2.680 ;
RECT 728.970 2.400 733.830 2.680 ;
RECT 734.950 2.400 739.810 2.680 ;
RECT 740.930 2.400 745.790 2.680 ;
RECT 746.910 2.400 751.770 2.680 ;
RECT 752.890 2.400 757.290 2.680 ;
RECT 758.410 2.400 763.270 2.680 ;
RECT 764.390 2.400 769.250 2.680 ;
RECT 770.370 2.400 775.230 2.680 ;
RECT 776.350 2.400 781.210 2.680 ;
RECT 782.330 2.400 787.190 2.680 ;
RECT 788.310 2.400 793.170 2.680 ;
RECT 794.290 2.400 799.150 2.680 ;
RECT 800.270 2.400 805.130 2.680 ;
RECT 806.250 2.400 811.110 2.680 ;
RECT 812.230 2.400 817.090 2.680 ;
RECT 818.210 2.400 823.070 2.680 ;
RECT 824.190 2.400 829.050 2.680 ;
RECT 830.170 2.400 835.030 2.680 ;
RECT 836.150 2.400 840.550 2.680 ;
RECT 841.670 2.400 846.530 2.680 ;
RECT 847.650 2.400 852.510 2.680 ;
RECT 853.630 2.400 858.490 2.680 ;
RECT 859.610 2.400 864.470 2.680 ;
RECT 865.590 2.400 870.450 2.680 ;
RECT 871.570 2.400 876.430 2.680 ;
RECT 877.550 2.400 882.410 2.680 ;
RECT 883.530 2.400 888.390 2.680 ;
RECT 889.510 2.400 894.370 2.680 ;
RECT 895.490 2.400 900.350 2.680 ;
RECT 901.470 2.400 906.330 2.680 ;
RECT 907.450 2.400 912.310 2.680 ;
RECT 913.430 2.400 918.290 2.680 ;
RECT 919.410 2.400 923.810 2.680 ;
RECT 924.930 2.400 929.790 2.680 ;
RECT 930.910 2.400 935.770 2.680 ;
RECT 936.890 2.400 941.750 2.680 ;
RECT 942.870 2.400 947.730 2.680 ;
RECT 948.850 2.400 953.710 2.680 ;
RECT 954.830 2.400 959.690 2.680 ;
RECT 960.810 2.400 965.670 2.680 ;
RECT 966.790 2.400 971.650 2.680 ;
RECT 972.770 2.400 977.630 2.680 ;
RECT 978.750 2.400 983.610 2.680 ;
RECT 984.730 2.400 989.590 2.680 ;
RECT 990.710 2.400 995.570 2.680 ;
RECT 996.690 2.400 1001.550 2.680 ;
RECT 1002.670 2.400 1007.070 2.680 ;
RECT 1008.190 2.400 1013.050 2.680 ;
RECT 1014.170 2.400 1019.030 2.680 ;
RECT 1020.150 2.400 1025.010 2.680 ;
RECT 1026.130 2.400 1030.990 2.680 ;
RECT 1032.110 2.400 1036.970 2.680 ;
RECT 1038.090 2.400 1042.950 2.680 ;
RECT 1044.070 2.400 1048.930 2.680 ;
RECT 1050.050 2.400 1054.910 2.680 ;
RECT 1056.030 2.400 1060.890 2.680 ;
RECT 1062.010 2.400 1066.870 2.680 ;
RECT 1067.990 2.400 1072.850 2.680 ;
RECT 1073.970 2.400 1078.830 2.680 ;
RECT 1079.950 2.400 1084.810 2.680 ;
RECT 1085.930 2.400 1090.330 2.680 ;
RECT 1091.450 2.400 1096.310 2.680 ;
RECT 1097.430 2.400 1102.290 2.680 ;
RECT 1103.410 2.400 1108.270 2.680 ;
RECT 1109.390 2.400 1114.250 2.680 ;
RECT 1115.370 2.400 1120.230 2.680 ;
RECT 1121.350 2.400 1126.210 2.680 ;
RECT 1127.330 2.400 1132.190 2.680 ;
RECT 1133.310 2.400 1138.170 2.680 ;
RECT 1139.290 2.400 1144.150 2.680 ;
RECT 1145.270 2.400 1150.130 2.680 ;
RECT 1151.250 2.400 1156.110 2.680 ;
RECT 1157.230 2.400 1162.090 2.680 ;
RECT 1163.210 2.400 1168.070 2.680 ;
RECT 1169.190 2.400 1173.590 2.680 ;
RECT 1174.710 2.400 1179.570 2.680 ;
RECT 1180.690 2.400 1185.550 2.680 ;
RECT 1186.670 2.400 1191.530 2.680 ;
RECT 1192.650 2.400 1197.510 2.680 ;
RECT 1198.630 2.400 1203.490 2.680 ;
RECT 1204.610 2.400 1209.470 2.680 ;
RECT 1210.590 2.400 1215.450 2.680 ;
RECT 1216.570 2.400 1221.430 2.680 ;
RECT 1222.550 2.400 1227.410 2.680 ;
RECT 1228.530 2.400 1233.390 2.680 ;
RECT 1234.510 2.400 1239.370 2.680 ;
RECT 1240.490 2.400 1245.350 2.680 ;
RECT 1246.470 2.400 1251.330 2.680 ;
RECT 1252.450 2.400 1256.850 2.680 ;
RECT 1257.970 2.400 1262.830 2.680 ;
RECT 1263.950 2.400 1268.810 2.680 ;
RECT 1269.930 2.400 1274.790 2.680 ;
RECT 1275.910 2.400 1280.770 2.680 ;
RECT 1281.890 2.400 1286.750 2.680 ;
RECT 1287.870 2.400 1292.730 2.680 ;
RECT 1293.850 2.400 1298.710 2.680 ;
RECT 1299.830 2.400 1304.690 2.680 ;
RECT 1305.810 2.400 1310.670 2.680 ;
RECT 1311.790 2.400 1316.650 2.680 ;
RECT 1317.770 2.400 1322.630 2.680 ;
RECT 1323.750 2.400 1328.610 2.680 ;
RECT 1329.730 2.400 1334.590 2.680 ;
RECT 1335.710 2.400 1340.110 2.680 ;
RECT 1341.230 2.400 1346.090 2.680 ;
RECT 1347.210 2.400 1352.070 2.680 ;
RECT 1353.190 2.400 1358.050 2.680 ;
RECT 1359.170 2.400 1364.030 2.680 ;
RECT 1365.150 2.400 1370.010 2.680 ;
RECT 1371.130 2.400 1375.990 2.680 ;
RECT 1377.110 2.400 1381.970 2.680 ;
RECT 1383.090 2.400 1387.950 2.680 ;
RECT 1389.070 2.400 1393.930 2.680 ;
RECT 1395.050 2.400 1399.910 2.680 ;
RECT 1401.030 2.400 1405.890 2.680 ;
RECT 1407.010 2.400 1411.870 2.680 ;
RECT 1412.990 2.400 1417.850 2.680 ;
RECT 1418.970 2.400 1423.370 2.680 ;
RECT 1424.490 2.400 1429.350 2.680 ;
RECT 1430.470 2.400 1435.330 2.680 ;
RECT 1436.450 2.400 1441.310 2.680 ;
RECT 1442.430 2.400 1447.290 2.680 ;
RECT 1448.410 2.400 1453.270 2.680 ;
RECT 1454.390 2.400 1459.250 2.680 ;
RECT 1460.370 2.400 1465.230 2.680 ;
RECT 1466.350 2.400 1471.210 2.680 ;
RECT 1472.330 2.400 1477.190 2.680 ;
RECT 1478.310 2.400 1483.170 2.680 ;
RECT 1484.290 2.400 1489.150 2.680 ;
RECT 1490.270 2.400 1495.130 2.680 ;
RECT 1496.250 2.400 1501.110 2.680 ;
RECT 1502.230 2.400 1506.630 2.680 ;
RECT 1507.750 2.400 1512.610 2.680 ;
RECT 1513.730 2.400 1518.590 2.680 ;
RECT 1519.710 2.400 1524.570 2.680 ;
RECT 1525.690 2.400 1530.550 2.680 ;
RECT 1531.670 2.400 1536.530 2.680 ;
RECT 1537.650 2.400 1542.510 2.680 ;
RECT 1543.630 2.400 1548.490 2.680 ;
RECT 1549.610 2.400 1554.470 2.680 ;
RECT 1555.590 2.400 1560.450 2.680 ;
RECT 1561.570 2.400 1566.430 2.680 ;
RECT 1567.550 2.400 1572.410 2.680 ;
RECT 1573.530 2.400 1578.390 2.680 ;
RECT 1579.510 2.400 1584.370 2.680 ;
RECT 1585.490 2.400 1589.890 2.680 ;
RECT 1591.010 2.400 1595.870 2.680 ;
RECT 1596.990 2.400 1601.850 2.680 ;
RECT 1602.970 2.400 1607.830 2.680 ;
RECT 1608.950 2.400 1613.810 2.680 ;
RECT 1614.930 2.400 1619.790 2.680 ;
RECT 1620.910 2.400 1625.770 2.680 ;
RECT 1626.890 2.400 1631.750 2.680 ;
RECT 1632.870 2.400 1637.730 2.680 ;
RECT 1638.850 2.400 1643.710 2.680 ;
RECT 1644.830 2.400 1649.690 2.680 ;
RECT 1650.810 2.400 1655.670 2.680 ;
RECT 1656.790 2.400 1661.650 2.680 ;
RECT 1662.770 2.400 1667.630 2.680 ;
RECT 1668.750 2.400 1673.150 2.680 ;
RECT 1674.270 2.400 1679.130 2.680 ;
RECT 1680.250 2.400 1685.110 2.680 ;
RECT 1686.230 2.400 1691.090 2.680 ;
RECT 1692.210 2.400 1697.070 2.680 ;
RECT 1698.190 2.400 1703.050 2.680 ;
RECT 1704.170 2.400 1709.030 2.680 ;
RECT 1710.150 2.400 1715.010 2.680 ;
RECT 1716.130 2.400 1720.990 2.680 ;
RECT 1722.110 2.400 1726.970 2.680 ;
RECT 1728.090 2.400 1732.950 2.680 ;
RECT 1734.070 2.400 1738.930 2.680 ;
RECT 1740.050 2.400 1744.910 2.680 ;
RECT 1746.030 2.400 1750.890 2.680 ;
RECT 1752.010 2.400 1756.410 2.680 ;
RECT 1757.530 2.400 1762.390 2.680 ;
RECT 1763.510 2.400 1768.370 2.680 ;
RECT 1769.490 2.400 1774.350 2.680 ;
RECT 1775.470 2.400 1780.330 2.680 ;
RECT 1781.450 2.400 1786.310 2.680 ;
RECT 1787.430 2.400 1792.290 2.680 ;
RECT 1793.410 2.400 1798.270 2.680 ;
RECT 1799.390 2.400 1804.250 2.680 ;
RECT 1805.370 2.400 1810.230 2.680 ;
RECT 1811.350 2.400 1816.210 2.680 ;
RECT 1817.330 2.400 1822.190 2.680 ;
RECT 1823.310 2.400 1828.170 2.680 ;
RECT 1829.290 2.400 1834.150 2.680 ;
RECT 1835.270 2.400 1839.670 2.680 ;
RECT 1840.790 2.400 1845.650 2.680 ;
RECT 1846.770 2.400 1851.630 2.680 ;
RECT 1852.750 2.400 1857.610 2.680 ;
RECT 1858.730 2.400 1863.590 2.680 ;
RECT 1864.710 2.400 1869.570 2.680 ;
RECT 1870.690 2.400 1875.550 2.680 ;
RECT 1876.670 2.400 1881.530 2.680 ;
RECT 1882.650 2.400 1887.510 2.680 ;
RECT 1888.630 2.400 1893.490 2.680 ;
RECT 1894.610 2.400 1899.470 2.680 ;
RECT 1900.590 2.400 1905.450 2.680 ;
RECT 1906.570 2.400 1911.430 2.680 ;
RECT 1912.550 2.400 1917.410 2.680 ;
RECT 1918.530 2.400 1922.930 2.680 ;
RECT 1924.050 2.400 1928.910 2.680 ;
RECT 1930.030 2.400 1934.890 2.680 ;
RECT 1936.010 2.400 1940.870 2.680 ;
RECT 1941.990 2.400 1946.850 2.680 ;
RECT 1947.970 2.400 1952.830 2.680 ;
RECT 1953.950 2.400 1958.810 2.680 ;
RECT 1959.930 2.400 1964.790 2.680 ;
RECT 1965.910 2.400 1970.770 2.680 ;
RECT 1971.890 2.400 1976.750 2.680 ;
RECT 1977.870 2.400 1982.730 2.680 ;
RECT 1983.850 2.400 1988.710 2.680 ;
RECT 1989.830 2.400 1994.690 2.680 ;
RECT 1995.810 2.400 2000.670 2.680 ;
RECT 2001.790 2.400 2006.190 2.680 ;
RECT 2007.310 2.400 2012.170 2.680 ;
RECT 2013.290 2.400 2018.150 2.680 ;
RECT 2019.270 2.400 2024.130 2.680 ;
RECT 2025.250 2.400 2030.110 2.680 ;
RECT 2031.230 2.400 2036.090 2.680 ;
RECT 2037.210 2.400 2042.070 2.680 ;
RECT 2043.190 2.400 2048.050 2.680 ;
RECT 2049.170 2.400 2054.030 2.680 ;
RECT 2055.150 2.400 2060.010 2.680 ;
RECT 2061.130 2.400 2065.990 2.680 ;
RECT 2067.110 2.400 2071.970 2.680 ;
RECT 2073.090 2.400 2077.950 2.680 ;
RECT 2079.070 2.400 2083.930 2.680 ;
RECT 2085.050 2.400 2089.450 2.680 ;
RECT 2090.570 2.400 2095.430 2.680 ;
RECT 2096.550 2.400 2101.410 2.680 ;
RECT 2102.530 2.400 2107.390 2.680 ;
RECT 2108.510 2.400 2113.370 2.680 ;
RECT 2114.490 2.400 2119.350 2.680 ;
RECT 2120.470 2.400 2125.330 2.680 ;
RECT 2126.450 2.400 2131.310 2.680 ;
RECT 2132.430 2.400 2137.290 2.680 ;
RECT 2138.410 2.400 2143.270 2.680 ;
RECT 2144.390 2.400 2149.250 2.680 ;
RECT 2150.370 2.400 2155.230 2.680 ;
RECT 2156.350 2.400 2161.210 2.680 ;
RECT 2162.330 2.400 2167.190 2.680 ;
RECT 2168.310 2.400 2172.710 2.680 ;
RECT 2173.830 2.400 2178.690 2.680 ;
RECT 2179.810 2.400 2184.670 2.680 ;
RECT 2185.790 2.400 2190.650 2.680 ;
RECT 2191.770 2.400 2196.630 2.680 ;
RECT 2197.750 2.400 2202.610 2.680 ;
RECT 2203.730 2.400 2208.590 2.680 ;
RECT 2209.710 2.400 2214.570 2.680 ;
RECT 2215.690 2.400 2220.550 2.680 ;
RECT 2221.670 2.400 2226.530 2.680 ;
RECT 2227.650 2.400 2232.510 2.680 ;
RECT 2233.630 2.400 2238.490 2.680 ;
RECT 2239.610 2.400 2244.470 2.680 ;
RECT 2245.590 2.400 2250.450 2.680 ;
RECT 2251.570 2.400 2255.970 2.680 ;
RECT 2257.090 2.400 2261.950 2.680 ;
RECT 2263.070 2.400 2267.930 2.680 ;
RECT 2269.050 2.400 2273.910 2.680 ;
RECT 2275.030 2.400 2279.890 2.680 ;
RECT 2281.010 2.400 2285.870 2.680 ;
RECT 2286.990 2.400 2291.850 2.680 ;
RECT 2292.970 2.400 2297.830 2.680 ;
RECT 2298.950 2.400 2303.810 2.680 ;
RECT 2304.930 2.400 2309.790 2.680 ;
RECT 2310.910 2.400 2315.770 2.680 ;
RECT 2316.890 2.400 2321.750 2.680 ;
RECT 2322.870 2.400 2327.730 2.680 ;
RECT 2328.850 2.400 2333.710 2.680 ;
RECT 2334.830 2.400 2339.230 2.680 ;
RECT 2340.350 2.400 2345.210 2.680 ;
RECT 2346.330 2.400 2351.190 2.680 ;
RECT 2352.310 2.400 2357.170 2.680 ;
RECT 2358.290 2.400 2363.150 2.680 ;
RECT 2364.270 2.400 2369.130 2.680 ;
RECT 2370.250 2.400 2375.110 2.680 ;
RECT 2376.230 2.400 2381.090 2.680 ;
RECT 2382.210 2.400 2387.070 2.680 ;
RECT 2388.190 2.400 2393.050 2.680 ;
RECT 2394.170 2.400 2399.030 2.680 ;
RECT 2400.150 2.400 2405.010 2.680 ;
RECT 2406.130 2.400 2410.990 2.680 ;
RECT 2412.110 2.400 2416.970 2.680 ;
RECT 2418.090 2.400 2422.490 2.680 ;
RECT 2423.610 2.400 2428.470 2.680 ;
RECT 2429.590 2.400 2434.450 2.680 ;
RECT 2435.570 2.400 2440.430 2.680 ;
RECT 2441.550 2.400 2446.410 2.680 ;
RECT 2447.530 2.400 2452.390 2.680 ;
RECT 2453.510 2.400 2458.370 2.680 ;
RECT 2459.490 2.400 2464.350 2.680 ;
RECT 2465.470 2.400 2470.330 2.680 ;
RECT 2471.450 2.400 2476.310 2.680 ;
RECT 2477.430 2.400 2482.290 2.680 ;
RECT 2483.410 2.400 2488.270 2.680 ;
RECT 2489.390 2.400 2494.250 2.680 ;
RECT 2495.370 2.400 2500.230 2.680 ;
RECT 2501.350 2.400 2505.750 2.680 ;
RECT 2506.870 2.400 2511.730 2.680 ;
RECT 2512.850 2.400 2517.710 2.680 ;
RECT 2518.830 2.400 2523.690 2.680 ;
RECT 2524.810 2.400 2529.670 2.680 ;
RECT 2530.790 2.400 2535.650 2.680 ;
RECT 2536.770 2.400 2541.630 2.680 ;
RECT 2542.750 2.400 2547.610 2.680 ;
RECT 2548.730 2.400 2553.590 2.680 ;
RECT 2554.710 2.400 2559.570 2.680 ;
RECT 2560.690 2.400 2565.550 2.680 ;
RECT 2566.670 2.400 2571.530 2.680 ;
RECT 2572.650 2.400 2577.510 2.680 ;
RECT 2578.630 2.400 2583.490 2.680 ;
RECT 2584.610 2.400 2589.010 2.680 ;
RECT 2590.130 2.400 2594.990 2.680 ;
RECT 2596.110 2.400 2600.970 2.680 ;
RECT 2602.090 2.400 2606.950 2.680 ;
RECT 2608.070 2.400 2612.930 2.680 ;
RECT 2614.050 2.400 2618.910 2.680 ;
RECT 2620.030 2.400 2624.890 2.680 ;
RECT 2626.010 2.400 2630.870 2.680 ;
RECT 2631.990 2.400 2636.850 2.680 ;
RECT 2637.970 2.400 2642.830 2.680 ;
RECT 2643.950 2.400 2648.810 2.680 ;
RECT 2649.930 2.400 2654.790 2.680 ;
RECT 2655.910 2.400 2660.770 2.680 ;
RECT 2661.890 2.400 2666.750 2.680 ;
RECT 2667.870 2.400 2672.270 2.680 ;
RECT 2673.390 2.400 2678.250 2.680 ;
RECT 2679.370 2.400 2684.230 2.680 ;
RECT 2685.350 2.400 2690.210 2.680 ;
RECT 2691.330 2.400 2696.190 2.680 ;
RECT 2697.310 2.400 2702.170 2.680 ;
RECT 2703.290 2.400 2708.150 2.680 ;
RECT 2709.270 2.400 2714.130 2.680 ;
RECT 2715.250 2.400 2720.110 2.680 ;
RECT 2721.230 2.400 2726.090 2.680 ;
RECT 2727.210 2.400 2732.070 2.680 ;
RECT 2733.190 2.400 2738.050 2.680 ;
RECT 2739.170 2.400 2744.030 2.680 ;
RECT 2745.150 2.400 2750.010 2.680 ;
RECT 2751.130 2.400 2755.530 2.680 ;
RECT 2756.650 2.400 2761.510 2.680 ;
RECT 2762.630 2.400 2767.490 2.680 ;
RECT 2768.610 2.400 2773.470 2.680 ;
RECT 2774.590 2.400 2779.450 2.680 ;
RECT 2780.570 2.400 2785.430 2.680 ;
RECT 2786.550 2.400 2791.410 2.680 ;
RECT 2792.530 2.400 2797.390 2.680 ;
RECT 2798.510 2.400 2803.370 2.680 ;
RECT 2804.490 2.400 2809.350 2.680 ;
RECT 2810.470 2.400 2815.330 2.680 ;
RECT 2816.450 2.400 2821.310 2.680 ;
RECT 2822.430 2.400 2827.290 2.680 ;
RECT 2828.410 2.400 2833.270 2.680 ;
RECT 2834.390 2.400 2838.790 2.680 ;
RECT 2839.910 2.400 2844.770 2.680 ;
RECT 2845.890 2.400 2850.750 2.680 ;
RECT 2851.870 2.400 2856.730 2.680 ;
RECT 2857.850 2.400 2862.710 2.680 ;
RECT 2863.830 2.400 2868.690 2.680 ;
RECT 2869.810 2.400 2874.670 2.680 ;
RECT 2875.790 2.400 2880.650 2.680 ;
RECT 2881.770 2.400 2886.630 2.680 ;
RECT 2887.750 2.400 2892.610 2.680 ;
RECT 2893.730 2.400 2898.590 2.680 ;
RECT 2899.710 2.400 2904.570 2.680 ;
RECT 2905.690 2.400 2910.550 2.680 ;
LAYER met3 ;
RECT 2.400 3491.100 2917.600 3508.965 ;
RECT 2.400 3489.100 2917.200 3491.100 ;
RECT 2.400 3482.940 2917.600 3489.100 ;
RECT 2.800 3480.940 2917.600 3482.940 ;
RECT 2.400 3432.620 2917.600 3480.940 ;
RECT 2.400 3430.620 2917.200 3432.620 ;
RECT 2.400 3408.140 2917.600 3430.620 ;
RECT 2.800 3406.140 2917.600 3408.140 ;
RECT 2.400 3374.140 2917.600 3406.140 ;
RECT 2.400 3372.140 2917.200 3374.140 ;
RECT 2.400 3333.340 2917.600 3372.140 ;
RECT 2.800 3331.340 2917.600 3333.340 ;
RECT 2.400 3314.980 2917.600 3331.340 ;
RECT 2.400 3312.980 2917.200 3314.980 ;
RECT 2.400 3258.540 2917.600 3312.980 ;
RECT 2.800 3256.540 2917.600 3258.540 ;
RECT 2.400 3256.500 2917.600 3256.540 ;
RECT 2.400 3254.500 2917.200 3256.500 ;
RECT 2.400 3198.020 2917.600 3254.500 ;
RECT 2.400 3196.020 2917.200 3198.020 ;
RECT 2.400 3183.740 2917.600 3196.020 ;
RECT 2.800 3181.740 2917.600 3183.740 ;
RECT 2.400 3139.540 2917.600 3181.740 ;
RECT 2.400 3137.540 2917.200 3139.540 ;
RECT 2.400 3108.940 2917.600 3137.540 ;
RECT 2.800 3106.940 2917.600 3108.940 ;
RECT 2.400 3080.380 2917.600 3106.940 ;
RECT 2.400 3078.380 2917.200 3080.380 ;
RECT 2.400 3034.140 2917.600 3078.380 ;
RECT 2.800 3032.140 2917.600 3034.140 ;
RECT 2.400 3021.900 2917.600 3032.140 ;
RECT 2.400 3019.900 2917.200 3021.900 ;
RECT 2.400 2963.420 2917.600 3019.900 ;
RECT 2.400 2961.420 2917.200 2963.420 ;
RECT 2.400 2958.660 2917.600 2961.420 ;
RECT 2.800 2956.660 2917.600 2958.660 ;
RECT 2.400 2904.940 2917.600 2956.660 ;
RECT 2.400 2902.940 2917.200 2904.940 ;
RECT 2.400 2883.860 2917.600 2902.940 ;
RECT 2.800 2881.860 2917.600 2883.860 ;
RECT 2.400 2845.780 2917.600 2881.860 ;
RECT 2.400 2843.780 2917.200 2845.780 ;
RECT 2.400 2809.060 2917.600 2843.780 ;
RECT 2.800 2807.060 2917.600 2809.060 ;
RECT 2.400 2787.300 2917.600 2807.060 ;
RECT 2.400 2785.300 2917.200 2787.300 ;
RECT 2.400 2734.260 2917.600 2785.300 ;
RECT 2.800 2732.260 2917.600 2734.260 ;
RECT 2.400 2728.820 2917.600 2732.260 ;
RECT 2.400 2726.820 2917.200 2728.820 ;
RECT 2.400 2670.340 2917.600 2726.820 ;
RECT 2.400 2668.340 2917.200 2670.340 ;
RECT 2.400 2659.460 2917.600 2668.340 ;
RECT 2.800 2657.460 2917.600 2659.460 ;
RECT 2.400 2611.180 2917.600 2657.460 ;
RECT 2.400 2609.180 2917.200 2611.180 ;
RECT 2.400 2584.660 2917.600 2609.180 ;
RECT 2.800 2582.660 2917.600 2584.660 ;
RECT 2.400 2552.700 2917.600 2582.660 ;
RECT 2.400 2550.700 2917.200 2552.700 ;
RECT 2.400 2509.860 2917.600 2550.700 ;
RECT 2.800 2507.860 2917.600 2509.860 ;
RECT 2.400 2494.220 2917.600 2507.860 ;
RECT 2.400 2492.220 2917.200 2494.220 ;
RECT 2.400 2435.060 2917.600 2492.220 ;
RECT 2.800 2433.060 2917.200 2435.060 ;
RECT 2.400 2376.580 2917.600 2433.060 ;
RECT 2.400 2374.580 2917.200 2376.580 ;
RECT 2.400 2359.580 2917.600 2374.580 ;
RECT 2.800 2357.580 2917.600 2359.580 ;
RECT 2.400 2318.100 2917.600 2357.580 ;
RECT 2.400 2316.100 2917.200 2318.100 ;
RECT 2.400 2284.780 2917.600 2316.100 ;
RECT 2.800 2282.780 2917.600 2284.780 ;
RECT 2.400 2259.620 2917.600 2282.780 ;
RECT 2.400 2257.620 2917.200 2259.620 ;
RECT 2.400 2209.980 2917.600 2257.620 ;
RECT 2.800 2207.980 2917.600 2209.980 ;
RECT 2.400 2200.460 2917.600 2207.980 ;
RECT 2.400 2198.460 2917.200 2200.460 ;
RECT 2.400 2141.980 2917.600 2198.460 ;
RECT 2.400 2139.980 2917.200 2141.980 ;
RECT 2.400 2135.180 2917.600 2139.980 ;
RECT 2.800 2133.180 2917.600 2135.180 ;
RECT 2.400 2083.500 2917.600 2133.180 ;
RECT 2.400 2081.500 2917.200 2083.500 ;
RECT 2.400 2060.380 2917.600 2081.500 ;
RECT 2.800 2058.380 2917.600 2060.380 ;
RECT 2.400 2025.020 2917.600 2058.380 ;
RECT 2.400 2023.020 2917.200 2025.020 ;
RECT 2.400 1985.580 2917.600 2023.020 ;
RECT 2.800 1983.580 2917.600 1985.580 ;
RECT 2.400 1965.860 2917.600 1983.580 ;
RECT 2.400 1963.860 2917.200 1965.860 ;
RECT 2.400 1910.780 2917.600 1963.860 ;
RECT 2.800 1908.780 2917.600 1910.780 ;
RECT 2.400 1907.380 2917.600 1908.780 ;
RECT 2.400 1905.380 2917.200 1907.380 ;
RECT 2.400 1848.900 2917.600 1905.380 ;
RECT 2.400 1846.900 2917.200 1848.900 ;
RECT 2.400 1835.980 2917.600 1846.900 ;
RECT 2.800 1833.980 2917.600 1835.980 ;
RECT 2.400 1790.420 2917.600 1833.980 ;
RECT 2.400 1788.420 2917.200 1790.420 ;
RECT 2.400 1760.500 2917.600 1788.420 ;
RECT 2.800 1758.500 2917.600 1760.500 ;
RECT 2.400 1731.260 2917.600 1758.500 ;
RECT 2.400 1729.260 2917.200 1731.260 ;
RECT 2.400 1685.700 2917.600 1729.260 ;
RECT 2.800 1683.700 2917.600 1685.700 ;
RECT 2.400 1672.780 2917.600 1683.700 ;
RECT 2.400 1670.780 2917.200 1672.780 ;
RECT 2.400 1614.300 2917.600 1670.780 ;
RECT 2.400 1612.300 2917.200 1614.300 ;
RECT 2.400 1610.900 2917.600 1612.300 ;
RECT 2.800 1608.900 2917.600 1610.900 ;
RECT 2.400 1555.140 2917.600 1608.900 ;
RECT 2.400 1553.140 2917.200 1555.140 ;
RECT 2.400 1536.100 2917.600 1553.140 ;
RECT 2.800 1534.100 2917.600 1536.100 ;
RECT 2.400 1496.660 2917.600 1534.100 ;
RECT 2.400 1494.660 2917.200 1496.660 ;
RECT 2.400 1461.300 2917.600 1494.660 ;
RECT 2.800 1459.300 2917.600 1461.300 ;
RECT 2.400 1438.180 2917.600 1459.300 ;
RECT 2.400 1436.180 2917.200 1438.180 ;
RECT 2.400 1386.500 2917.600 1436.180 ;
RECT 2.800 1384.500 2917.600 1386.500 ;
RECT 2.400 1379.700 2917.600 1384.500 ;
RECT 2.400 1377.700 2917.200 1379.700 ;
RECT 2.400 1320.540 2917.600 1377.700 ;
RECT 2.400 1318.540 2917.200 1320.540 ;
RECT 2.400 1311.700 2917.600 1318.540 ;
RECT 2.800 1309.700 2917.600 1311.700 ;
RECT 2.400 1262.060 2917.600 1309.700 ;
RECT 2.400 1260.060 2917.200 1262.060 ;
RECT 2.400 1236.900 2917.600 1260.060 ;
RECT 2.800 1234.900 2917.600 1236.900 ;
RECT 2.400 1203.580 2917.600 1234.900 ;
RECT 2.400 1201.580 2917.200 1203.580 ;
RECT 2.400 1161.420 2917.600 1201.580 ;
RECT 2.800 1159.420 2917.600 1161.420 ;
RECT 2.400 1145.100 2917.600 1159.420 ;
RECT 2.400 1143.100 2917.200 1145.100 ;
RECT 2.400 1086.620 2917.600 1143.100 ;
RECT 2.800 1085.940 2917.600 1086.620 ;
RECT 2.800 1084.620 2917.200 1085.940 ;
RECT 2.400 1083.940 2917.200 1084.620 ;
RECT 2.400 1027.460 2917.600 1083.940 ;
RECT 2.400 1025.460 2917.200 1027.460 ;
RECT 2.400 1011.820 2917.600 1025.460 ;
RECT 2.800 1009.820 2917.600 1011.820 ;
RECT 2.400 968.980 2917.600 1009.820 ;
RECT 2.400 966.980 2917.200 968.980 ;
RECT 2.400 937.020 2917.600 966.980 ;
RECT 2.800 935.020 2917.600 937.020 ;
RECT 2.400 910.500 2917.600 935.020 ;
RECT 2.400 908.500 2917.200 910.500 ;
RECT 2.400 862.220 2917.600 908.500 ;
RECT 2.800 860.220 2917.600 862.220 ;
RECT 2.400 851.340 2917.600 860.220 ;
RECT 2.400 849.340 2917.200 851.340 ;
RECT 2.400 792.860 2917.600 849.340 ;
RECT 2.400 790.860 2917.200 792.860 ;
RECT 2.400 787.420 2917.600 790.860 ;
RECT 2.800 785.420 2917.600 787.420 ;
RECT 2.400 734.380 2917.600 785.420 ;
RECT 2.400 732.380 2917.200 734.380 ;
RECT 2.400 712.620 2917.600 732.380 ;
RECT 2.800 710.620 2917.600 712.620 ;
RECT 2.400 675.220 2917.600 710.620 ;
RECT 2.400 673.220 2917.200 675.220 ;
RECT 2.400 637.820 2917.600 673.220 ;
RECT 2.800 635.820 2917.600 637.820 ;
RECT 2.400 616.740 2917.600 635.820 ;
RECT 2.400 614.740 2917.200 616.740 ;
RECT 2.400 562.340 2917.600 614.740 ;
RECT 2.800 560.340 2917.600 562.340 ;
RECT 2.400 558.260 2917.600 560.340 ;
RECT 2.400 556.260 2917.200 558.260 ;
RECT 2.400 499.780 2917.600 556.260 ;
RECT 2.400 497.780 2917.200 499.780 ;
RECT 2.400 487.540 2917.600 497.780 ;
RECT 2.800 485.540 2917.600 487.540 ;
RECT 2.400 440.620 2917.600 485.540 ;
RECT 2.400 438.620 2917.200 440.620 ;
RECT 2.400 412.740 2917.600 438.620 ;
RECT 2.800 410.740 2917.600 412.740 ;
RECT 2.400 382.140 2917.600 410.740 ;
RECT 2.400 380.140 2917.200 382.140 ;
RECT 2.400 337.940 2917.600 380.140 ;
RECT 2.800 335.940 2917.600 337.940 ;
RECT 2.400 323.660 2917.600 335.940 ;
RECT 2.400 321.660 2917.200 323.660 ;
RECT 2.400 265.180 2917.600 321.660 ;
RECT 2.400 263.180 2917.200 265.180 ;
RECT 2.400 263.140 2917.600 263.180 ;
RECT 2.800 261.140 2917.600 263.140 ;
RECT 2.400 206.020 2917.600 261.140 ;
RECT 2.400 204.020 2917.200 206.020 ;
RECT 2.400 188.340 2917.600 204.020 ;
RECT 2.800 186.340 2917.600 188.340 ;
RECT 2.400 147.540 2917.600 186.340 ;
RECT 2.400 145.540 2917.200 147.540 ;
RECT 2.400 113.540 2917.600 145.540 ;
RECT 2.800 111.540 2917.600 113.540 ;
RECT 2.400 89.060 2917.600 111.540 ;
RECT 2.400 87.060 2917.200 89.060 ;
RECT 2.400 38.740 2917.600 87.060 ;
RECT 2.800 36.740 2917.600 38.740 ;
RECT 2.400 30.580 2917.600 36.740 ;
RECT 2.400 28.580 2917.200 30.580 ;
RECT 2.400 10.715 2917.600 28.580 ;
LAYER met4 ;
RECT 1186.175 1680.640 2056.825 2298.905 ;
RECT 1186.175 1680.400 1268.620 1680.640 ;
RECT 1186.175 39.615 1196.620 1680.400 ;
RECT 1200.420 39.615 1214.620 1680.400 ;
RECT 1218.420 39.615 1232.620 1680.400 ;
RECT 1236.420 39.615 1268.620 1680.400 ;
RECT 1272.420 1680.400 1358.620 1680.640 ;
RECT 1272.420 39.615 1286.620 1680.400 ;
RECT 1290.420 39.615 1304.620 1680.400 ;
RECT 1308.420 39.615 1322.620 1680.400 ;
RECT 1326.420 39.615 1358.620 1680.400 ;
RECT 1362.420 1680.400 1448.620 1680.640 ;
RECT 1362.420 39.615 1376.620 1680.400 ;
RECT 1380.420 39.615 1394.620 1680.400 ;
RECT 1398.420 39.615 1412.620 1680.400 ;
RECT 1416.420 39.615 1448.620 1680.400 ;
RECT 1452.420 1680.400 1538.620 1680.640 ;
RECT 1452.420 39.615 1466.620 1680.400 ;
RECT 1470.420 39.615 1484.620 1680.400 ;
RECT 1488.420 39.615 1502.620 1680.400 ;
RECT 1506.420 39.615 1538.620 1680.400 ;
RECT 1542.420 1680.400 1628.620 1680.640 ;
RECT 1542.420 39.615 1556.620 1680.400 ;
RECT 1560.420 39.615 1574.620 1680.400 ;
RECT 1578.420 39.615 1592.620 1680.400 ;
RECT 1596.420 39.615 1628.620 1680.400 ;
RECT 1632.420 1680.400 1718.620 1680.640 ;
RECT 1632.420 39.615 1646.620 1680.400 ;
RECT 1650.420 39.615 1664.620 1680.400 ;
RECT 1668.420 39.615 1682.620 1680.400 ;
RECT 1686.420 39.615 1718.620 1680.400 ;
RECT 1722.420 1680.400 1808.620 1680.640 ;
RECT 1722.420 39.615 1736.620 1680.400 ;
RECT 1740.420 39.615 1754.620 1680.400 ;
RECT 1758.420 39.615 1772.620 1680.400 ;
RECT 1776.420 39.615 1808.620 1680.400 ;
RECT 1812.420 1680.400 1898.620 1680.640 ;
RECT 1812.420 39.615 1826.620 1680.400 ;
RECT 1830.420 39.615 1844.620 1680.400 ;
RECT 1848.420 39.615 1862.620 1680.400 ;
RECT 1866.420 39.615 1898.620 1680.400 ;
RECT 1902.420 1680.400 1988.620 1680.640 ;
RECT 1902.420 39.615 1916.620 1680.400 ;
RECT 1920.420 39.615 1934.620 1680.400 ;
RECT 1938.420 39.615 1952.620 1680.400 ;
RECT 1956.420 39.615 1988.620 1680.400 ;
RECT 1992.420 1680.400 2056.825 1680.640 ;
RECT 1992.420 39.615 2006.620 1680.400 ;
RECT 2010.420 39.615 2024.620 1680.400 ;
RECT 2028.420 39.615 2042.620 1680.400 ;
RECT 2046.420 39.615 2056.825 1680.400 ;
LAYER met5 ;
RECT -42.880 3557.200 -39.880 3557.210 ;
RECT 153.020 3557.200 156.020 3557.210 ;
RECT 333.020 3557.200 336.020 3557.210 ;
RECT 513.020 3557.200 516.020 3557.210 ;
RECT 693.020 3557.200 696.020 3557.210 ;
RECT 873.020 3557.200 876.020 3557.210 ;
RECT 1053.020 3557.200 1056.020 3557.210 ;
RECT 1233.020 3557.200 1236.020 3557.210 ;
RECT 1413.020 3557.200 1416.020 3557.210 ;
RECT 1593.020 3557.200 1596.020 3557.210 ;
RECT 1773.020 3557.200 1776.020 3557.210 ;
RECT 1953.020 3557.200 1956.020 3557.210 ;
RECT 2133.020 3557.200 2136.020 3557.210 ;
RECT 2313.020 3557.200 2316.020 3557.210 ;
RECT 2493.020 3557.200 2496.020 3557.210 ;
RECT 2673.020 3557.200 2676.020 3557.210 ;
RECT 2853.020 3557.200 2856.020 3557.210 ;
RECT 2959.500 3557.200 2962.500 3557.210 ;
RECT -42.880 3554.190 -39.880 3554.200 ;
RECT 153.020 3554.190 156.020 3554.200 ;
RECT 333.020 3554.190 336.020 3554.200 ;
RECT 513.020 3554.190 516.020 3554.200 ;
RECT 693.020 3554.190 696.020 3554.200 ;
RECT 873.020 3554.190 876.020 3554.200 ;
RECT 1053.020 3554.190 1056.020 3554.200 ;
RECT 1233.020 3554.190 1236.020 3554.200 ;
RECT 1413.020 3554.190 1416.020 3554.200 ;
RECT 1593.020 3554.190 1596.020 3554.200 ;
RECT 1773.020 3554.190 1776.020 3554.200 ;
RECT 1953.020 3554.190 1956.020 3554.200 ;
RECT 2133.020 3554.190 2136.020 3554.200 ;
RECT 2313.020 3554.190 2316.020 3554.200 ;
RECT 2493.020 3554.190 2496.020 3554.200 ;
RECT 2673.020 3554.190 2676.020 3554.200 ;
RECT 2853.020 3554.190 2856.020 3554.200 ;
RECT 2959.500 3554.190 2962.500 3554.200 ;
RECT -38.180 3552.500 -35.180 3552.510 ;
RECT 63.020 3552.500 66.020 3552.510 ;
RECT 243.020 3552.500 246.020 3552.510 ;
RECT 423.020 3552.500 426.020 3552.510 ;
RECT 603.020 3552.500 606.020 3552.510 ;
RECT 783.020 3552.500 786.020 3552.510 ;
RECT 963.020 3552.500 966.020 3552.510 ;
RECT 1143.020 3552.500 1146.020 3552.510 ;
RECT 1323.020 3552.500 1326.020 3552.510 ;
RECT 1503.020 3552.500 1506.020 3552.510 ;
RECT 1683.020 3552.500 1686.020 3552.510 ;
RECT 1863.020 3552.500 1866.020 3552.510 ;
RECT 2043.020 3552.500 2046.020 3552.510 ;
RECT 2223.020 3552.500 2226.020 3552.510 ;
RECT 2403.020 3552.500 2406.020 3552.510 ;
RECT 2583.020 3552.500 2586.020 3552.510 ;
RECT 2763.020 3552.500 2766.020 3552.510 ;
RECT 2954.800 3552.500 2957.800 3552.510 ;
RECT -38.180 3549.490 -35.180 3549.500 ;
RECT 63.020 3549.490 66.020 3549.500 ;
RECT 243.020 3549.490 246.020 3549.500 ;
RECT 423.020 3549.490 426.020 3549.500 ;
RECT 603.020 3549.490 606.020 3549.500 ;
RECT 783.020 3549.490 786.020 3549.500 ;
RECT 963.020 3549.490 966.020 3549.500 ;
RECT 1143.020 3549.490 1146.020 3549.500 ;
RECT 1323.020 3549.490 1326.020 3549.500 ;
RECT 1503.020 3549.490 1506.020 3549.500 ;
RECT 1683.020 3549.490 1686.020 3549.500 ;
RECT 1863.020 3549.490 1866.020 3549.500 ;
RECT 2043.020 3549.490 2046.020 3549.500 ;
RECT 2223.020 3549.490 2226.020 3549.500 ;
RECT 2403.020 3549.490 2406.020 3549.500 ;
RECT 2583.020 3549.490 2586.020 3549.500 ;
RECT 2763.020 3549.490 2766.020 3549.500 ;
RECT 2954.800 3549.490 2957.800 3549.500 ;
RECT -33.480 3547.800 -30.480 3547.810 ;
RECT 135.020 3547.800 138.020 3547.810 ;
RECT 315.020 3547.800 318.020 3547.810 ;
RECT 495.020 3547.800 498.020 3547.810 ;
RECT 675.020 3547.800 678.020 3547.810 ;
RECT 855.020 3547.800 858.020 3547.810 ;
RECT 1035.020 3547.800 1038.020 3547.810 ;
RECT 1215.020 3547.800 1218.020 3547.810 ;
RECT 1395.020 3547.800 1398.020 3547.810 ;
RECT 1575.020 3547.800 1578.020 3547.810 ;
RECT 1755.020 3547.800 1758.020 3547.810 ;
RECT 1935.020 3547.800 1938.020 3547.810 ;
RECT 2115.020 3547.800 2118.020 3547.810 ;
RECT 2295.020 3547.800 2298.020 3547.810 ;
RECT 2475.020 3547.800 2478.020 3547.810 ;
RECT 2655.020 3547.800 2658.020 3547.810 ;
RECT 2835.020 3547.800 2838.020 3547.810 ;
RECT 2950.100 3547.800 2953.100 3547.810 ;
RECT -33.480 3544.790 -30.480 3544.800 ;
RECT 135.020 3544.790 138.020 3544.800 ;
RECT 315.020 3544.790 318.020 3544.800 ;
RECT 495.020 3544.790 498.020 3544.800 ;
RECT 675.020 3544.790 678.020 3544.800 ;
RECT 855.020 3544.790 858.020 3544.800 ;
RECT 1035.020 3544.790 1038.020 3544.800 ;
RECT 1215.020 3544.790 1218.020 3544.800 ;
RECT 1395.020 3544.790 1398.020 3544.800 ;
RECT 1575.020 3544.790 1578.020 3544.800 ;
RECT 1755.020 3544.790 1758.020 3544.800 ;
RECT 1935.020 3544.790 1938.020 3544.800 ;
RECT 2115.020 3544.790 2118.020 3544.800 ;
RECT 2295.020 3544.790 2298.020 3544.800 ;
RECT 2475.020 3544.790 2478.020 3544.800 ;
RECT 2655.020 3544.790 2658.020 3544.800 ;
RECT 2835.020 3544.790 2838.020 3544.800 ;
RECT 2950.100 3544.790 2953.100 3544.800 ;
RECT -28.780 3543.100 -25.780 3543.110 ;
RECT 45.020 3543.100 48.020 3543.110 ;
RECT 225.020 3543.100 228.020 3543.110 ;
RECT 405.020 3543.100 408.020 3543.110 ;
RECT 585.020 3543.100 588.020 3543.110 ;
RECT 765.020 3543.100 768.020 3543.110 ;
RECT 945.020 3543.100 948.020 3543.110 ;
RECT 1125.020 3543.100 1128.020 3543.110 ;
RECT 1305.020 3543.100 1308.020 3543.110 ;
RECT 1485.020 3543.100 1488.020 3543.110 ;
RECT 1665.020 3543.100 1668.020 3543.110 ;
RECT 1845.020 3543.100 1848.020 3543.110 ;
RECT 2025.020 3543.100 2028.020 3543.110 ;
RECT 2205.020 3543.100 2208.020 3543.110 ;
RECT 2385.020 3543.100 2388.020 3543.110 ;
RECT 2565.020 3543.100 2568.020 3543.110 ;
RECT 2745.020 3543.100 2748.020 3543.110 ;
RECT 2945.400 3543.100 2948.400 3543.110 ;
RECT -28.780 3540.090 -25.780 3540.100 ;
RECT 45.020 3540.090 48.020 3540.100 ;
RECT 225.020 3540.090 228.020 3540.100 ;
RECT 405.020 3540.090 408.020 3540.100 ;
RECT 585.020 3540.090 588.020 3540.100 ;
RECT 765.020 3540.090 768.020 3540.100 ;
RECT 945.020 3540.090 948.020 3540.100 ;
RECT 1125.020 3540.090 1128.020 3540.100 ;
RECT 1305.020 3540.090 1308.020 3540.100 ;
RECT 1485.020 3540.090 1488.020 3540.100 ;
RECT 1665.020 3540.090 1668.020 3540.100 ;
RECT 1845.020 3540.090 1848.020 3540.100 ;
RECT 2025.020 3540.090 2028.020 3540.100 ;
RECT 2205.020 3540.090 2208.020 3540.100 ;
RECT 2385.020 3540.090 2388.020 3540.100 ;
RECT 2565.020 3540.090 2568.020 3540.100 ;
RECT 2745.020 3540.090 2748.020 3540.100 ;
RECT 2945.400 3540.090 2948.400 3540.100 ;
RECT -24.080 3538.400 -21.080 3538.410 ;
RECT 117.020 3538.400 120.020 3538.410 ;
RECT 297.020 3538.400 300.020 3538.410 ;
RECT 477.020 3538.400 480.020 3538.410 ;
RECT 657.020 3538.400 660.020 3538.410 ;
RECT 837.020 3538.400 840.020 3538.410 ;
RECT 1017.020 3538.400 1020.020 3538.410 ;
RECT 1197.020 3538.400 1200.020 3538.410 ;
RECT 1377.020 3538.400 1380.020 3538.410 ;
RECT 1557.020 3538.400 1560.020 3538.410 ;
RECT 1737.020 3538.400 1740.020 3538.410 ;
RECT 1917.020 3538.400 1920.020 3538.410 ;
RECT 2097.020 3538.400 2100.020 3538.410 ;
RECT 2277.020 3538.400 2280.020 3538.410 ;
RECT 2457.020 3538.400 2460.020 3538.410 ;
RECT 2637.020 3538.400 2640.020 3538.410 ;
RECT 2817.020 3538.400 2820.020 3538.410 ;
RECT 2940.700 3538.400 2943.700 3538.410 ;
RECT -24.080 3535.390 -21.080 3535.400 ;
RECT 117.020 3535.390 120.020 3535.400 ;
RECT 297.020 3535.390 300.020 3535.400 ;
RECT 477.020 3535.390 480.020 3535.400 ;
RECT 657.020 3535.390 660.020 3535.400 ;
RECT 837.020 3535.390 840.020 3535.400 ;
RECT 1017.020 3535.390 1020.020 3535.400 ;
RECT 1197.020 3535.390 1200.020 3535.400 ;
RECT 1377.020 3535.390 1380.020 3535.400 ;
RECT 1557.020 3535.390 1560.020 3535.400 ;
RECT 1737.020 3535.390 1740.020 3535.400 ;
RECT 1917.020 3535.390 1920.020 3535.400 ;
RECT 2097.020 3535.390 2100.020 3535.400 ;
RECT 2277.020 3535.390 2280.020 3535.400 ;
RECT 2457.020 3535.390 2460.020 3535.400 ;
RECT 2637.020 3535.390 2640.020 3535.400 ;
RECT 2817.020 3535.390 2820.020 3535.400 ;
RECT 2940.700 3535.390 2943.700 3535.400 ;
RECT -19.380 3533.700 -16.380 3533.710 ;
RECT 27.020 3533.700 30.020 3533.710 ;
RECT 207.020 3533.700 210.020 3533.710 ;
RECT 387.020 3533.700 390.020 3533.710 ;
RECT 567.020 3533.700 570.020 3533.710 ;
RECT 747.020 3533.700 750.020 3533.710 ;
RECT 927.020 3533.700 930.020 3533.710 ;
RECT 1107.020 3533.700 1110.020 3533.710 ;
RECT 1287.020 3533.700 1290.020 3533.710 ;
RECT 1467.020 3533.700 1470.020 3533.710 ;
RECT 1647.020 3533.700 1650.020 3533.710 ;
RECT 1827.020 3533.700 1830.020 3533.710 ;
RECT 2007.020 3533.700 2010.020 3533.710 ;
RECT 2187.020 3533.700 2190.020 3533.710 ;
RECT 2367.020 3533.700 2370.020 3533.710 ;
RECT 2547.020 3533.700 2550.020 3533.710 ;
RECT 2727.020 3533.700 2730.020 3533.710 ;
RECT 2907.020 3533.700 2910.020 3533.710 ;
RECT 2936.000 3533.700 2939.000 3533.710 ;
RECT -19.380 3530.690 -16.380 3530.700 ;
RECT 27.020 3530.690 30.020 3530.700 ;
RECT 207.020 3530.690 210.020 3530.700 ;
RECT 387.020 3530.690 390.020 3530.700 ;
RECT 567.020 3530.690 570.020 3530.700 ;
RECT 747.020 3530.690 750.020 3530.700 ;
RECT 927.020 3530.690 930.020 3530.700 ;
RECT 1107.020 3530.690 1110.020 3530.700 ;
RECT 1287.020 3530.690 1290.020 3530.700 ;
RECT 1467.020 3530.690 1470.020 3530.700 ;
RECT 1647.020 3530.690 1650.020 3530.700 ;
RECT 1827.020 3530.690 1830.020 3530.700 ;
RECT 2007.020 3530.690 2010.020 3530.700 ;
RECT 2187.020 3530.690 2190.020 3530.700 ;
RECT 2367.020 3530.690 2370.020 3530.700 ;
RECT 2547.020 3530.690 2550.020 3530.700 ;
RECT 2727.020 3530.690 2730.020 3530.700 ;
RECT 2907.020 3530.690 2910.020 3530.700 ;
RECT 2936.000 3530.690 2939.000 3530.700 ;
RECT -14.680 3529.000 -11.680 3529.010 ;
RECT 99.020 3529.000 102.020 3529.010 ;
RECT 279.020 3529.000 282.020 3529.010 ;
RECT 459.020 3529.000 462.020 3529.010 ;
RECT 639.020 3529.000 642.020 3529.010 ;
RECT 819.020 3529.000 822.020 3529.010 ;
RECT 999.020 3529.000 1002.020 3529.010 ;
RECT 1179.020 3529.000 1182.020 3529.010 ;
RECT 1359.020 3529.000 1362.020 3529.010 ;
RECT 1539.020 3529.000 1542.020 3529.010 ;
RECT 1719.020 3529.000 1722.020 3529.010 ;
RECT 1899.020 3529.000 1902.020 3529.010 ;
RECT 2079.020 3529.000 2082.020 3529.010 ;
RECT 2259.020 3529.000 2262.020 3529.010 ;
RECT 2439.020 3529.000 2442.020 3529.010 ;
RECT 2619.020 3529.000 2622.020 3529.010 ;
RECT 2799.020 3529.000 2802.020 3529.010 ;
RECT 2931.300 3529.000 2934.300 3529.010 ;
RECT -14.680 3525.990 -11.680 3526.000 ;
RECT 99.020 3525.990 102.020 3526.000 ;
RECT 279.020 3525.990 282.020 3526.000 ;
RECT 459.020 3525.990 462.020 3526.000 ;
RECT 639.020 3525.990 642.020 3526.000 ;
RECT 819.020 3525.990 822.020 3526.000 ;
RECT 999.020 3525.990 1002.020 3526.000 ;
RECT 1179.020 3525.990 1182.020 3526.000 ;
RECT 1359.020 3525.990 1362.020 3526.000 ;
RECT 1539.020 3525.990 1542.020 3526.000 ;
RECT 1719.020 3525.990 1722.020 3526.000 ;
RECT 1899.020 3525.990 1902.020 3526.000 ;
RECT 2079.020 3525.990 2082.020 3526.000 ;
RECT 2259.020 3525.990 2262.020 3526.000 ;
RECT 2439.020 3525.990 2442.020 3526.000 ;
RECT 2619.020 3525.990 2622.020 3526.000 ;
RECT 2799.020 3525.990 2802.020 3526.000 ;
RECT 2931.300 3525.990 2934.300 3526.000 ;
RECT -9.980 3524.300 -6.980 3524.310 ;
RECT 9.020 3524.300 12.020 3524.310 ;
RECT 189.020 3524.300 192.020 3524.310 ;
RECT 369.020 3524.300 372.020 3524.310 ;
RECT 549.020 3524.300 552.020 3524.310 ;
RECT 729.020 3524.300 732.020 3524.310 ;
RECT 909.020 3524.300 912.020 3524.310 ;
RECT 1089.020 3524.300 1092.020 3524.310 ;
RECT 1269.020 3524.300 1272.020 3524.310 ;
RECT 1449.020 3524.300 1452.020 3524.310 ;
RECT 1629.020 3524.300 1632.020 3524.310 ;
RECT 1809.020 3524.300 1812.020 3524.310 ;
RECT 1989.020 3524.300 1992.020 3524.310 ;
RECT 2169.020 3524.300 2172.020 3524.310 ;
RECT 2349.020 3524.300 2352.020 3524.310 ;
RECT 2529.020 3524.300 2532.020 3524.310 ;
RECT 2709.020 3524.300 2712.020 3524.310 ;
RECT 2889.020 3524.300 2892.020 3524.310 ;
RECT 2926.600 3524.300 2929.600 3524.310 ;
RECT -9.980 3521.290 -6.980 3521.300 ;
RECT 9.020 3521.290 12.020 3521.300 ;
RECT 189.020 3521.290 192.020 3521.300 ;
RECT 369.020 3521.290 372.020 3521.300 ;
RECT 549.020 3521.290 552.020 3521.300 ;
RECT 729.020 3521.290 732.020 3521.300 ;
RECT 909.020 3521.290 912.020 3521.300 ;
RECT 1089.020 3521.290 1092.020 3521.300 ;
RECT 1269.020 3521.290 1272.020 3521.300 ;
RECT 1449.020 3521.290 1452.020 3521.300 ;
RECT 1629.020 3521.290 1632.020 3521.300 ;
RECT 1809.020 3521.290 1812.020 3521.300 ;
RECT 1989.020 3521.290 1992.020 3521.300 ;
RECT 2169.020 3521.290 2172.020 3521.300 ;
RECT 2349.020 3521.290 2352.020 3521.300 ;
RECT 2529.020 3521.290 2532.020 3521.300 ;
RECT 2709.020 3521.290 2712.020 3521.300 ;
RECT 2889.020 3521.290 2892.020 3521.300 ;
RECT 2926.600 3521.290 2929.600 3521.300 ;
RECT 0.000 3492.980 2920.000 3519.700 ;
RECT -38.180 3491.380 -35.180 3491.390 ;
RECT 2954.800 3491.380 2957.800 3491.390 ;
RECT -38.180 3488.370 -35.180 3488.380 ;
RECT 2954.800 3488.370 2957.800 3488.380 ;
RECT 0.000 3474.980 2920.000 3486.780 ;
RECT -28.780 3473.380 -25.780 3473.390 ;
RECT 2945.400 3473.380 2948.400 3473.390 ;
RECT -28.780 3470.370 -25.780 3470.380 ;
RECT 2945.400 3470.370 2948.400 3470.380 ;
RECT 0.000 3456.980 2920.000 3468.780 ;
RECT -19.380 3455.380 -16.380 3455.390 ;
RECT 2936.000 3455.380 2939.000 3455.390 ;
RECT -19.380 3452.370 -16.380 3452.380 ;
RECT 2936.000 3452.370 2939.000 3452.380 ;
RECT 0.000 3438.740 2920.000 3450.780 ;
RECT -9.980 3437.140 -6.980 3437.150 ;
RECT 2926.600 3437.140 2929.600 3437.150 ;
RECT -9.980 3434.130 -6.980 3434.140 ;
RECT 2926.600 3434.130 2929.600 3434.140 ;
RECT 0.000 3402.980 2920.000 3432.540 ;
RECT -42.880 3401.380 -39.880 3401.390 ;
RECT 2959.500 3401.380 2962.500 3401.390 ;
RECT -42.880 3398.370 -39.880 3398.380 ;
RECT 2959.500 3398.370 2962.500 3398.380 ;
RECT 0.000 3384.980 2920.000 3396.780 ;
RECT -33.480 3383.380 -30.480 3383.390 ;
RECT 2950.100 3383.380 2953.100 3383.390 ;
RECT -33.480 3380.370 -30.480 3380.380 ;
RECT 2950.100 3380.370 2953.100 3380.380 ;
RECT 0.000 3366.980 2920.000 3378.780 ;
RECT -24.080 3365.380 -21.080 3365.390 ;
RECT 2940.700 3365.380 2943.700 3365.390 ;
RECT -24.080 3362.370 -21.080 3362.380 ;
RECT 2940.700 3362.370 2943.700 3362.380 ;
RECT 0.000 3348.740 2920.000 3360.780 ;
RECT -14.680 3347.140 -11.680 3347.150 ;
RECT 2931.300 3347.140 2934.300 3347.150 ;
RECT -14.680 3344.130 -11.680 3344.140 ;
RECT 2931.300 3344.130 2934.300 3344.140 ;
RECT 0.000 3312.980 2920.000 3342.540 ;
RECT -38.180 3311.380 -35.180 3311.390 ;
RECT 2954.800 3311.380 2957.800 3311.390 ;
RECT -38.180 3308.370 -35.180 3308.380 ;
RECT 2954.800 3308.370 2957.800 3308.380 ;
RECT 0.000 3294.980 2920.000 3306.780 ;
RECT -28.780 3293.380 -25.780 3293.390 ;
RECT 2945.400 3293.380 2948.400 3293.390 ;
RECT -28.780 3290.370 -25.780 3290.380 ;
RECT 2945.400 3290.370 2948.400 3290.380 ;
RECT 0.000 3276.980 2920.000 3288.780 ;
RECT -19.380 3275.380 -16.380 3275.390 ;
RECT 2936.000 3275.380 2939.000 3275.390 ;
RECT -19.380 3272.370 -16.380 3272.380 ;
RECT 2936.000 3272.370 2939.000 3272.380 ;
RECT 0.000 3258.740 2920.000 3270.780 ;
RECT -9.980 3257.140 -6.980 3257.150 ;
RECT 2926.600 3257.140 2929.600 3257.150 ;
RECT -9.980 3254.130 -6.980 3254.140 ;
RECT 2926.600 3254.130 2929.600 3254.140 ;
RECT 0.000 3222.980 2920.000 3252.540 ;
RECT -42.880 3221.380 -39.880 3221.390 ;
RECT 2959.500 3221.380 2962.500 3221.390 ;
RECT -42.880 3218.370 -39.880 3218.380 ;
RECT 2959.500 3218.370 2962.500 3218.380 ;
RECT 0.000 3204.980 2920.000 3216.780 ;
RECT -33.480 3203.380 -30.480 3203.390 ;
RECT 2950.100 3203.380 2953.100 3203.390 ;
RECT -33.480 3200.370 -30.480 3200.380 ;
RECT 2950.100 3200.370 2953.100 3200.380 ;
RECT 0.000 3186.980 2920.000 3198.780 ;
RECT -24.080 3185.380 -21.080 3185.390 ;
RECT 2940.700 3185.380 2943.700 3185.390 ;
RECT -24.080 3182.370 -21.080 3182.380 ;
RECT 2940.700 3182.370 2943.700 3182.380 ;
RECT 0.000 3168.740 2920.000 3180.780 ;
RECT -14.680 3167.140 -11.680 3167.150 ;
RECT 2931.300 3167.140 2934.300 3167.150 ;
RECT -14.680 3164.130 -11.680 3164.140 ;
RECT 2931.300 3164.130 2934.300 3164.140 ;
RECT 0.000 3132.980 2920.000 3162.540 ;
RECT -38.180 3131.380 -35.180 3131.390 ;
RECT 2954.800 3131.380 2957.800 3131.390 ;
RECT -38.180 3128.370 -35.180 3128.380 ;
RECT 2954.800 3128.370 2957.800 3128.380 ;
RECT 0.000 3114.980 2920.000 3126.780 ;
RECT -28.780 3113.380 -25.780 3113.390 ;
RECT 2945.400 3113.380 2948.400 3113.390 ;
RECT -28.780 3110.370 -25.780 3110.380 ;
RECT 2945.400 3110.370 2948.400 3110.380 ;
RECT 0.000 3096.980 2920.000 3108.780 ;
RECT -19.380 3095.380 -16.380 3095.390 ;
RECT 2936.000 3095.380 2939.000 3095.390 ;
RECT -19.380 3092.370 -16.380 3092.380 ;
RECT 2936.000 3092.370 2939.000 3092.380 ;
RECT 0.000 3078.740 2920.000 3090.780 ;
RECT -9.980 3077.140 -6.980 3077.150 ;
RECT 2926.600 3077.140 2929.600 3077.150 ;
RECT -9.980 3074.130 -6.980 3074.140 ;
RECT 2926.600 3074.130 2929.600 3074.140 ;
RECT 0.000 3042.980 2920.000 3072.540 ;
RECT -42.880 3041.380 -39.880 3041.390 ;
RECT 2959.500 3041.380 2962.500 3041.390 ;
RECT -42.880 3038.370 -39.880 3038.380 ;
RECT 2959.500 3038.370 2962.500 3038.380 ;
RECT 0.000 3024.980 2920.000 3036.780 ;
RECT -33.480 3023.380 -30.480 3023.390 ;
RECT 2950.100 3023.380 2953.100 3023.390 ;
RECT -33.480 3020.370 -30.480 3020.380 ;
RECT 2950.100 3020.370 2953.100 3020.380 ;
RECT 0.000 3006.980 2920.000 3018.780 ;
RECT -24.080 3005.380 -21.080 3005.390 ;
RECT 2940.700 3005.380 2943.700 3005.390 ;
RECT -24.080 3002.370 -21.080 3002.380 ;
RECT 2940.700 3002.370 2943.700 3002.380 ;
RECT 0.000 2988.740 2920.000 3000.780 ;
RECT -14.680 2987.140 -11.680 2987.150 ;
RECT 2931.300 2987.140 2934.300 2987.150 ;
RECT -14.680 2984.130 -11.680 2984.140 ;
RECT 2931.300 2984.130 2934.300 2984.140 ;
RECT 0.000 2952.980 2920.000 2982.540 ;
RECT -38.180 2951.380 -35.180 2951.390 ;
RECT 2954.800 2951.380 2957.800 2951.390 ;
RECT -38.180 2948.370 -35.180 2948.380 ;
RECT 2954.800 2948.370 2957.800 2948.380 ;
RECT 0.000 2934.980 2920.000 2946.780 ;
RECT -28.780 2933.380 -25.780 2933.390 ;
RECT 2945.400 2933.380 2948.400 2933.390 ;
RECT -28.780 2930.370 -25.780 2930.380 ;
RECT 2945.400 2930.370 2948.400 2930.380 ;
RECT 0.000 2916.980 2920.000 2928.780 ;
RECT -19.380 2915.380 -16.380 2915.390 ;
RECT 2936.000 2915.380 2939.000 2915.390 ;
RECT -19.380 2912.370 -16.380 2912.380 ;
RECT 2936.000 2912.370 2939.000 2912.380 ;
RECT 0.000 2898.740 2920.000 2910.780 ;
RECT -9.980 2897.140 -6.980 2897.150 ;
RECT 2926.600 2897.140 2929.600 2897.150 ;
RECT -9.980 2894.130 -6.980 2894.140 ;
RECT 2926.600 2894.130 2929.600 2894.140 ;
RECT 0.000 2862.980 2920.000 2892.540 ;
RECT -42.880 2861.380 -39.880 2861.390 ;
RECT 2959.500 2861.380 2962.500 2861.390 ;
RECT -42.880 2858.370 -39.880 2858.380 ;
RECT 2959.500 2858.370 2962.500 2858.380 ;
RECT 0.000 2844.980 2920.000 2856.780 ;
RECT -33.480 2843.380 -30.480 2843.390 ;
RECT 2950.100 2843.380 2953.100 2843.390 ;
RECT -33.480 2840.370 -30.480 2840.380 ;
RECT 2950.100 2840.370 2953.100 2840.380 ;
RECT 0.000 2826.980 2920.000 2838.780 ;
RECT -24.080 2825.380 -21.080 2825.390 ;
RECT 2940.700 2825.380 2943.700 2825.390 ;
RECT -24.080 2822.370 -21.080 2822.380 ;
RECT 2940.700 2822.370 2943.700 2822.380 ;
RECT 0.000 2808.740 2920.000 2820.780 ;
RECT -14.680 2807.140 -11.680 2807.150 ;
RECT 2931.300 2807.140 2934.300 2807.150 ;
RECT -14.680 2804.130 -11.680 2804.140 ;
RECT 2931.300 2804.130 2934.300 2804.140 ;
RECT 0.000 2772.980 2920.000 2802.540 ;
RECT -38.180 2771.380 -35.180 2771.390 ;
RECT 2954.800 2771.380 2957.800 2771.390 ;
RECT -38.180 2768.370 -35.180 2768.380 ;
RECT 2954.800 2768.370 2957.800 2768.380 ;
RECT 0.000 2754.980 2920.000 2766.780 ;
RECT -28.780 2753.380 -25.780 2753.390 ;
RECT 2945.400 2753.380 2948.400 2753.390 ;
RECT -28.780 2750.370 -25.780 2750.380 ;
RECT 2945.400 2750.370 2948.400 2750.380 ;
RECT 0.000 2736.980 2920.000 2748.780 ;
RECT -19.380 2735.380 -16.380 2735.390 ;
RECT 2936.000 2735.380 2939.000 2735.390 ;
RECT -19.380 2732.370 -16.380 2732.380 ;
RECT 2936.000 2732.370 2939.000 2732.380 ;
RECT 0.000 2718.740 2920.000 2730.780 ;
RECT -9.980 2717.140 -6.980 2717.150 ;
RECT 2926.600 2717.140 2929.600 2717.150 ;
RECT -9.980 2714.130 -6.980 2714.140 ;
RECT 2926.600 2714.130 2929.600 2714.140 ;
RECT 0.000 2682.980 2920.000 2712.540 ;
RECT -42.880 2681.380 -39.880 2681.390 ;
RECT 2959.500 2681.380 2962.500 2681.390 ;
RECT -42.880 2678.370 -39.880 2678.380 ;
RECT 2959.500 2678.370 2962.500 2678.380 ;
RECT 0.000 2664.980 2920.000 2676.780 ;
RECT -33.480 2663.380 -30.480 2663.390 ;
RECT 2950.100 2663.380 2953.100 2663.390 ;
RECT -33.480 2660.370 -30.480 2660.380 ;
RECT 2950.100 2660.370 2953.100 2660.380 ;
RECT 0.000 2646.980 2920.000 2658.780 ;
RECT -24.080 2645.380 -21.080 2645.390 ;
RECT 2940.700 2645.380 2943.700 2645.390 ;
RECT -24.080 2642.370 -21.080 2642.380 ;
RECT 2940.700 2642.370 2943.700 2642.380 ;
RECT 0.000 2628.740 2920.000 2640.780 ;
RECT -14.680 2627.140 -11.680 2627.150 ;
RECT 2931.300 2627.140 2934.300 2627.150 ;
RECT -14.680 2624.130 -11.680 2624.140 ;
RECT 2931.300 2624.130 2934.300 2624.140 ;
RECT 0.000 2592.980 2920.000 2622.540 ;
RECT -38.180 2591.380 -35.180 2591.390 ;
RECT 2954.800 2591.380 2957.800 2591.390 ;
RECT -38.180 2588.370 -35.180 2588.380 ;
RECT 2954.800 2588.370 2957.800 2588.380 ;
RECT 0.000 2574.980 2920.000 2586.780 ;
RECT -28.780 2573.380 -25.780 2573.390 ;
RECT 2945.400 2573.380 2948.400 2573.390 ;
RECT -28.780 2570.370 -25.780 2570.380 ;
RECT 2945.400 2570.370 2948.400 2570.380 ;
RECT 0.000 2556.980 2920.000 2568.780 ;
RECT -19.380 2555.380 -16.380 2555.390 ;
RECT 2936.000 2555.380 2939.000 2555.390 ;
RECT -19.380 2552.370 -16.380 2552.380 ;
RECT 2936.000 2552.370 2939.000 2552.380 ;
RECT 0.000 2538.740 2920.000 2550.780 ;
RECT -9.980 2537.140 -6.980 2537.150 ;
RECT 2926.600 2537.140 2929.600 2537.150 ;
RECT -9.980 2534.130 -6.980 2534.140 ;
RECT 2926.600 2534.130 2929.600 2534.140 ;
RECT 0.000 2502.980 2920.000 2532.540 ;
RECT -42.880 2501.380 -39.880 2501.390 ;
RECT 2959.500 2501.380 2962.500 2501.390 ;
RECT -42.880 2498.370 -39.880 2498.380 ;
RECT 2959.500 2498.370 2962.500 2498.380 ;
RECT 0.000 2484.980 2920.000 2496.780 ;
RECT -33.480 2483.380 -30.480 2483.390 ;
RECT 2950.100 2483.380 2953.100 2483.390 ;
RECT -33.480 2480.370 -30.480 2480.380 ;
RECT 2950.100 2480.370 2953.100 2480.380 ;
RECT 0.000 2466.980 2920.000 2478.780 ;
RECT -24.080 2465.380 -21.080 2465.390 ;
RECT 2940.700 2465.380 2943.700 2465.390 ;
RECT -24.080 2462.370 -21.080 2462.380 ;
RECT 2940.700 2462.370 2943.700 2462.380 ;
RECT 0.000 2448.740 2920.000 2460.780 ;
RECT -14.680 2447.140 -11.680 2447.150 ;
RECT 2931.300 2447.140 2934.300 2447.150 ;
RECT -14.680 2444.130 -11.680 2444.140 ;
RECT 2931.300 2444.130 2934.300 2444.140 ;
RECT 0.000 2412.980 2920.000 2442.540 ;
RECT -38.180 2411.380 -35.180 2411.390 ;
RECT 2954.800 2411.380 2957.800 2411.390 ;
RECT -38.180 2408.370 -35.180 2408.380 ;
RECT 2954.800 2408.370 2957.800 2408.380 ;
RECT 0.000 2394.980 2920.000 2406.780 ;
RECT -28.780 2393.380 -25.780 2393.390 ;
RECT 2945.400 2393.380 2948.400 2393.390 ;
RECT -28.780 2390.370 -25.780 2390.380 ;
RECT 2945.400 2390.370 2948.400 2390.380 ;
RECT 0.000 2376.980 2920.000 2388.780 ;
RECT -19.380 2375.380 -16.380 2375.390 ;
RECT 2936.000 2375.380 2939.000 2375.390 ;
RECT -19.380 2372.370 -16.380 2372.380 ;
RECT 2936.000 2372.370 2939.000 2372.380 ;
RECT 0.000 2358.740 2920.000 2370.780 ;
RECT -9.980 2357.140 -6.980 2357.150 ;
RECT 2926.600 2357.140 2929.600 2357.150 ;
RECT -9.980 2354.130 -6.980 2354.140 ;
RECT 2926.600 2354.130 2929.600 2354.140 ;
RECT 0.000 2322.980 2920.000 2352.540 ;
RECT -42.880 2321.380 -39.880 2321.390 ;
RECT 2959.500 2321.380 2962.500 2321.390 ;
RECT -42.880 2318.370 -39.880 2318.380 ;
RECT 2959.500 2318.370 2962.500 2318.380 ;
RECT 0.000 2304.980 2920.000 2316.780 ;
RECT -33.480 2303.380 -30.480 2303.390 ;
RECT 2950.100 2303.380 2953.100 2303.390 ;
RECT -33.480 2300.370 -30.480 2300.380 ;
RECT 2950.100 2300.370 2953.100 2300.380 ;
RECT 0.000 2286.980 2920.000 2298.780 ;
RECT -24.080 2285.380 -21.080 2285.390 ;
RECT 2940.700 2285.380 2943.700 2285.390 ;
RECT -24.080 2282.370 -21.080 2282.380 ;
RECT 2940.700 2282.370 2943.700 2282.380 ;
RECT 0.000 2268.740 2920.000 2280.780 ;
RECT -14.680 2267.140 -11.680 2267.150 ;
RECT 2931.300 2267.140 2934.300 2267.150 ;
RECT -14.680 2264.130 -11.680 2264.140 ;
RECT 2931.300 2264.130 2934.300 2264.140 ;
RECT 0.000 2232.980 2920.000 2262.540 ;
RECT -38.180 2231.380 -35.180 2231.390 ;
RECT 2954.800 2231.380 2957.800 2231.390 ;
RECT -38.180 2228.370 -35.180 2228.380 ;
RECT 2954.800 2228.370 2957.800 2228.380 ;
RECT 0.000 2214.980 2920.000 2226.780 ;
RECT -28.780 2213.380 -25.780 2213.390 ;
RECT 2945.400 2213.380 2948.400 2213.390 ;
RECT -28.780 2210.370 -25.780 2210.380 ;
RECT 2945.400 2210.370 2948.400 2210.380 ;
RECT 0.000 2196.980 2920.000 2208.780 ;
RECT -19.380 2195.380 -16.380 2195.390 ;
RECT 2936.000 2195.380 2939.000 2195.390 ;
RECT -19.380 2192.370 -16.380 2192.380 ;
RECT 2936.000 2192.370 2939.000 2192.380 ;
RECT 0.000 2178.740 2920.000 2190.780 ;
RECT -9.980 2177.140 -6.980 2177.150 ;
RECT 2926.600 2177.140 2929.600 2177.150 ;
RECT -9.980 2174.130 -6.980 2174.140 ;
RECT 2926.600 2174.130 2929.600 2174.140 ;
RECT 0.000 2142.980 2920.000 2172.540 ;
RECT -42.880 2141.380 -39.880 2141.390 ;
RECT 2959.500 2141.380 2962.500 2141.390 ;
RECT -42.880 2138.370 -39.880 2138.380 ;
RECT 2959.500 2138.370 2962.500 2138.380 ;
RECT 0.000 2124.980 2920.000 2136.780 ;
RECT -33.480 2123.380 -30.480 2123.390 ;
RECT 2950.100 2123.380 2953.100 2123.390 ;
RECT -33.480 2120.370 -30.480 2120.380 ;
RECT 2950.100 2120.370 2953.100 2120.380 ;
RECT 0.000 2106.980 2920.000 2118.780 ;
RECT -24.080 2105.380 -21.080 2105.390 ;
RECT 2940.700 2105.380 2943.700 2105.390 ;
RECT -24.080 2102.370 -21.080 2102.380 ;
RECT 2940.700 2102.370 2943.700 2102.380 ;
RECT 0.000 2088.740 2920.000 2100.780 ;
RECT -14.680 2087.140 -11.680 2087.150 ;
RECT 2931.300 2087.140 2934.300 2087.150 ;
RECT -14.680 2084.130 -11.680 2084.140 ;
RECT 2931.300 2084.130 2934.300 2084.140 ;
RECT 0.000 2052.980 2920.000 2082.540 ;
RECT -38.180 2051.380 -35.180 2051.390 ;
RECT 2954.800 2051.380 2957.800 2051.390 ;
RECT -38.180 2048.370 -35.180 2048.380 ;
RECT 2954.800 2048.370 2957.800 2048.380 ;
RECT 0.000 2034.980 2920.000 2046.780 ;
RECT -28.780 2033.380 -25.780 2033.390 ;
RECT 2945.400 2033.380 2948.400 2033.390 ;
RECT -28.780 2030.370 -25.780 2030.380 ;
RECT 2945.400 2030.370 2948.400 2030.380 ;
RECT 0.000 2016.980 2920.000 2028.780 ;
RECT -19.380 2015.380 -16.380 2015.390 ;
RECT 2936.000 2015.380 2939.000 2015.390 ;
RECT -19.380 2012.370 -16.380 2012.380 ;
RECT 2936.000 2012.370 2939.000 2012.380 ;
RECT 0.000 1998.740 2920.000 2010.780 ;
RECT -9.980 1997.140 -6.980 1997.150 ;
RECT 2926.600 1997.140 2929.600 1997.150 ;
RECT -9.980 1994.130 -6.980 1994.140 ;
RECT 2926.600 1994.130 2929.600 1994.140 ;
RECT 0.000 1962.980 2920.000 1992.540 ;
RECT -42.880 1961.380 -39.880 1961.390 ;
RECT 2959.500 1961.380 2962.500 1961.390 ;
RECT -42.880 1958.370 -39.880 1958.380 ;
RECT 2959.500 1958.370 2962.500 1958.380 ;
RECT 0.000 1944.980 2920.000 1956.780 ;
RECT -33.480 1943.380 -30.480 1943.390 ;
RECT 2950.100 1943.380 2953.100 1943.390 ;
RECT -33.480 1940.370 -30.480 1940.380 ;
RECT 2950.100 1940.370 2953.100 1940.380 ;
RECT 0.000 1926.980 2920.000 1938.780 ;
RECT -24.080 1925.380 -21.080 1925.390 ;
RECT 2940.700 1925.380 2943.700 1925.390 ;
RECT -24.080 1922.370 -21.080 1922.380 ;
RECT 2940.700 1922.370 2943.700 1922.380 ;
RECT 0.000 1908.740 2920.000 1920.780 ;
RECT -14.680 1907.140 -11.680 1907.150 ;
RECT 2931.300 1907.140 2934.300 1907.150 ;
RECT -14.680 1904.130 -11.680 1904.140 ;
RECT 2931.300 1904.130 2934.300 1904.140 ;
RECT 0.000 1872.980 2920.000 1902.540 ;
RECT -38.180 1871.380 -35.180 1871.390 ;
RECT 2954.800 1871.380 2957.800 1871.390 ;
RECT -38.180 1868.370 -35.180 1868.380 ;
RECT 2954.800 1868.370 2957.800 1868.380 ;
RECT 0.000 1854.980 2920.000 1866.780 ;
RECT -28.780 1853.380 -25.780 1853.390 ;
RECT 2945.400 1853.380 2948.400 1853.390 ;
RECT -28.780 1850.370 -25.780 1850.380 ;
RECT 2945.400 1850.370 2948.400 1850.380 ;
RECT 0.000 1836.980 2920.000 1848.780 ;
RECT -19.380 1835.380 -16.380 1835.390 ;
RECT 2936.000 1835.380 2939.000 1835.390 ;
RECT -19.380 1832.370 -16.380 1832.380 ;
RECT 2936.000 1832.370 2939.000 1832.380 ;
RECT 0.000 1818.740 2920.000 1830.780 ;
RECT -9.980 1817.140 -6.980 1817.150 ;
RECT 2926.600 1817.140 2929.600 1817.150 ;
RECT -9.980 1814.130 -6.980 1814.140 ;
RECT 2926.600 1814.130 2929.600 1814.140 ;
RECT 0.000 1782.980 2920.000 1812.540 ;
RECT -42.880 1781.380 -39.880 1781.390 ;
RECT 2959.500 1781.380 2962.500 1781.390 ;
RECT -42.880 1778.370 -39.880 1778.380 ;
RECT 2959.500 1778.370 2962.500 1778.380 ;
RECT 0.000 1764.980 2920.000 1776.780 ;
RECT -33.480 1763.380 -30.480 1763.390 ;
RECT 2950.100 1763.380 2953.100 1763.390 ;
RECT -33.480 1760.370 -30.480 1760.380 ;
RECT 2950.100 1760.370 2953.100 1760.380 ;
RECT 0.000 1746.980 2920.000 1758.780 ;
RECT -24.080 1745.380 -21.080 1745.390 ;
RECT 2940.700 1745.380 2943.700 1745.390 ;
RECT -24.080 1742.370 -21.080 1742.380 ;
RECT 2940.700 1742.370 2943.700 1742.380 ;
RECT 0.000 1728.740 2920.000 1740.780 ;
RECT -14.680 1727.140 -11.680 1727.150 ;
RECT 2931.300 1727.140 2934.300 1727.150 ;
RECT -14.680 1724.130 -11.680 1724.140 ;
RECT 2931.300 1724.130 2934.300 1724.140 ;
RECT 0.000 1692.980 2920.000 1722.540 ;
RECT -38.180 1691.380 -35.180 1691.390 ;
RECT 2954.800 1691.380 2957.800 1691.390 ;
RECT -38.180 1688.370 -35.180 1688.380 ;
RECT 2954.800 1688.370 2957.800 1688.380 ;
RECT 0.000 1674.980 2920.000 1686.780 ;
RECT -28.780 1673.380 -25.780 1673.390 ;
RECT 2945.400 1673.380 2948.400 1673.390 ;
RECT -28.780 1670.370 -25.780 1670.380 ;
RECT 2945.400 1670.370 2948.400 1670.380 ;
RECT 0.000 1656.980 2920.000 1668.780 ;
RECT -19.380 1655.380 -16.380 1655.390 ;
RECT 2936.000 1655.380 2939.000 1655.390 ;
RECT -19.380 1652.370 -16.380 1652.380 ;
RECT 2936.000 1652.370 2939.000 1652.380 ;
RECT 0.000 1638.740 2920.000 1650.780 ;
RECT -9.980 1637.140 -6.980 1637.150 ;
RECT 2926.600 1637.140 2929.600 1637.150 ;
RECT -9.980 1634.130 -6.980 1634.140 ;
RECT 2926.600 1634.130 2929.600 1634.140 ;
RECT 0.000 1602.980 2920.000 1632.540 ;
RECT -42.880 1601.380 -39.880 1601.390 ;
RECT 2959.500 1601.380 2962.500 1601.390 ;
RECT -42.880 1598.370 -39.880 1598.380 ;
RECT 2959.500 1598.370 2962.500 1598.380 ;
RECT 0.000 1584.980 2920.000 1596.780 ;
RECT -33.480 1583.380 -30.480 1583.390 ;
RECT 2950.100 1583.380 2953.100 1583.390 ;
RECT -33.480 1580.370 -30.480 1580.380 ;
RECT 2950.100 1580.370 2953.100 1580.380 ;
RECT 0.000 1566.980 2920.000 1578.780 ;
RECT -24.080 1565.380 -21.080 1565.390 ;
RECT 2940.700 1565.380 2943.700 1565.390 ;
RECT -24.080 1562.370 -21.080 1562.380 ;
RECT 2940.700 1562.370 2943.700 1562.380 ;
RECT 0.000 1548.740 2920.000 1560.780 ;
RECT -14.680 1547.140 -11.680 1547.150 ;
RECT 2931.300 1547.140 2934.300 1547.150 ;
RECT -14.680 1544.130 -11.680 1544.140 ;
RECT 2931.300 1544.130 2934.300 1544.140 ;
RECT 0.000 1512.980 2920.000 1542.540 ;
RECT -38.180 1511.380 -35.180 1511.390 ;
RECT 2954.800 1511.380 2957.800 1511.390 ;
RECT -38.180 1508.370 -35.180 1508.380 ;
RECT 2954.800 1508.370 2957.800 1508.380 ;
RECT 0.000 1494.980 2920.000 1506.780 ;
RECT -28.780 1493.380 -25.780 1493.390 ;
RECT 2945.400 1493.380 2948.400 1493.390 ;
RECT -28.780 1490.370 -25.780 1490.380 ;
RECT 2945.400 1490.370 2948.400 1490.380 ;
RECT 0.000 1476.980 2920.000 1488.780 ;
RECT -19.380 1475.380 -16.380 1475.390 ;
RECT 2936.000 1475.380 2939.000 1475.390 ;
RECT -19.380 1472.370 -16.380 1472.380 ;
RECT 2936.000 1472.370 2939.000 1472.380 ;
RECT 0.000 1458.740 2920.000 1470.780 ;
RECT -9.980 1457.140 -6.980 1457.150 ;
RECT 2926.600 1457.140 2929.600 1457.150 ;
RECT -9.980 1454.130 -6.980 1454.140 ;
RECT 2926.600 1454.130 2929.600 1454.140 ;
RECT 0.000 1422.980 2920.000 1452.540 ;
RECT -42.880 1421.380 -39.880 1421.390 ;
RECT 2959.500 1421.380 2962.500 1421.390 ;
RECT -42.880 1418.370 -39.880 1418.380 ;
RECT 2959.500 1418.370 2962.500 1418.380 ;
RECT 0.000 1404.980 2920.000 1416.780 ;
RECT -33.480 1403.380 -30.480 1403.390 ;
RECT 2950.100 1403.380 2953.100 1403.390 ;
RECT -33.480 1400.370 -30.480 1400.380 ;
RECT 2950.100 1400.370 2953.100 1400.380 ;
RECT 0.000 1386.980 2920.000 1398.780 ;
RECT -24.080 1385.380 -21.080 1385.390 ;
RECT 2940.700 1385.380 2943.700 1385.390 ;
RECT -24.080 1382.370 -21.080 1382.380 ;
RECT 2940.700 1382.370 2943.700 1382.380 ;
RECT 0.000 1368.740 2920.000 1380.780 ;
RECT -14.680 1367.140 -11.680 1367.150 ;
RECT 2931.300 1367.140 2934.300 1367.150 ;
RECT -14.680 1364.130 -11.680 1364.140 ;
RECT 2931.300 1364.130 2934.300 1364.140 ;
RECT 0.000 1332.980 2920.000 1362.540 ;
RECT -38.180 1331.380 -35.180 1331.390 ;
RECT 2954.800 1331.380 2957.800 1331.390 ;
RECT -38.180 1328.370 -35.180 1328.380 ;
RECT 2954.800 1328.370 2957.800 1328.380 ;
RECT 0.000 1314.980 2920.000 1326.780 ;
RECT -28.780 1313.380 -25.780 1313.390 ;
RECT 2945.400 1313.380 2948.400 1313.390 ;
RECT -28.780 1310.370 -25.780 1310.380 ;
RECT 2945.400 1310.370 2948.400 1310.380 ;
RECT 0.000 1296.980 2920.000 1308.780 ;
RECT -19.380 1295.380 -16.380 1295.390 ;
RECT 2936.000 1295.380 2939.000 1295.390 ;
RECT -19.380 1292.370 -16.380 1292.380 ;
RECT 2936.000 1292.370 2939.000 1292.380 ;
RECT 0.000 1278.740 2920.000 1290.780 ;
RECT -9.980 1277.140 -6.980 1277.150 ;
RECT 2926.600 1277.140 2929.600 1277.150 ;
RECT -9.980 1274.130 -6.980 1274.140 ;
RECT 2926.600 1274.130 2929.600 1274.140 ;
RECT 0.000 1242.980 2920.000 1272.540 ;
RECT -42.880 1241.380 -39.880 1241.390 ;
RECT 2959.500 1241.380 2962.500 1241.390 ;
RECT -42.880 1238.370 -39.880 1238.380 ;
RECT 2959.500 1238.370 2962.500 1238.380 ;
RECT 0.000 1224.980 2920.000 1236.780 ;
RECT -33.480 1223.380 -30.480 1223.390 ;
RECT 2950.100 1223.380 2953.100 1223.390 ;
RECT -33.480 1220.370 -30.480 1220.380 ;
RECT 2950.100 1220.370 2953.100 1220.380 ;
RECT 0.000 1206.980 2920.000 1218.780 ;
RECT -24.080 1205.380 -21.080 1205.390 ;
RECT 2940.700 1205.380 2943.700 1205.390 ;
RECT -24.080 1202.370 -21.080 1202.380 ;
RECT 2940.700 1202.370 2943.700 1202.380 ;
RECT 0.000 1188.740 2920.000 1200.780 ;
RECT -14.680 1187.140 -11.680 1187.150 ;
RECT 2931.300 1187.140 2934.300 1187.150 ;
RECT -14.680 1184.130 -11.680 1184.140 ;
RECT 2931.300 1184.130 2934.300 1184.140 ;
RECT 0.000 1152.980 2920.000 1182.540 ;
RECT -38.180 1151.380 -35.180 1151.390 ;
RECT 2954.800 1151.380 2957.800 1151.390 ;
RECT -38.180 1148.370 -35.180 1148.380 ;
RECT 2954.800 1148.370 2957.800 1148.380 ;
RECT 0.000 1134.980 2920.000 1146.780 ;
RECT -28.780 1133.380 -25.780 1133.390 ;
RECT 2945.400 1133.380 2948.400 1133.390 ;
RECT -28.780 1130.370 -25.780 1130.380 ;
RECT 2945.400 1130.370 2948.400 1130.380 ;
RECT 0.000 1116.980 2920.000 1128.780 ;
RECT -19.380 1115.380 -16.380 1115.390 ;
RECT 2936.000 1115.380 2939.000 1115.390 ;
RECT -19.380 1112.370 -16.380 1112.380 ;
RECT 2936.000 1112.370 2939.000 1112.380 ;
RECT 0.000 1098.740 2920.000 1110.780 ;
RECT -9.980 1097.140 -6.980 1097.150 ;
RECT 2926.600 1097.140 2929.600 1097.150 ;
RECT -9.980 1094.130 -6.980 1094.140 ;
RECT 2926.600 1094.130 2929.600 1094.140 ;
RECT 0.000 1062.980 2920.000 1092.540 ;
RECT -42.880 1061.380 -39.880 1061.390 ;
RECT 2959.500 1061.380 2962.500 1061.390 ;
RECT -42.880 1058.370 -39.880 1058.380 ;
RECT 2959.500 1058.370 2962.500 1058.380 ;
RECT 0.000 1044.980 2920.000 1056.780 ;
RECT -33.480 1043.380 -30.480 1043.390 ;
RECT 2950.100 1043.380 2953.100 1043.390 ;
RECT -33.480 1040.370 -30.480 1040.380 ;
RECT 2950.100 1040.370 2953.100 1040.380 ;
RECT 0.000 1026.980 2920.000 1038.780 ;
RECT -24.080 1025.380 -21.080 1025.390 ;
RECT 2940.700 1025.380 2943.700 1025.390 ;
RECT -24.080 1022.370 -21.080 1022.380 ;
RECT 2940.700 1022.370 2943.700 1022.380 ;
RECT 0.000 1008.740 2920.000 1020.780 ;
RECT -14.680 1007.140 -11.680 1007.150 ;
RECT 2931.300 1007.140 2934.300 1007.150 ;
RECT -14.680 1004.130 -11.680 1004.140 ;
RECT 2931.300 1004.130 2934.300 1004.140 ;
RECT 0.000 972.980 2920.000 1002.540 ;
RECT -38.180 971.380 -35.180 971.390 ;
RECT 2954.800 971.380 2957.800 971.390 ;
RECT -38.180 968.370 -35.180 968.380 ;
RECT 2954.800 968.370 2957.800 968.380 ;
RECT 0.000 954.980 2920.000 966.780 ;
RECT -28.780 953.380 -25.780 953.390 ;
RECT 2945.400 953.380 2948.400 953.390 ;
RECT -28.780 950.370 -25.780 950.380 ;
RECT 2945.400 950.370 2948.400 950.380 ;
RECT 0.000 936.980 2920.000 948.780 ;
RECT -19.380 935.380 -16.380 935.390 ;
RECT 2936.000 935.380 2939.000 935.390 ;
RECT -19.380 932.370 -16.380 932.380 ;
RECT 2936.000 932.370 2939.000 932.380 ;
RECT 0.000 918.740 2920.000 930.780 ;
RECT -9.980 917.140 -6.980 917.150 ;
RECT 2926.600 917.140 2929.600 917.150 ;
RECT -9.980 914.130 -6.980 914.140 ;
RECT 2926.600 914.130 2929.600 914.140 ;
RECT 0.000 882.980 2920.000 912.540 ;
RECT -42.880 881.380 -39.880 881.390 ;
RECT 2959.500 881.380 2962.500 881.390 ;
RECT -42.880 878.370 -39.880 878.380 ;
RECT 2959.500 878.370 2962.500 878.380 ;
RECT 0.000 864.980 2920.000 876.780 ;
RECT -33.480 863.380 -30.480 863.390 ;
RECT 2950.100 863.380 2953.100 863.390 ;
RECT -33.480 860.370 -30.480 860.380 ;
RECT 2950.100 860.370 2953.100 860.380 ;
RECT 0.000 846.980 2920.000 858.780 ;
RECT -24.080 845.380 -21.080 845.390 ;
RECT 2940.700 845.380 2943.700 845.390 ;
RECT -24.080 842.370 -21.080 842.380 ;
RECT 2940.700 842.370 2943.700 842.380 ;
RECT 0.000 828.740 2920.000 840.780 ;
RECT -14.680 827.140 -11.680 827.150 ;
RECT 2931.300 827.140 2934.300 827.150 ;
RECT -14.680 824.130 -11.680 824.140 ;
RECT 2931.300 824.130 2934.300 824.140 ;
RECT 0.000 792.980 2920.000 822.540 ;
RECT -38.180 791.380 -35.180 791.390 ;
RECT 2954.800 791.380 2957.800 791.390 ;
RECT -38.180 788.370 -35.180 788.380 ;
RECT 2954.800 788.370 2957.800 788.380 ;
RECT 0.000 774.980 2920.000 786.780 ;
RECT -28.780 773.380 -25.780 773.390 ;
RECT 2945.400 773.380 2948.400 773.390 ;
RECT -28.780 770.370 -25.780 770.380 ;
RECT 2945.400 770.370 2948.400 770.380 ;
RECT 0.000 756.980 2920.000 768.780 ;
RECT -19.380 755.380 -16.380 755.390 ;
RECT 2936.000 755.380 2939.000 755.390 ;
RECT -19.380 752.370 -16.380 752.380 ;
RECT 2936.000 752.370 2939.000 752.380 ;
RECT 0.000 738.740 2920.000 750.780 ;
RECT -9.980 737.140 -6.980 737.150 ;
RECT 2926.600 737.140 2929.600 737.150 ;
RECT -9.980 734.130 -6.980 734.140 ;
RECT 2926.600 734.130 2929.600 734.140 ;
RECT 0.000 702.980 2920.000 732.540 ;
RECT -42.880 701.380 -39.880 701.390 ;
RECT 2959.500 701.380 2962.500 701.390 ;
RECT -42.880 698.370 -39.880 698.380 ;
RECT 2959.500 698.370 2962.500 698.380 ;
RECT 0.000 684.980 2920.000 696.780 ;
RECT -33.480 683.380 -30.480 683.390 ;
RECT 2950.100 683.380 2953.100 683.390 ;
RECT -33.480 680.370 -30.480 680.380 ;
RECT 2950.100 680.370 2953.100 680.380 ;
RECT 0.000 666.980 2920.000 678.780 ;
RECT -24.080 665.380 -21.080 665.390 ;
RECT 2940.700 665.380 2943.700 665.390 ;
RECT -24.080 662.370 -21.080 662.380 ;
RECT 2940.700 662.370 2943.700 662.380 ;
RECT 0.000 648.740 2920.000 660.780 ;
RECT -14.680 647.140 -11.680 647.150 ;
RECT 2931.300 647.140 2934.300 647.150 ;
RECT -14.680 644.130 -11.680 644.140 ;
RECT 2931.300 644.130 2934.300 644.140 ;
RECT 0.000 612.980 2920.000 642.540 ;
RECT -38.180 611.380 -35.180 611.390 ;
RECT 2954.800 611.380 2957.800 611.390 ;
RECT -38.180 608.370 -35.180 608.380 ;
RECT 2954.800 608.370 2957.800 608.380 ;
RECT 0.000 594.980 2920.000 606.780 ;
RECT -28.780 593.380 -25.780 593.390 ;
RECT 2945.400 593.380 2948.400 593.390 ;
RECT -28.780 590.370 -25.780 590.380 ;
RECT 2945.400 590.370 2948.400 590.380 ;
RECT 0.000 576.980 2920.000 588.780 ;
RECT -19.380 575.380 -16.380 575.390 ;
RECT 2936.000 575.380 2939.000 575.390 ;
RECT -19.380 572.370 -16.380 572.380 ;
RECT 2936.000 572.370 2939.000 572.380 ;
RECT 0.000 558.740 2920.000 570.780 ;
RECT -9.980 557.140 -6.980 557.150 ;
RECT 2926.600 557.140 2929.600 557.150 ;
RECT -9.980 554.130 -6.980 554.140 ;
RECT 2926.600 554.130 2929.600 554.140 ;
RECT 0.000 522.980 2920.000 552.540 ;
RECT -42.880 521.380 -39.880 521.390 ;
RECT 2959.500 521.380 2962.500 521.390 ;
RECT -42.880 518.370 -39.880 518.380 ;
RECT 2959.500 518.370 2962.500 518.380 ;
RECT 0.000 504.980 2920.000 516.780 ;
RECT -33.480 503.380 -30.480 503.390 ;
RECT 2950.100 503.380 2953.100 503.390 ;
RECT -33.480 500.370 -30.480 500.380 ;
RECT 2950.100 500.370 2953.100 500.380 ;
RECT 0.000 486.980 2920.000 498.780 ;
RECT -24.080 485.380 -21.080 485.390 ;
RECT 2940.700 485.380 2943.700 485.390 ;
RECT -24.080 482.370 -21.080 482.380 ;
RECT 2940.700 482.370 2943.700 482.380 ;
RECT 0.000 468.740 2920.000 480.780 ;
RECT -14.680 467.140 -11.680 467.150 ;
RECT 2931.300 467.140 2934.300 467.150 ;
RECT -14.680 464.130 -11.680 464.140 ;
RECT 2931.300 464.130 2934.300 464.140 ;
RECT 0.000 432.980 2920.000 462.540 ;
RECT -38.180 431.380 -35.180 431.390 ;
RECT 2954.800 431.380 2957.800 431.390 ;
RECT -38.180 428.370 -35.180 428.380 ;
RECT 2954.800 428.370 2957.800 428.380 ;
RECT 0.000 414.980 2920.000 426.780 ;
RECT -28.780 413.380 -25.780 413.390 ;
RECT 2945.400 413.380 2948.400 413.390 ;
RECT -28.780 410.370 -25.780 410.380 ;
RECT 2945.400 410.370 2948.400 410.380 ;
RECT 0.000 396.980 2920.000 408.780 ;
RECT -19.380 395.380 -16.380 395.390 ;
RECT 2936.000 395.380 2939.000 395.390 ;
RECT -19.380 392.370 -16.380 392.380 ;
RECT 2936.000 392.370 2939.000 392.380 ;
RECT 0.000 378.740 2920.000 390.780 ;
RECT -9.980 377.140 -6.980 377.150 ;
RECT 2926.600 377.140 2929.600 377.150 ;
RECT -9.980 374.130 -6.980 374.140 ;
RECT 2926.600 374.130 2929.600 374.140 ;
RECT 0.000 342.980 2920.000 372.540 ;
RECT -42.880 341.380 -39.880 341.390 ;
RECT 2959.500 341.380 2962.500 341.390 ;
RECT -42.880 338.370 -39.880 338.380 ;
RECT 2959.500 338.370 2962.500 338.380 ;
RECT 0.000 324.980 2920.000 336.780 ;
RECT -33.480 323.380 -30.480 323.390 ;
RECT 2950.100 323.380 2953.100 323.390 ;
RECT -33.480 320.370 -30.480 320.380 ;
RECT 2950.100 320.370 2953.100 320.380 ;
RECT 0.000 306.980 2920.000 318.780 ;
RECT -24.080 305.380 -21.080 305.390 ;
RECT 2940.700 305.380 2943.700 305.390 ;
RECT -24.080 302.370 -21.080 302.380 ;
RECT 2940.700 302.370 2943.700 302.380 ;
RECT 0.000 288.740 2920.000 300.780 ;
RECT -14.680 287.140 -11.680 287.150 ;
RECT 2931.300 287.140 2934.300 287.150 ;
RECT -14.680 284.130 -11.680 284.140 ;
RECT 2931.300 284.130 2934.300 284.140 ;
RECT 0.000 252.980 2920.000 282.540 ;
RECT -38.180 251.380 -35.180 251.390 ;
RECT 2954.800 251.380 2957.800 251.390 ;
RECT -38.180 248.370 -35.180 248.380 ;
RECT 2954.800 248.370 2957.800 248.380 ;
RECT 0.000 234.980 2920.000 246.780 ;
RECT -28.780 233.380 -25.780 233.390 ;
RECT 2945.400 233.380 2948.400 233.390 ;
RECT -28.780 230.370 -25.780 230.380 ;
RECT 2945.400 230.370 2948.400 230.380 ;
RECT 0.000 216.980 2920.000 228.780 ;
RECT -19.380 215.380 -16.380 215.390 ;
RECT 2936.000 215.380 2939.000 215.390 ;
RECT -19.380 212.370 -16.380 212.380 ;
RECT 2936.000 212.370 2939.000 212.380 ;
RECT 0.000 198.740 2920.000 210.780 ;
RECT -9.980 197.140 -6.980 197.150 ;
RECT 2926.600 197.140 2929.600 197.150 ;
RECT -9.980 194.130 -6.980 194.140 ;
RECT 2926.600 194.130 2929.600 194.140 ;
RECT 0.000 162.980 2920.000 192.540 ;
RECT -42.880 161.380 -39.880 161.390 ;
RECT 2959.500 161.380 2962.500 161.390 ;
RECT -42.880 158.370 -39.880 158.380 ;
RECT 2959.500 158.370 2962.500 158.380 ;
RECT 0.000 144.980 2920.000 156.780 ;
RECT -33.480 143.380 -30.480 143.390 ;
RECT 2950.100 143.380 2953.100 143.390 ;
RECT -33.480 140.370 -30.480 140.380 ;
RECT 2950.100 140.370 2953.100 140.380 ;
RECT 0.000 126.980 2920.000 138.780 ;
RECT -24.080 125.380 -21.080 125.390 ;
RECT 2940.700 125.380 2943.700 125.390 ;
RECT -24.080 122.370 -21.080 122.380 ;
RECT 2940.700 122.370 2943.700 122.380 ;
RECT 0.000 108.740 2920.000 120.780 ;
RECT -14.680 107.140 -11.680 107.150 ;
RECT 2931.300 107.140 2934.300 107.150 ;
RECT -14.680 104.130 -11.680 104.140 ;
RECT 2931.300 104.130 2934.300 104.140 ;
RECT 0.000 72.980 2920.000 102.540 ;
RECT -38.180 71.380 -35.180 71.390 ;
RECT 2954.800 71.380 2957.800 71.390 ;
RECT -38.180 68.370 -35.180 68.380 ;
RECT 2954.800 68.370 2957.800 68.380 ;
RECT 0.000 54.980 2920.000 66.780 ;
RECT -28.780 53.380 -25.780 53.390 ;
RECT 2945.400 53.380 2948.400 53.390 ;
RECT -28.780 50.370 -25.780 50.380 ;
RECT 2945.400 50.370 2948.400 50.380 ;
RECT 0.000 36.980 2920.000 48.780 ;
RECT -19.380 35.380 -16.380 35.390 ;
RECT 2936.000 35.380 2939.000 35.390 ;
RECT -19.380 32.370 -16.380 32.380 ;
RECT 2936.000 32.370 2939.000 32.380 ;
RECT 0.000 18.740 2920.000 30.780 ;
RECT -9.980 17.140 -6.980 17.150 ;
RECT 2926.600 17.140 2929.600 17.150 ;
RECT -9.980 14.130 -6.980 14.140 ;
RECT 2926.600 14.130 2929.600 14.140 ;
RECT 0.000 0.000 2920.000 12.540 ;
RECT -9.980 -1.620 -6.980 -1.610 ;
RECT 9.020 -1.620 12.020 -1.610 ;
RECT 189.020 -1.620 192.020 -1.610 ;
RECT 369.020 -1.620 372.020 -1.610 ;
RECT 549.020 -1.620 552.020 -1.610 ;
RECT 729.020 -1.620 732.020 -1.610 ;
RECT 909.020 -1.620 912.020 -1.610 ;
RECT 1089.020 -1.620 1092.020 -1.610 ;
RECT 1269.020 -1.620 1272.020 -1.610 ;
RECT 1449.020 -1.620 1452.020 -1.610 ;
RECT 1629.020 -1.620 1632.020 -1.610 ;
RECT 1809.020 -1.620 1812.020 -1.610 ;
RECT 1989.020 -1.620 1992.020 -1.610 ;
RECT 2169.020 -1.620 2172.020 -1.610 ;
RECT 2349.020 -1.620 2352.020 -1.610 ;
RECT 2529.020 -1.620 2532.020 -1.610 ;
RECT 2709.020 -1.620 2712.020 -1.610 ;
RECT 2889.020 -1.620 2892.020 -1.610 ;
RECT 2926.600 -1.620 2929.600 -1.610 ;
RECT -9.980 -4.630 -6.980 -4.620 ;
RECT 9.020 -4.630 12.020 -4.620 ;
RECT 189.020 -4.630 192.020 -4.620 ;
RECT 369.020 -4.630 372.020 -4.620 ;
RECT 549.020 -4.630 552.020 -4.620 ;
RECT 729.020 -4.630 732.020 -4.620 ;
RECT 909.020 -4.630 912.020 -4.620 ;
RECT 1089.020 -4.630 1092.020 -4.620 ;
RECT 1269.020 -4.630 1272.020 -4.620 ;
RECT 1449.020 -4.630 1452.020 -4.620 ;
RECT 1629.020 -4.630 1632.020 -4.620 ;
RECT 1809.020 -4.630 1812.020 -4.620 ;
RECT 1989.020 -4.630 1992.020 -4.620 ;
RECT 2169.020 -4.630 2172.020 -4.620 ;
RECT 2349.020 -4.630 2352.020 -4.620 ;
RECT 2529.020 -4.630 2532.020 -4.620 ;
RECT 2709.020 -4.630 2712.020 -4.620 ;
RECT 2889.020 -4.630 2892.020 -4.620 ;
RECT 2926.600 -4.630 2929.600 -4.620 ;
RECT -14.680 -6.320 -11.680 -6.310 ;
RECT 99.020 -6.320 102.020 -6.310 ;
RECT 279.020 -6.320 282.020 -6.310 ;
RECT 459.020 -6.320 462.020 -6.310 ;
RECT 639.020 -6.320 642.020 -6.310 ;
RECT 819.020 -6.320 822.020 -6.310 ;
RECT 999.020 -6.320 1002.020 -6.310 ;
RECT 1179.020 -6.320 1182.020 -6.310 ;
RECT 1359.020 -6.320 1362.020 -6.310 ;
RECT 1539.020 -6.320 1542.020 -6.310 ;
RECT 1719.020 -6.320 1722.020 -6.310 ;
RECT 1899.020 -6.320 1902.020 -6.310 ;
RECT 2079.020 -6.320 2082.020 -6.310 ;
RECT 2259.020 -6.320 2262.020 -6.310 ;
RECT 2439.020 -6.320 2442.020 -6.310 ;
RECT 2619.020 -6.320 2622.020 -6.310 ;
RECT 2799.020 -6.320 2802.020 -6.310 ;
RECT 2931.300 -6.320 2934.300 -6.310 ;
RECT -14.680 -9.330 -11.680 -9.320 ;
RECT 99.020 -9.330 102.020 -9.320 ;
RECT 279.020 -9.330 282.020 -9.320 ;
RECT 459.020 -9.330 462.020 -9.320 ;
RECT 639.020 -9.330 642.020 -9.320 ;
RECT 819.020 -9.330 822.020 -9.320 ;
RECT 999.020 -9.330 1002.020 -9.320 ;
RECT 1179.020 -9.330 1182.020 -9.320 ;
RECT 1359.020 -9.330 1362.020 -9.320 ;
RECT 1539.020 -9.330 1542.020 -9.320 ;
RECT 1719.020 -9.330 1722.020 -9.320 ;
RECT 1899.020 -9.330 1902.020 -9.320 ;
RECT 2079.020 -9.330 2082.020 -9.320 ;
RECT 2259.020 -9.330 2262.020 -9.320 ;
RECT 2439.020 -9.330 2442.020 -9.320 ;
RECT 2619.020 -9.330 2622.020 -9.320 ;
RECT 2799.020 -9.330 2802.020 -9.320 ;
RECT 2931.300 -9.330 2934.300 -9.320 ;
RECT -19.380 -11.020 -16.380 -11.010 ;
RECT 27.020 -11.020 30.020 -11.010 ;
RECT 207.020 -11.020 210.020 -11.010 ;
RECT 387.020 -11.020 390.020 -11.010 ;
RECT 567.020 -11.020 570.020 -11.010 ;
RECT 747.020 -11.020 750.020 -11.010 ;
RECT 927.020 -11.020 930.020 -11.010 ;
RECT 1107.020 -11.020 1110.020 -11.010 ;
RECT 1287.020 -11.020 1290.020 -11.010 ;
RECT 1467.020 -11.020 1470.020 -11.010 ;
RECT 1647.020 -11.020 1650.020 -11.010 ;
RECT 1827.020 -11.020 1830.020 -11.010 ;
RECT 2007.020 -11.020 2010.020 -11.010 ;
RECT 2187.020 -11.020 2190.020 -11.010 ;
RECT 2367.020 -11.020 2370.020 -11.010 ;
RECT 2547.020 -11.020 2550.020 -11.010 ;
RECT 2727.020 -11.020 2730.020 -11.010 ;
RECT 2907.020 -11.020 2910.020 -11.010 ;
RECT 2936.000 -11.020 2939.000 -11.010 ;
RECT -19.380 -14.030 -16.380 -14.020 ;
RECT 27.020 -14.030 30.020 -14.020 ;
RECT 207.020 -14.030 210.020 -14.020 ;
RECT 387.020 -14.030 390.020 -14.020 ;
RECT 567.020 -14.030 570.020 -14.020 ;
RECT 747.020 -14.030 750.020 -14.020 ;
RECT 927.020 -14.030 930.020 -14.020 ;
RECT 1107.020 -14.030 1110.020 -14.020 ;
RECT 1287.020 -14.030 1290.020 -14.020 ;
RECT 1467.020 -14.030 1470.020 -14.020 ;
RECT 1647.020 -14.030 1650.020 -14.020 ;
RECT 1827.020 -14.030 1830.020 -14.020 ;
RECT 2007.020 -14.030 2010.020 -14.020 ;
RECT 2187.020 -14.030 2190.020 -14.020 ;
RECT 2367.020 -14.030 2370.020 -14.020 ;
RECT 2547.020 -14.030 2550.020 -14.020 ;
RECT 2727.020 -14.030 2730.020 -14.020 ;
RECT 2907.020 -14.030 2910.020 -14.020 ;
RECT 2936.000 -14.030 2939.000 -14.020 ;
RECT -24.080 -15.720 -21.080 -15.710 ;
RECT 117.020 -15.720 120.020 -15.710 ;
RECT 297.020 -15.720 300.020 -15.710 ;
RECT 477.020 -15.720 480.020 -15.710 ;
RECT 657.020 -15.720 660.020 -15.710 ;
RECT 837.020 -15.720 840.020 -15.710 ;
RECT 1017.020 -15.720 1020.020 -15.710 ;
RECT 1197.020 -15.720 1200.020 -15.710 ;
RECT 1377.020 -15.720 1380.020 -15.710 ;
RECT 1557.020 -15.720 1560.020 -15.710 ;
RECT 1737.020 -15.720 1740.020 -15.710 ;
RECT 1917.020 -15.720 1920.020 -15.710 ;
RECT 2097.020 -15.720 2100.020 -15.710 ;
RECT 2277.020 -15.720 2280.020 -15.710 ;
RECT 2457.020 -15.720 2460.020 -15.710 ;
RECT 2637.020 -15.720 2640.020 -15.710 ;
RECT 2817.020 -15.720 2820.020 -15.710 ;
RECT 2940.700 -15.720 2943.700 -15.710 ;
RECT -24.080 -18.730 -21.080 -18.720 ;
RECT 117.020 -18.730 120.020 -18.720 ;
RECT 297.020 -18.730 300.020 -18.720 ;
RECT 477.020 -18.730 480.020 -18.720 ;
RECT 657.020 -18.730 660.020 -18.720 ;
RECT 837.020 -18.730 840.020 -18.720 ;
RECT 1017.020 -18.730 1020.020 -18.720 ;
RECT 1197.020 -18.730 1200.020 -18.720 ;
RECT 1377.020 -18.730 1380.020 -18.720 ;
RECT 1557.020 -18.730 1560.020 -18.720 ;
RECT 1737.020 -18.730 1740.020 -18.720 ;
RECT 1917.020 -18.730 1920.020 -18.720 ;
RECT 2097.020 -18.730 2100.020 -18.720 ;
RECT 2277.020 -18.730 2280.020 -18.720 ;
RECT 2457.020 -18.730 2460.020 -18.720 ;
RECT 2637.020 -18.730 2640.020 -18.720 ;
RECT 2817.020 -18.730 2820.020 -18.720 ;
RECT 2940.700 -18.730 2943.700 -18.720 ;
RECT -28.780 -20.420 -25.780 -20.410 ;
RECT 45.020 -20.420 48.020 -20.410 ;
RECT 225.020 -20.420 228.020 -20.410 ;
RECT 405.020 -20.420 408.020 -20.410 ;
RECT 585.020 -20.420 588.020 -20.410 ;
RECT 765.020 -20.420 768.020 -20.410 ;
RECT 945.020 -20.420 948.020 -20.410 ;
RECT 1125.020 -20.420 1128.020 -20.410 ;
RECT 1305.020 -20.420 1308.020 -20.410 ;
RECT 1485.020 -20.420 1488.020 -20.410 ;
RECT 1665.020 -20.420 1668.020 -20.410 ;
RECT 1845.020 -20.420 1848.020 -20.410 ;
RECT 2025.020 -20.420 2028.020 -20.410 ;
RECT 2205.020 -20.420 2208.020 -20.410 ;
RECT 2385.020 -20.420 2388.020 -20.410 ;
RECT 2565.020 -20.420 2568.020 -20.410 ;
RECT 2745.020 -20.420 2748.020 -20.410 ;
RECT 2945.400 -20.420 2948.400 -20.410 ;
RECT -28.780 -23.430 -25.780 -23.420 ;
RECT 45.020 -23.430 48.020 -23.420 ;
RECT 225.020 -23.430 228.020 -23.420 ;
RECT 405.020 -23.430 408.020 -23.420 ;
RECT 585.020 -23.430 588.020 -23.420 ;
RECT 765.020 -23.430 768.020 -23.420 ;
RECT 945.020 -23.430 948.020 -23.420 ;
RECT 1125.020 -23.430 1128.020 -23.420 ;
RECT 1305.020 -23.430 1308.020 -23.420 ;
RECT 1485.020 -23.430 1488.020 -23.420 ;
RECT 1665.020 -23.430 1668.020 -23.420 ;
RECT 1845.020 -23.430 1848.020 -23.420 ;
RECT 2025.020 -23.430 2028.020 -23.420 ;
RECT 2205.020 -23.430 2208.020 -23.420 ;
RECT 2385.020 -23.430 2388.020 -23.420 ;
RECT 2565.020 -23.430 2568.020 -23.420 ;
RECT 2745.020 -23.430 2748.020 -23.420 ;
RECT 2945.400 -23.430 2948.400 -23.420 ;
RECT -33.480 -25.120 -30.480 -25.110 ;
RECT 135.020 -25.120 138.020 -25.110 ;
RECT 315.020 -25.120 318.020 -25.110 ;
RECT 495.020 -25.120 498.020 -25.110 ;
RECT 675.020 -25.120 678.020 -25.110 ;
RECT 855.020 -25.120 858.020 -25.110 ;
RECT 1035.020 -25.120 1038.020 -25.110 ;
RECT 1215.020 -25.120 1218.020 -25.110 ;
RECT 1395.020 -25.120 1398.020 -25.110 ;
RECT 1575.020 -25.120 1578.020 -25.110 ;
RECT 1755.020 -25.120 1758.020 -25.110 ;
RECT 1935.020 -25.120 1938.020 -25.110 ;
RECT 2115.020 -25.120 2118.020 -25.110 ;
RECT 2295.020 -25.120 2298.020 -25.110 ;
RECT 2475.020 -25.120 2478.020 -25.110 ;
RECT 2655.020 -25.120 2658.020 -25.110 ;
RECT 2835.020 -25.120 2838.020 -25.110 ;
RECT 2950.100 -25.120 2953.100 -25.110 ;
RECT -33.480 -28.130 -30.480 -28.120 ;
RECT 135.020 -28.130 138.020 -28.120 ;
RECT 315.020 -28.130 318.020 -28.120 ;
RECT 495.020 -28.130 498.020 -28.120 ;
RECT 675.020 -28.130 678.020 -28.120 ;
RECT 855.020 -28.130 858.020 -28.120 ;
RECT 1035.020 -28.130 1038.020 -28.120 ;
RECT 1215.020 -28.130 1218.020 -28.120 ;
RECT 1395.020 -28.130 1398.020 -28.120 ;
RECT 1575.020 -28.130 1578.020 -28.120 ;
RECT 1755.020 -28.130 1758.020 -28.120 ;
RECT 1935.020 -28.130 1938.020 -28.120 ;
RECT 2115.020 -28.130 2118.020 -28.120 ;
RECT 2295.020 -28.130 2298.020 -28.120 ;
RECT 2475.020 -28.130 2478.020 -28.120 ;
RECT 2655.020 -28.130 2658.020 -28.120 ;
RECT 2835.020 -28.130 2838.020 -28.120 ;
RECT 2950.100 -28.130 2953.100 -28.120 ;
RECT -38.180 -29.820 -35.180 -29.810 ;
RECT 63.020 -29.820 66.020 -29.810 ;
RECT 243.020 -29.820 246.020 -29.810 ;
RECT 423.020 -29.820 426.020 -29.810 ;
RECT 603.020 -29.820 606.020 -29.810 ;
RECT 783.020 -29.820 786.020 -29.810 ;
RECT 963.020 -29.820 966.020 -29.810 ;
RECT 1143.020 -29.820 1146.020 -29.810 ;
RECT 1323.020 -29.820 1326.020 -29.810 ;
RECT 1503.020 -29.820 1506.020 -29.810 ;
RECT 1683.020 -29.820 1686.020 -29.810 ;
RECT 1863.020 -29.820 1866.020 -29.810 ;
RECT 2043.020 -29.820 2046.020 -29.810 ;
RECT 2223.020 -29.820 2226.020 -29.810 ;
RECT 2403.020 -29.820 2406.020 -29.810 ;
RECT 2583.020 -29.820 2586.020 -29.810 ;
RECT 2763.020 -29.820 2766.020 -29.810 ;
RECT 2954.800 -29.820 2957.800 -29.810 ;
RECT -38.180 -32.830 -35.180 -32.820 ;
RECT 63.020 -32.830 66.020 -32.820 ;
RECT 243.020 -32.830 246.020 -32.820 ;
RECT 423.020 -32.830 426.020 -32.820 ;
RECT 603.020 -32.830 606.020 -32.820 ;
RECT 783.020 -32.830 786.020 -32.820 ;
RECT 963.020 -32.830 966.020 -32.820 ;
RECT 1143.020 -32.830 1146.020 -32.820 ;
RECT 1323.020 -32.830 1326.020 -32.820 ;
RECT 1503.020 -32.830 1506.020 -32.820 ;
RECT 1683.020 -32.830 1686.020 -32.820 ;
RECT 1863.020 -32.830 1866.020 -32.820 ;
RECT 2043.020 -32.830 2046.020 -32.820 ;
RECT 2223.020 -32.830 2226.020 -32.820 ;
RECT 2403.020 -32.830 2406.020 -32.820 ;
RECT 2583.020 -32.830 2586.020 -32.820 ;
RECT 2763.020 -32.830 2766.020 -32.820 ;
RECT 2954.800 -32.830 2957.800 -32.820 ;
RECT -42.880 -34.520 -39.880 -34.510 ;
RECT 153.020 -34.520 156.020 -34.510 ;
RECT 333.020 -34.520 336.020 -34.510 ;
RECT 513.020 -34.520 516.020 -34.510 ;
RECT 693.020 -34.520 696.020 -34.510 ;
RECT 873.020 -34.520 876.020 -34.510 ;
RECT 1053.020 -34.520 1056.020 -34.510 ;
RECT 1233.020 -34.520 1236.020 -34.510 ;
RECT 1413.020 -34.520 1416.020 -34.510 ;
RECT 1593.020 -34.520 1596.020 -34.510 ;
RECT 1773.020 -34.520 1776.020 -34.510 ;
RECT 1953.020 -34.520 1956.020 -34.510 ;
RECT 2133.020 -34.520 2136.020 -34.510 ;
RECT 2313.020 -34.520 2316.020 -34.510 ;
RECT 2493.020 -34.520 2496.020 -34.510 ;
RECT 2673.020 -34.520 2676.020 -34.510 ;
RECT 2853.020 -34.520 2856.020 -34.510 ;
RECT 2959.500 -34.520 2962.500 -34.510 ;
RECT -42.880 -37.530 -39.880 -37.520 ;
RECT 153.020 -37.530 156.020 -37.520 ;
RECT 333.020 -37.530 336.020 -37.520 ;
RECT 513.020 -37.530 516.020 -37.520 ;
RECT 693.020 -37.530 696.020 -37.520 ;
RECT 873.020 -37.530 876.020 -37.520 ;
RECT 1053.020 -37.530 1056.020 -37.520 ;
RECT 1233.020 -37.530 1236.020 -37.520 ;
RECT 1413.020 -37.530 1416.020 -37.520 ;
RECT 1593.020 -37.530 1596.020 -37.520 ;
RECT 1773.020 -37.530 1776.020 -37.520 ;
RECT 1953.020 -37.530 1956.020 -37.520 ;
RECT 2133.020 -37.530 2136.020 -37.520 ;
RECT 2313.020 -37.530 2316.020 -37.520 ;
RECT 2493.020 -37.530 2496.020 -37.520 ;
RECT 2673.020 -37.530 2676.020 -37.520 ;
RECT 2853.020 -37.530 2856.020 -37.520 ;
RECT 2959.500 -37.530 2962.500 -37.520 ;
END
END user_project_wrapper
END LIBRARY