blob: 9f2f25ebf720db7a17bbc783c0f88870d236ca9e [file] [log] [blame]
Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
Starting magic under Tcl interpreter
Using the terminal as the console.
Using NULL graphics device.
site.pre: In custom site.pre...
site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
Processing system .magicrc file
site.def: In custom site.def...
Sourcing design .magicrc for technology sky130A ...
2 Magic internal units = 1 Lambda
Input style sky130(vendor): scaleFactor=2, multiplier=2
Scaled tech values by 2 / 1 to match internal grid scaling
Loading sky130A Device Generator Menu ...
Loading "/mnt/shuttles/shuttle/mpw-two/slot-012/fuserisc_ver2/mag/mag2gds_caravel.tcl" from command line.
Scaled magic input cell user_project_wrapper geometry by factor of 2
user_project_wrapper: 10000 rects
user_project_wrapper: 20000 rects
user_project_wrapper: 30000 rects
user_project_wrapper: 40000 rects
user_project_wrapper: 50000 rects
Scaled magic input cell user_id_textblock geometry by factor of 2
caravel: 10000 rects
caravel: 20000 rects
caravel: 30000 rects
caravel: 40000 rects
caravel: 50000 rects
caravel: 60000 rects
Processing timestamp mismatches: user_id_programming.
Warning: Parent cell lists instance of "caravel_motto" at bad file path /mnt/shuttles/shuttle/mpw-two/slot-012/fuserisc_ver2/mag/caravel_motto.mag.
The cell exists in the search paths at ../mag/caravel_motto.mag.
The discovered version will be used.
Scaled magic input cell caravel_motto geometry by factor of 2
Warning: Parent cell lists instance of "font_22" at bad file path ../mag/font_22.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_ml_xx_hd/mag/font_22.mag.
The discovered version will be used.
Scaled magic input cell font_22 geometry by factor of 24
Warning: Parent cell lists instance of "font_73" at bad file path ../mag/font_73.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_ml_xx_hd/mag/font_73.mag.
The discovered version will be used.
Scaled magic input cell font_73 geometry by factor of 24
Warning: Parent cell lists instance of "font_43" at bad file path ../mag/font_43.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_ml_xx_hd/mag/font_43.mag.
The discovered version will be used.
Scaled magic input cell font_43 geometry by factor of 24
Warning: Parent cell lists instance of "font_49" at bad file path ../mag/font_49.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_ml_xx_hd/mag/font_49.mag.
The discovered version will be used.
Scaled magic input cell font_49 geometry by factor of 24
Warning: Parent cell lists instance of "font_65" at bad file path ../mag/font_65.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_ml_xx_hd/mag/font_65.mag.
The discovered version will be used.
Scaled magic input cell font_65 geometry by factor of 24
Warning: Parent cell lists instance of "font_68" at bad file path ../mag/font_68.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_ml_xx_hd/mag/font_68.mag.
The discovered version will be used.
Scaled magic input cell font_68 geometry by factor of 24
Warning: Parent cell lists instance of "font_54" at bad file path ../mag/font_54.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_ml_xx_hd/mag/font_54.mag.
The discovered version will be used.
Scaled magic input cell font_54 geometry by factor of 24
Warning: Parent cell lists instance of "font_67" at bad file path ../mag/font_67.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_ml_xx_hd/mag/font_67.mag.
The discovered version will be used.
Scaled magic input cell font_67 geometry by factor of 24
Warning: Parent cell lists instance of "font_6E" at bad file path ../mag/font_6E.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_ml_xx_hd/mag/font_6E.mag.
The discovered version will be used.
Scaled magic input cell font_6E geometry by factor of 24
Warning: Parent cell lists instance of "font_69" at bad file path ../mag/font_69.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_ml_xx_hd/mag/font_69.mag.
The discovered version will be used.
Scaled magic input cell font_69 geometry by factor of 24
Warning: Parent cell lists instance of "font_6C" at bad file path ../mag/font_6C.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_ml_xx_hd/mag/font_6C.mag.
The discovered version will be used.
Scaled magic input cell font_6C geometry by factor of 24
Warning: Parent cell lists instance of "font_61" at bad file path ../mag/font_61.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_ml_xx_hd/mag/font_61.mag.
The discovered version will be used.
Scaled magic input cell font_61 geometry by factor of 24
Warning: Parent cell lists instance of "font_53" at bad file path ../mag/font_53.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_ml_xx_hd/mag/font_53.mag.
The discovered version will be used.
Scaled magic input cell font_53 geometry by factor of 24
Warning: Parent cell lists instance of "caravel_logo" at bad file path /mnt/shuttles/shuttle/mpw-two/slot-012/fuserisc_ver2/mag/caravel_logo.mag.
The cell exists in the search paths at ../mag/caravel_logo.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "open_source" at bad file path /mnt/shuttles/shuttle/mpw-two/slot-012/fuserisc_ver2/mag/open_source.mag.
The cell exists in the search paths at hexdigits/open_source.mag.
The discovered version will be used.
Scaled magic input cell open_source geometry by factor of 2
Warning: Parent cell lists instance of "xres_buf" at bad file path /mnt/shuttles/shuttle/mpw-two/slot-012/fuserisc_ver2/mag/xres_buf.mag.
The cell exists in the search paths at ../mag/xres_buf.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hvl__lsbufhv2lv_1" at bad file path ../mag/sky130_fd_sc_hvl__lsbufhv2lv_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hvl/mag/sky130_fd_sc_hvl__lsbufhv2lv_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hvl__decap_8" at bad file path ../mag/sky130_fd_sc_hvl__decap_8.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hvl/mag/sky130_fd_sc_hvl__decap_8.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hvl__diode_2" at bad file path ../mag/sky130_fd_sc_hvl__diode_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hvl/mag/sky130_fd_sc_hvl__diode_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hvl__fill_2" at bad file path ../mag/sky130_fd_sc_hvl__fill_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hvl/mag/sky130_fd_sc_hvl__fill_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hvl__fill_1" at bad file path ../mag/sky130_fd_sc_hvl__fill_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hvl/mag/sky130_fd_sc_hvl__fill_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hvl__decap_4" at bad file path ../mag/sky130_fd_sc_hvl__decap_4.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hvl/mag/sky130_fd_sc_hvl__decap_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "alpha_0" at bad file path /mnt/shuttles/shuttle/mpw-two/slot-012/fuserisc_ver2/mag/hexdigits/alpha_0.mag.
The cell exists in the search paths at hexdigits/alpha_0.mag.
The discovered version will be used.
Scaled magic input cell alpha_0 geometry by factor of 2
Warning: Parent cell lists instance of "alpha_2" at bad file path /mnt/shuttles/shuttle/mpw-two/slot-012/fuserisc_ver2/mag/hexdigits/alpha_2.mag.
The cell exists in the search paths at hexdigits/alpha_2.mag.
The discovered version will be used.
Scaled magic input cell alpha_2 geometry by factor of 72
Warning: Parent cell lists instance of "alpha_C" at bad file path /mnt/shuttles/shuttle/mpw-two/slot-012/fuserisc_ver2/mag/hexdigits/alpha_C.mag.
The cell exists in the search paths at hexdigits/alpha_C.mag.
The discovered version will be used.
Scaled magic input cell alpha_C geometry by factor of 72
Warning: Parent cell lists instance of "copyright_block" at bad file path /mnt/shuttles/shuttle/mpw-two/slot-012/fuserisc_ver2/mag/copyright_block.mag.
The cell exists in the search paths at ../mag/copyright_block.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "font_62" at bad file path ../mag/font_62.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_ml_xx_hd/mag/font_62.mag.
The discovered version will be used.
Scaled magic input cell font_62 geometry by factor of 24
Warning: Parent cell lists instance of "font_66" at bad file path ../mag/font_66.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_ml_xx_hd/mag/font_66.mag.
The discovered version will be used.
Scaled magic input cell font_66 geometry by factor of 24
Warning: Parent cell lists instance of "font_29" at bad file path ../mag/font_29.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_ml_xx_hd/mag/font_29.mag.
The discovered version will be used.
Scaled magic input cell font_29 geometry by factor of 24
Warning: Parent cell lists instance of "font_20" at bad file path ../mag/font_20.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_ml_xx_hd/mag/font_20.mag.
The discovered version will be used.
Scaled magic input cell font_20 geometry by factor of 24
Warning: Parent cell lists instance of "font_28" at bad file path ../mag/font_28.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_ml_xx_hd/mag/font_28.mag.
The discovered version will be used.
Scaled magic input cell font_28 geometry by factor of 24
Warning: Parent cell lists instance of "font_33" at bad file path ../mag/font_33.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_ml_xx_hd/mag/font_33.mag.
The discovered version will be used.
Scaled magic input cell font_33 geometry by factor of 24
Warning: Parent cell lists instance of "font_56" at bad file path ../mag/font_56.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_ml_xx_hd/mag/font_56.mag.
The discovered version will be used.
Scaled magic input cell font_56 geometry by factor of 24
Warning: Parent cell lists instance of "font_76" at bad file path ../mag/font_76.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_ml_xx_hd/mag/font_76.mag.
The discovered version will be used.
Scaled magic input cell font_76 geometry by factor of 24
Warning: Parent cell lists instance of "font_72" at bad file path ../mag/font_72.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_ml_xx_hd/mag/font_72.mag.
The discovered version will be used.
Scaled magic input cell font_72 geometry by factor of 24
Warning: Parent cell lists instance of "font_2D" at bad file path ../mag/font_2D.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_ml_xx_hd/mag/font_2D.mag.
The discovered version will be used.
Scaled magic input cell font_2D geometry by factor of 24
Warning: Parent cell lists instance of "font_4B" at bad file path ../mag/font_4B.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_ml_xx_hd/mag/font_4B.mag.
The discovered version will be used.
Scaled magic input cell font_4B geometry by factor of 24
Warning: Parent cell lists instance of "font_44" at bad file path ../mag/font_44.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_ml_xx_hd/mag/font_44.mag.
The discovered version will be used.
Scaled magic input cell font_44 geometry by factor of 24
Warning: Parent cell lists instance of "font_50" at bad file path ../mag/font_50.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_ml_xx_hd/mag/font_50.mag.
The discovered version will be used.
Scaled magic input cell font_50 geometry by factor of 24
Warning: Parent cell lists instance of "font_70" at bad file path ../mag/font_70.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_ml_xx_hd/mag/font_70.mag.
The discovered version will be used.
Scaled magic input cell font_70 geometry by factor of 24
Warning: Parent cell lists instance of "font_6F" at bad file path ../mag/font_6F.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_ml_xx_hd/mag/font_6F.mag.
The discovered version will be used.
Scaled magic input cell font_6F geometry by factor of 24
Warning: Parent cell lists instance of "font_74" at bad file path ../mag/font_74.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_ml_xx_hd/mag/font_74.mag.
The discovered version will be used.
Scaled magic input cell font_74 geometry by factor of 24
Warning: Parent cell lists instance of "font_57" at bad file path ../mag/font_57.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_ml_xx_hd/mag/font_57.mag.
The discovered version will be used.
Scaled magic input cell font_57 geometry by factor of 24
Warning: Parent cell lists instance of "font_6B" at bad file path ../mag/font_6B.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_ml_xx_hd/mag/font_6B.mag.
The discovered version will be used.
Scaled magic input cell font_6B geometry by factor of 24
Warning: Parent cell lists instance of "font_79" at bad file path ../mag/font_79.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_ml_xx_hd/mag/font_79.mag.
The discovered version will be used.
Scaled magic input cell font_79 geometry by factor of 24
Warning: Parent cell lists instance of "font_47" at bad file path ../mag/font_47.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_ml_xx_hd/mag/font_47.mag.
The discovered version will be used.
Scaled magic input cell font_47 geometry by factor of 24
Warning: Parent cell lists instance of "font_31" at bad file path ../mag/font_31.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_ml_xx_hd/mag/font_31.mag.
The discovered version will be used.
Scaled magic input cell font_31 geometry by factor of 24
Warning: Parent cell lists instance of "font_32" at bad file path ../mag/font_32.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_ml_xx_hd/mag/font_32.mag.
The discovered version will be used.
Scaled magic input cell font_32 geometry by factor of 24
Warning: Parent cell lists instance of "font_30" at bad file path ../mag/font_30.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_ml_xx_hd/mag/font_30.mag.
The discovered version will be used.
Scaled magic input cell font_30 geometry by factor of 24
Warning: Parent cell lists instance of "font_6D" at bad file path ../mag/font_6D.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_ml_xx_hd/mag/font_6D.mag.
The discovered version will be used.
Scaled magic input cell font_6D geometry by factor of 24
Warning: Parent cell lists instance of "font_4E" at bad file path ../mag/font_4E.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_ml_xx_hd/mag/font_4E.mag.
The discovered version will be used.
Scaled magic input cell font_4E geometry by factor of 24
Warning: Parent cell lists instance of "caravel_clocking" at bad file path /mnt/shuttles/shuttle/mpw-two/slot-012/fuserisc_ver2/mag/caravel_clocking.mag.
The cell exists in the search paths at ../mag/caravel_clocking.mag.
The discovered version will be used.
caravel_clocking: 10000 rects
caravel_clocking: 20000 rects
Warning: Parent cell lists instance of "sky130_fd_sc_hd__clkbuf_1" at bad file path ../mag/sky130_fd_sc_hd__clkbuf_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__clkbuf_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nor2_1" at bad file path ../mag/sky130_fd_sc_hd__nor2_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__nor2_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__inv_4" at bad file path ../mag/sky130_fd_sc_hd__inv_4.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__inv_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__diode_2" at bad file path ../mag/sky130_fd_sc_hd__diode_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__diode_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__buf_2" at bad file path ../mag/sky130_fd_sc_hd__buf_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__buf_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__clkdlybuf4s25_1" at bad file path ../mag/sky130_fd_sc_hd__clkdlybuf4s25_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__clkdlybuf4s25_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__mux2_1" at bad file path ../mag/sky130_fd_sc_hd__mux2_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__mux2_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__clkbuf_2" at bad file path ../mag/sky130_fd_sc_hd__clkbuf_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__clkbuf_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__buf_1" at bad file path ../mag/sky130_fd_sc_hd__buf_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__buf_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__mux2_2" at bad file path ../mag/sky130_fd_sc_hd__mux2_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__mux2_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__xor2_1" at bad file path ../mag/sky130_fd_sc_hd__xor2_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__xor2_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nand2_1" at bad file path ../mag/sky130_fd_sc_hd__nand2_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__nand2_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__dfstp_1" at bad file path ../mag/sky130_fd_sc_hd__dfstp_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__dfstp_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__dfrtp_1" at bad file path ../mag/sky130_fd_sc_hd__dfrtp_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__dfrtp_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__dfrtn_1" at bad file path ../mag/sky130_fd_sc_hd__dfrtn_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__dfrtn_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nand3_1" at bad file path ../mag/sky130_fd_sc_hd__nand3_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__nand3_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__clkbuf_16" at bad file path ../mag/sky130_fd_sc_hd__clkbuf_16.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__clkbuf_16.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o21bai_1" at bad file path ../mag/sky130_fd_sc_hd__o21bai_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__o21bai_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__inv_2" at bad file path ../mag/sky130_fd_sc_hd__inv_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__inv_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nor3b_2" at bad file path ../mag/sky130_fd_sc_hd__nor3b_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__nor3b_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__dfrtp_4" at bad file path ../mag/sky130_fd_sc_hd__dfrtp_4.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__dfrtp_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a21bo_1" at bad file path ../mag/sky130_fd_sc_hd__a21bo_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__a21bo_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__buf_12" at bad file path ../mag/sky130_fd_sc_hd__buf_12.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__buf_12.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__xnor2_1" at bad file path ../mag/sky130_fd_sc_hd__xnor2_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__xnor2_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nand3b_1" at bad file path ../mag/sky130_fd_sc_hd__nand3b_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__nand3b_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__clkinv_4" at bad file path ../mag/sky130_fd_sc_hd__clkinv_4.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__clkinv_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__dfxtp_1" at bad file path ../mag/sky130_fd_sc_hd__dfxtp_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__dfxtp_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o21ai_1" at bad file path ../mag/sky130_fd_sc_hd__o21ai_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__o21ai_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__dfstp_4" at bad file path ../mag/sky130_fd_sc_hd__dfstp_4.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__dfstp_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o2bb2ai_2" at bad file path ../mag/sky130_fd_sc_hd__o2bb2ai_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__o2bb2ai_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a21o_1" at bad file path ../mag/sky130_fd_sc_hd__a21o_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__a21o_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o21a_1" at bad file path ../mag/sky130_fd_sc_hd__o21a_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__o21a_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__clkinv_2" at bad file path ../mag/sky130_fd_sc_hd__clkinv_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__clkinv_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nand2_2" at bad file path ../mag/sky130_fd_sc_hd__nand2_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__nand2_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o211a_1" at bad file path ../mag/sky130_fd_sc_hd__o211a_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__o211a_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nor3_1" at bad file path ../mag/sky130_fd_sc_hd__nor3_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__nor3_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__and2_1" at bad file path ../mag/sky130_fd_sc_hd__and2_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__and2_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__dfrtp_2" at bad file path ../mag/sky130_fd_sc_hd__dfrtp_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__dfrtp_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o211ai_4" at bad file path ../mag/sky130_fd_sc_hd__o211ai_4.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__o211ai_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__or2b_1" at bad file path ../mag/sky130_fd_sc_hd__or2b_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__or2b_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__clkbuf_4" at bad file path ../mag/sky130_fd_sc_hd__clkbuf_4.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__clkbuf_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nor3b_1" at bad file path ../mag/sky130_fd_sc_hd__nor3b_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__nor3b_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__dlygate4sd1_1" at bad file path ../mag/sky130_fd_sc_hd__dlygate4sd1_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__dlygate4sd1_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__dfstp_2" at bad file path ../mag/sky130_fd_sc_hd__dfstp_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__dfstp_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__dlymetal6s2s_1" at bad file path ../mag/sky130_fd_sc_hd__dlymetal6s2s_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__dlymetal6s2s_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "housekeeping" at bad file path /mnt/shuttles/shuttle/mpw-two/slot-012/fuserisc_ver2/mag/housekeeping.mag.
The cell exists in the search paths at ../mag/housekeeping.mag.
The discovered version will be used.
housekeeping: 10000 rects
housekeeping: 20000 rects
housekeeping: 30000 rects
housekeeping: 40000 rects
housekeeping: 50000 rects
housekeeping: 60000 rects
housekeeping: 70000 rects
housekeeping: 80000 rects
housekeeping: 90000 rects
housekeeping: 100000 rects
housekeeping: 110000 rects
housekeeping: 120000 rects
housekeeping: 130000 rects
housekeeping: 140000 rects
housekeeping: 150000 rects
housekeeping: 160000 rects
housekeeping: 170000 rects
housekeeping: 180000 rects
housekeeping: 190000 rects
housekeeping: 200000 rects
housekeeping: 210000 rects
housekeeping: 220000 rects
housekeeping: 230000 rects
housekeeping: 240000 rects
housekeeping: 250000 rects
housekeeping: 260000 rects
housekeeping: 270000 rects
housekeeping: 280000 rects
housekeeping: 290000 rects
housekeeping: 300000 rects
housekeeping: 310000 rects
housekeeping: 320000 rects
housekeeping: 330000 rects
housekeeping: 340000 rects
housekeeping: 350000 rects
housekeeping: 360000 rects
housekeeping: 370000 rects
housekeeping: 380000 rects
housekeeping: 390000 rects
housekeeping: 400000 rects
housekeeping: 410000 rects
housekeeping: 420000 rects
housekeeping: 430000 rects
housekeeping: 440000 rects
housekeeping: 450000 rects
housekeeping: 460000 rects
Warning: Parent cell lists instance of "sky130_fd_sc_hd__buf_4" at bad file path ../mag/sky130_fd_sc_hd__buf_4.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__buf_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__buf_6" at bad file path ../mag/sky130_fd_sc_hd__buf_6.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__buf_6.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__ebufn_8" at bad file path ../mag/sky130_fd_sc_hd__ebufn_8.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__ebufn_8.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__clkinv_8" at bad file path ../mag/sky130_fd_sc_hd__clkinv_8.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__clkinv_8.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__inv_6" at bad file path ../mag/sky130_fd_sc_hd__inv_6.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__inv_6.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a221o_1" at bad file path ../mag/sky130_fd_sc_hd__a221o_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__a221o_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__or4bb_1" at bad file path ../mag/sky130_fd_sc_hd__or4bb_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__or4bb_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__or2_1" at bad file path ../mag/sky130_fd_sc_hd__or2_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__or2_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__or4b_1" at bad file path ../mag/sky130_fd_sc_hd__or4b_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__or4b_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a32o_2" at bad file path ../mag/sky130_fd_sc_hd__a32o_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__a32o_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o21ai_2" at bad file path ../mag/sky130_fd_sc_hd__o21ai_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__o21ai_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__or4_2" at bad file path ../mag/sky130_fd_sc_hd__or4_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__or4_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__or4_1" at bad file path ../mag/sky130_fd_sc_hd__or4_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__or4_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nand4_1" at bad file path ../mag/sky130_fd_sc_hd__nand4_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__nand4_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nand4bb_1" at bad file path ../mag/sky130_fd_sc_hd__nand4bb_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__nand4bb_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o22a_2" at bad file path ../mag/sky130_fd_sc_hd__o22a_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__o22a_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a22o_1" at bad file path ../mag/sky130_fd_sc_hd__a22o_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__a22o_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__or3_1" at bad file path ../mag/sky130_fd_sc_hd__or3_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__or3_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o22a_1" at bad file path ../mag/sky130_fd_sc_hd__o22a_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__o22a_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o2bb2a_1" at bad file path ../mag/sky130_fd_sc_hd__o2bb2a_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__o2bb2a_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__inv_8" at bad file path ../mag/sky130_fd_sc_hd__inv_8.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__inv_8.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__or3_2" at bad file path ../mag/sky130_fd_sc_hd__or3_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__or3_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__or3_4" at bad file path ../mag/sky130_fd_sc_hd__or3_4.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__or3_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__mux2_8" at bad file path ../mag/sky130_fd_sc_hd__mux2_8.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__mux2_8.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__buf_8" at bad file path ../mag/sky130_fd_sc_hd__buf_8.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__buf_8.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a22oi_4" at bad file path ../mag/sky130_fd_sc_hd__a22oi_4.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__a22oi_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__or3b_1" at bad file path ../mag/sky130_fd_sc_hd__or3b_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__or3b_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a2bb2o_1" at bad file path ../mag/sky130_fd_sc_hd__a2bb2o_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__a2bb2o_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__and3_1" at bad file path ../mag/sky130_fd_sc_hd__and3_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__and3_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a211o_1" at bad file path ../mag/sky130_fd_sc_hd__a211o_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__a211o_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a21oi_1" at bad file path ../mag/sky130_fd_sc_hd__a21oi_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__a21oi_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__or2_4" at bad file path ../mag/sky130_fd_sc_hd__or2_4.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__or2_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__or4bb_4" at bad file path ../mag/sky130_fd_sc_hd__or4bb_4.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__or4bb_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nand2_4" at bad file path ../mag/sky130_fd_sc_hd__nand2_4.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__nand2_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o22ai_1" at bad file path ../mag/sky130_fd_sc_hd__o22ai_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__o22ai_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o32a_1" at bad file path ../mag/sky130_fd_sc_hd__o32a_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__o32a_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__or2_2" at bad file path ../mag/sky130_fd_sc_hd__or2_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__or2_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a21bo_2" at bad file path ../mag/sky130_fd_sc_hd__a21bo_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__a21bo_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__inv_12" at bad file path ../mag/sky130_fd_sc_hd__inv_12.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__inv_12.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o31ai_4" at bad file path ../mag/sky130_fd_sc_hd__o31ai_4.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__o31ai_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__or2b_2" at bad file path ../mag/sky130_fd_sc_hd__or2b_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__or2b_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__clkinvlp_2" at bad file path ../mag/sky130_fd_sc_hd__clkinvlp_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__clkinvlp_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o32a_2" at bad file path ../mag/sky130_fd_sc_hd__o32a_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__o32a_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a311o_1" at bad file path ../mag/sky130_fd_sc_hd__a311o_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__a311o_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__and4b_1" at bad file path ../mag/sky130_fd_sc_hd__and4b_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__and4b_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o221a_1" at bad file path ../mag/sky130_fd_sc_hd__o221a_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__o221a_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nor3_4" at bad file path ../mag/sky130_fd_sc_hd__nor3_4.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__nor3_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__or4_4" at bad file path ../mag/sky130_fd_sc_hd__or4_4.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__or4_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__or4b_2" at bad file path ../mag/sky130_fd_sc_hd__or4b_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__or4b_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nor2_4" at bad file path ../mag/sky130_fd_sc_hd__nor2_4.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__nor2_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a21o_2" at bad file path ../mag/sky130_fd_sc_hd__a21o_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__a21o_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a31o_1" at bad file path ../mag/sky130_fd_sc_hd__a31o_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__a31o_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nor4_1" at bad file path ../mag/sky130_fd_sc_hd__nor4_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__nor4_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a32o_1" at bad file path ../mag/sky130_fd_sc_hd__a32o_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__a32o_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__ebufn_2" at bad file path ../mag/sky130_fd_sc_hd__ebufn_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__ebufn_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nand2_8" at bad file path ../mag/sky130_fd_sc_hd__nand2_8.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__nand2_8.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nor2_2" at bad file path ../mag/sky130_fd_sc_hd__nor2_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__nor2_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__or3b_2" at bad file path ../mag/sky130_fd_sc_hd__or3b_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__or3b_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__clkbuf_8" at bad file path ../mag/sky130_fd_sc_hd__clkbuf_8.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__clkbuf_8.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a22oi_1" at bad file path ../mag/sky130_fd_sc_hd__a22oi_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__a22oi_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o221a_2" at bad file path ../mag/sky130_fd_sc_hd__o221a_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__o221a_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__mux2_4" at bad file path ../mag/sky130_fd_sc_hd__mux2_4.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__mux2_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o211a_2" at bad file path ../mag/sky130_fd_sc_hd__o211a_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__o211a_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a41o_1" at bad file path ../mag/sky130_fd_sc_hd__a41o_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__a41o_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o22ai_4" at bad file path ../mag/sky130_fd_sc_hd__o22ai_4.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__o22ai_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a41o_2" at bad file path ../mag/sky130_fd_sc_hd__a41o_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__a41o_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a311oi_1" at bad file path ../mag/sky130_fd_sc_hd__a311oi_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__a311oi_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o21ba_1" at bad file path ../mag/sky130_fd_sc_hd__o21ba_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__o21ba_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o2111ai_1" at bad file path ../mag/sky130_fd_sc_hd__o2111ai_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__o2111ai_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o311a_1" at bad file path ../mag/sky130_fd_sc_hd__o311a_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__o311a_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a211o_4" at bad file path ../mag/sky130_fd_sc_hd__a211o_4.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__a211o_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o221ai_1" at bad file path ../mag/sky130_fd_sc_hd__o221ai_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__o221ai_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__and3_2" at bad file path ../mag/sky130_fd_sc_hd__and3_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__and3_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o31a_1" at bad file path ../mag/sky130_fd_sc_hd__o31a_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__o31a_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o22ai_2" at bad file path ../mag/sky130_fd_sc_hd__o22ai_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__o22ai_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o22a_4" at bad file path ../mag/sky130_fd_sc_hd__o22a_4.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__o22a_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o211ai_1" at bad file path ../mag/sky130_fd_sc_hd__o211ai_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__o211ai_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o221ai_2" at bad file path ../mag/sky130_fd_sc_hd__o221ai_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__o221ai_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o2111ai_2" at bad file path ../mag/sky130_fd_sc_hd__o2111ai_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__o2111ai_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nand3_4" at bad file path ../mag/sky130_fd_sc_hd__nand3_4.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__nand3_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o2111ai_4" at bad file path ../mag/sky130_fd_sc_hd__o2111ai_4.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__o2111ai_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__and4_1" at bad file path ../mag/sky130_fd_sc_hd__and4_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__and4_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o2111a_1" at bad file path ../mag/sky130_fd_sc_hd__o2111a_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__o2111a_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o221ai_4" at bad file path ../mag/sky130_fd_sc_hd__o221ai_4.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__o221ai_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nor4_2" at bad file path ../mag/sky130_fd_sc_hd__nor4_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__nor4_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a2111o_2" at bad file path ../mag/sky130_fd_sc_hd__a2111o_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__a2111o_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__and3_4" at bad file path ../mag/sky130_fd_sc_hd__and3_4.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__and3_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__and4bb_1" at bad file path ../mag/sky130_fd_sc_hd__and4bb_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__and4bb_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o211ai_2" at bad file path ../mag/sky130_fd_sc_hd__o211ai_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__o211ai_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__and4_2" at bad file path ../mag/sky130_fd_sc_hd__and4_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__and4_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o2111a_2" at bad file path ../mag/sky130_fd_sc_hd__o2111a_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__o2111a_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nand4_4" at bad file path ../mag/sky130_fd_sc_hd__nand4_4.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__nand4_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nand4b_4" at bad file path ../mag/sky130_fd_sc_hd__nand4b_4.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__nand4b_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a311oi_2" at bad file path ../mag/sky130_fd_sc_hd__a311oi_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__a311oi_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a2111o_1" at bad file path ../mag/sky130_fd_sc_hd__a2111o_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__a2111o_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nor3_2" at bad file path ../mag/sky130_fd_sc_hd__nor3_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__nor3_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nand4_2" at bad file path ../mag/sky130_fd_sc_hd__nand4_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__nand4_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o2bb2a_2" at bad file path ../mag/sky130_fd_sc_hd__o2bb2a_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__o2bb2a_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__or4b_4" at bad file path ../mag/sky130_fd_sc_hd__or4b_4.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__or4b_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__and3b_1" at bad file path ../mag/sky130_fd_sc_hd__and3b_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__and3b_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a22o_2" at bad file path ../mag/sky130_fd_sc_hd__a22o_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__a22o_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o221a_4" at bad file path ../mag/sky130_fd_sc_hd__o221a_4.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__o221a_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__or3b_4" at bad file path ../mag/sky130_fd_sc_hd__or3b_4.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__or3b_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o21ai_4" at bad file path ../mag/sky130_fd_sc_hd__o21ai_4.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__o21ai_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nor2_8" at bad file path ../mag/sky130_fd_sc_hd__nor2_8.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__nor2_8.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__and2b_1" at bad file path ../mag/sky130_fd_sc_hd__and2b_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__and2b_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o311a_2" at bad file path ../mag/sky130_fd_sc_hd__o311a_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__o311a_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a31oi_1" at bad file path ../mag/sky130_fd_sc_hd__a31oi_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__a31oi_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a221o_2" at bad file path ../mag/sky130_fd_sc_hd__a221o_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__a221o_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "digital_pll" at bad file path /mnt/shuttles/shuttle/mpw-two/slot-012/fuserisc_ver2/mag/digital_pll.mag.
The cell exists in the search paths at ../mag/digital_pll.mag.
The discovered version will be used.
digital_pll: 10000 rects
Warning: Parent cell lists instance of "sky130_fd_sc_hd__einvp_2" at bad file path ../mag/sky130_fd_sc_hd__einvp_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__einvp_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__clkinv_1" at bad file path ../mag/sky130_fd_sc_hd__clkinv_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__clkinv_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__einvn_8" at bad file path ../mag/sky130_fd_sc_hd__einvn_8.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__einvn_8.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__einvn_4" at bad file path ../mag/sky130_fd_sc_hd__einvn_4.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__einvn_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o21a_2" at bad file path ../mag/sky130_fd_sc_hd__o21a_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__o21a_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__and2_2" at bad file path ../mag/sky130_fd_sc_hd__and2_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__and2_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o31a_2" at bad file path ../mag/sky130_fd_sc_hd__o31a_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__o31a_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o41a_2" at bad file path ../mag/sky130_fd_sc_hd__o41a_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__o41a_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a31o_2" at bad file path ../mag/sky130_fd_sc_hd__a31o_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__a31o_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__einvp_1" at bad file path ../mag/sky130_fd_sc_hd__einvp_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__einvp_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a2bb2o_2" at bad file path ../mag/sky130_fd_sc_hd__a2bb2o_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__a2bb2o_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a311o_2" at bad file path ../mag/sky130_fd_sc_hd__a311o_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__a311o_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a21oi_2" at bad file path ../mag/sky130_fd_sc_hd__a21oi_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__a21oi_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a22oi_2" at bad file path ../mag/sky130_fd_sc_hd__a22oi_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__a22oi_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "gpio_control_block" at bad file path /mnt/shuttles/shuttle/mpw-two/slot-012/fuserisc_ver2/mag/gpio_control_block.mag.
The cell exists in the search paths at ../mag/gpio_control_block.mag.
The discovered version will be used.
gpio_control_block: 10000 rects
Warning: Parent cell lists instance of "sky130_fd_sc_hd__dfbbn_1" at bad file path ../mag/sky130_fd_sc_hd__dfbbn_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__dfbbn_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__einvp_8" at bad file path ../mag/sky130_fd_sc_hd__einvp_8.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__einvp_8.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "gpio_defaults_block_1803" at bad file path /mnt/shuttles/shuttle/mpw-two/slot-012/fuserisc_ver2/mag/gpio_defaults_block_1803.mag.
The cell exists in the search paths at ../mag/gpio_defaults_block_1803.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "mgmt_protect" at bad file path /mnt/shuttles/shuttle/mpw-two/slot-012/fuserisc_ver2/mag/mgmt_protect.mag.
The cell exists in the search paths at ../mag/mgmt_protect.mag.
The discovered version will be used.
mgmt_protect: 10000 rects
mgmt_protect: 20000 rects
mgmt_protect: 30000 rects
mgmt_protect: 40000 rects
mgmt_protect: 50000 rects
mgmt_protect: 60000 rects
mgmt_protect: 70000 rects
mgmt_protect: 80000 rects
mgmt_protect: 90000 rects
mgmt_protect: 100000 rects
mgmt_protect: 110000 rects
mgmt_protect: 120000 rects
mgmt_protect: 130000 rects
mgmt_protect: 140000 rects
mgmt_protect: 150000 rects
mgmt_protect: 160000 rects
Warning: Parent cell lists instance of "sky130_fd_sc_hd__and2b_2" at bad file path ../mag/sky130_fd_sc_hd__and2b_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__and2b_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__clkinv_16" at bad file path ../mag/sky130_fd_sc_hd__clkinv_16.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__clkinv_16.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__and2_4" at bad file path ../mag/sky130_fd_sc_hd__and2_4.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__and2_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hvl__conb_1" at bad file path ../mag/sky130_fd_sc_hvl__conb_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hvl/mag/sky130_fd_sc_hvl__conb_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__inv_16" at bad file path ../mag/sky130_fd_sc_hd__inv_16.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__inv_16.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "spare_logic_block" at bad file path /mnt/shuttles/shuttle/mpw-two/slot-012/fuserisc_ver2/mag/spare_logic_block.mag.
The cell exists in the search paths at ../mag/spare_logic_block.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__dfbbp_1" at bad file path ../mag/sky130_fd_sc_hd__dfbbp_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__dfbbp_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "gpio_defaults_block" at bad file path /mnt/shuttles/shuttle/mpw-two/slot-012/fuserisc_ver2/mag/gpio_defaults_block.mag.
The cell exists in the search paths at ../mag/gpio_defaults_block.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "chip_io" at bad file path /mnt/shuttles/shuttle/mpw-two/slot-012/fuserisc_ver2/mag/chip_io.mag.
The cell exists in the search paths at ../mag/chip_io.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_ef_io__corner_pad" at bad file path ../mag/sky130_ef_io__corner_pad.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_io/mag/sky130_ef_io__corner_pad.mag.
The discovered version will be used.
sky130_fd_io__corner_bus_overlay: 10000 rects
Warning: Parent cell lists instance of "sky130_ef_io__com_bus_slice_20um" at bad file path ../mag/sky130_ef_io__com_bus_slice_20um.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_io/mag/sky130_ef_io__com_bus_slice_20um.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_ef_io__com_bus_slice_10um" at bad file path ../mag/sky130_ef_io__com_bus_slice_10um.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_io/mag/sky130_ef_io__com_bus_slice_10um.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_ef_io__com_bus_slice_5um" at bad file path ../mag/sky130_ef_io__com_bus_slice_5um.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_io/mag/sky130_ef_io__com_bus_slice_5um.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_ef_io__com_bus_slice_1um" at bad file path ../mag/sky130_ef_io__com_bus_slice_1um.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_io/mag/sky130_ef_io__com_bus_slice_1um.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um" at bad file path ../mag/sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_io/mag/sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_ef_io__vssa_hvc_clamped_pad" at bad file path ../mag/sky130_ef_io__vssa_hvc_clamped_pad.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_io/mag/sky130_ef_io__vssa_hvc_clamped_pad.mag.
The discovered version will be used.
sky130_fd_io__top_ground_hvc_wpad: 10000 rects
sky130_fd_io__top_ground_hvc_wpad: 20000 rects
sky130_fd_io__top_ground_hvc_wpad: 30000 rects
sky130_fd_io__top_ground_hvc_wpad: 40000 rects
sky130_fd_io__top_ground_hvc_wpad: 50000 rects
sky130_fd_io__top_ground_hvc_wpad: 60000 rects
sky130_fd_io__top_ground_hvc_wpad: 70000 rects
sky130_fd_io__top_ground_hvc_wpad: 80000 rects
sky130_fd_io__top_ground_hvc_wpad: 90000 rects
sky130_fd_io__top_ground_hvc_wpad: 100000 rects
sky130_fd_io__top_ground_hvc_wpad: 110000 rects
sky130_fd_io__top_ground_hvc_wpad: 120000 rects
sky130_fd_io__top_ground_hvc_wpad: 130000 rects
sky130_fd_io__top_ground_hvc_wpad: 140000 rects
sky130_fd_io__top_ground_hvc_wpad: 150000 rects
sky130_fd_io__top_ground_hvc_wpad: 160000 rects
sky130_fd_io__top_ground_hvc_wpad: 170000 rects
sky130_fd_io__top_ground_hvc_wpad: 180000 rects
sky130_fd_io__top_ground_hvc_wpad: 190000 rects
sky130_fd_io__top_ground_hvc_wpad: 200000 rects
sky130_fd_io__top_ground_hvc_wpad: 210000 rects
Scaled magic input cell sky130_fd_pr__hvdfl1sd__example_5595914180851 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__dfl1sd__example_55959141808336 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__dfl1sd2__example_55959141808666 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__dfl1sd__example_55959141808678 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__dfl1sd2__example_55959141808679 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_pol1__example_5595914180839 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808660 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__dfl1__example_55959141808663 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdftpl1s__example_55959141808671 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdftpl1s2__example_55959141808672 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__dfl1__example_55959141808662 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808661 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdftpl1s__example_55959141808675 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdftpl1s2__example_55959141808676 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_559591418084 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__gendlring__example_559591418081 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__genrivetdlring__example_559591418082 geometry by factor of 2
Warning: Parent cell lists instance of "sky130_fd_io__top_xres4v2" at bad file path ../mag/sky130_fd_io__top_xres4v2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_io/mag/sky130_fd_io__top_xres4v2.mag.
The discovered version will be used.
sky130_fd_io__top_xres4v2: 10000 rects
sky130_fd_io__top_xres4v2: 20000 rects
sky130_fd_io__top_xres4v2: 30000 rects
sky130_fd_io__top_xres4v2: 40000 rects
sky130_fd_io__top_xres4v2: 50000 rects
sky130_fd_io__top_xres4v2: 60000 rects
sky130_fd_io__top_xres4v2: 70000 rects
sky130_fd_io__top_xres4v2: 80000 rects
sky130_fd_io__top_xres4v2: 90000 rects
sky130_fd_io__top_xres4v2: 100000 rects
sky130_fd_io__top_xres4v2: 110000 rects
sky130_fd_io__top_xres4v2: 120000 rects
sky130_fd_io__top_xres4v2: 130000 rects
sky130_fd_io__top_xres4v2: 140000 rects
sky130_fd_io__top_xres4v2: 150000 rects
sky130_fd_io__top_xres4v2: 160000 rects
sky130_fd_io__top_xres4v2: 170000 rects
sky130_fd_io__top_xres4v2: 180000 rects
sky130_fd_io__top_xres4v2: 190000 rects
sky130_fd_io__top_xres4v2: 200000 rects
sky130_fd_io__top_xres4v2: 210000 rects
Scaled magic input cell sky130_fd_pr__hvdfm1sd2__example_55959141808717 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfm1sd2__example_55959141808719 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfm1sd2__example_5595914180890 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfm1sd2__example_55959141808243 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfm1sd2__example_55959141808765 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_pol1__example_5595914180833 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808264 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_5595914180858 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__dfl1sd__example_5595914180819 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_pol1__example_55959141808147 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__tpl1__example_55959141808148 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__tpl1__example_55959141808149 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__tpl1__example_55959141808150 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__tpl1__example_55959141808151 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808152 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808153 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808154 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808155 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808156 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808157 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__dfl1__example_55959141808158 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_pol1__example_55959141808274 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808400 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808128 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808372 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808326 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808290 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808127 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_5595914180897 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_m1m2__example_55959141808551 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_m1m2__example_55959141808552 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_m1m2__example_55959141808350 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_m1m2__example_55959141808260 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_m1m2__example_55959141808402 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_m1m2__example_55959141808724 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_m1m2__example_55959141808259 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_m1m2__example_55959141808261 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_m1m2__example_55959141808553 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_m1m2__example_55959141808725 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_m1m2__example_55959141808554 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_m1m2__example_55959141808726 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_m1m2__example_55959141808727 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_m1m2__example_55959141808728 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfm1sd__example_5595914180848 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfm1sd2__example_5595914180849 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfl1sd__example_55959141808370 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfl1sd__example_55959141808137 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__dfl1sd__example_559591418086 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_pol1__example_559591418083 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfl1sd2__example_55959141808385 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__dfl1sd__example_559591418088 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfl1sd2__example_55959141808316 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_pol1__example_55959141808612 geometry by factor of 2
sky130_fd_io__pfet_con_diff_wo_abt_270_xres4v2: 10000 rects
sky130_fd_io__pfet_con_diff_wo_abt_270_xres4v2: 20000 rects
sky130_fd_io__pfet_con_diff_wo_abt_270_xres4v2: 30000 rects
sky130_fd_io__pfet_con_diff_wo_abt_270_xres4v2: 40000 rects
Scaled magic input cell sky130_fd_pr__hvdftpm1s2__example_55959141808659 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfl1sd2__example_55959141808202 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1_centered__example_5595914180811 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1_centered__example_5595914180812 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1_centered__example_559591418084 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1_centered__example_559591418086 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_pol1_centered__example_559591418081 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_m2m3__example_55959141808714 geometry by factor of 2
sky130_fd_io__xres2v2_rcfilter_lpfv2: 10000 rects
sky130_fd_io__xres2v2_rcfilter_lpfv2: 20000 rects
sky130_fd_io__xres2v2_rcfilter_lpfv2: 30000 rects
sky130_fd_io__xres2v2_rcfilter_lpfv2: 40000 rects
Scaled magic input cell sky130_fd_pr__dfl1__example_55959141808187 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfl1sd__example_55959141808700 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfl1sd2__example_55959141808559 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfl1sd__example_55959141808338 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfl1sd2__example_55959141808337 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__dfl1__example_55959141808729 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_m1m2__example_55959141808730 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_m1m2__example_55959141808731 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_m1m2__example_55959141808732 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_m1m2__example_55959141808733 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_m1m2__example_55959141808734 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_m1m2__example_55959141808735 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_m1m2__example_55959141808736 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_m1m2__example_55959141808737 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_m1m2__example_55959141808738 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_m1m2__example_55959141808739 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_m1m2__example_55959141808740 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_pol1__example_55959141808273 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808741 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808742 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808743 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808744 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808324 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808745 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808746 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808747 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808748 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808749 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808270 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808750 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808751 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808752 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_5595914180857 geometry by factor of 2
sky130_fd_io__nfet_con_diff_wo_abt_270_xres4v2: 10000 rects
sky130_fd_io__nfet_con_diff_wo_abt_270_xres4v2: 20000 rects
sky130_fd_io__nfet_con_diff_wo_abt_270_xres4v2: 30000 rects
sky130_fd_io__nfet_con_diff_wo_abt_270_xres4v2: 40000 rects
sky130_fd_io__nfet_con_diff_wo_abt_270_xres4v2: 50000 rects
Scaled magic input cell sky130_fd_pr__hvdftpl1s__example_55959141808646 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdftpm1s2__example_55959141808649 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfl1sd2__example_55959141808378 geometry by factor of 2
sky130_fd_io__xres4v2_in_buf: 10000 rects
sky130_fd_io__xres4v2_in_buf: 20000 rects
sky130_fd_io__xres4v2_in_buf: 30000 rects
sky130_fd_io__xres4v2_in_buf: 40000 rects
Scaled magic input cell sky130_fd_pr__hvdfm1sd2__example_5595914180827 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfm1sd__example_55959141808233 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfm1sd__example_55959141808237 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfm1sd2__example_5595914180829 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfm1sd__example_55959141808242 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfm1sd__example_5595914180835 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfm1sd__example_55959141808782 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfm1sd__example_55959141808452 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfm1sd2__example_55959141808449 geometry by factor of 2
Warning: Parent cell lists instance of "sky130_ef_io__gpiov2_pad_wrapped" at bad file path ../mag/sky130_ef_io__gpiov2_pad_wrapped.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_io/mag/sky130_ef_io__gpiov2_pad_wrapped.mag.
The discovered version will be used.
sky130_fd_io__top_gpiov2: 10000 rects
sky130_fd_io__top_gpiov2: 20000 rects
sky130_fd_io__top_gpiov2: 30000 rects
sky130_fd_io__top_gpiov2: 40000 rects
sky130_fd_io__top_gpiov2: 50000 rects
sky130_fd_io__top_gpiov2: 60000 rects
sky130_fd_io__top_gpiov2: 70000 rects
sky130_fd_io__top_gpiov2: 80000 rects
sky130_fd_io__top_gpiov2: 90000 rects
sky130_fd_io__gpiov2_amux: 10000 rects
sky130_fd_io__gpiov2_amux: 20000 rects
sky130_fd_io__gpiov2_amux: 30000 rects
sky130_fd_io__amux_switch_1v2b: 10000 rects
Scaled magic input cell sky130_fd_pr__hvdfm1sd2__example_55959141808251 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__dfm1sd2__example_55959141808561 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfm1sd2__example_55959141808563 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__dfl1sd__example_5595914180868 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__dfl1sd2__example_5595914180869 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__dfl1sd2__example_5595914180875 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfl1sd__example_55959141808122 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__dfl1sd__example_55959141808123 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__dfl1sd2__example_5595914180884 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfl1sd__example_55959141808100 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__dfl1sd__example_5595914180815 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__dfl1sd__example_5595914180823 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__dfl1sd__example_55959141808106 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__dfl1sd__example_55959141808510 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__dfl1sd__example_55959141808504 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfm1sd__example_55959141808581 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfl1sd__example_55959141808418 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfl1sd2__example_55959141808140 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfl1sd__example_55959141808278 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfl1sd2__example_55959141808462 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfl1sd__example_5595914180894 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfm1sd__example_55959141808200 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__dfm1sd__example_55959141808258 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfl1sd__example_55959141808476 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfl1sd__example_55959141808115 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__dfl1sd2__example_5595914180816 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfl1sd2__example_55959141808178 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfl1sd2__example_55959141808488 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfl1sd2__example_55959141808306 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__dfl1sd__example_55959141808517 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__dfl1sd2__example_55959141808518 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__dfl1sd__example_5595914180811 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__dfl1sd__example_55959141808190 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__dfl1sd2__example_55959141808191 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__dfl1sd2__example_5595914180812 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfl1sd__example_55959141808280 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfl1sd2__example_55959141808425 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfl1sd__example_55959141808102 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfl1sd__example_55959141808434 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808440 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_5595914180878 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_pol1__example_55959141808394 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_pol1__example_55959141808298 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_pol1__example_55959141808373 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_pol1__example_55959141808272 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_m1m2__example_55959141808271 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__tpl1__example_55959141808374 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfl1sd__example_55959141808194 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_pol1__example_55959141808294 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_pol1__example_55959141808322 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_pol1__example_55959141808395 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_pol1__example_55959141808396 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_pol1__example_55959141808397 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_pol1__example_55959141808295 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_pol1__example_55959141808398 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808399 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808325 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808292 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808269 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808401 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808368 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__tpl1__example_55959141808625 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__dfl1sd2__example_55959141808633 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_pol1__example_55959141808320 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_pol1__example_55959141808321 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808323 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808291 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfl1sd2__example_55959141808143 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_pol1__example_5595914180854 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_pol1__example_55959141808275 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_m1m2__example_55959141808276 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808293 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_pol1__example_55959141808296 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_pol1__example_55959141808297 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__tpl1__example_55959141808299 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__tpl1__example_55959141808300 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808266 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808267 geometry by factor of 2
sky130_fd_io__gpio_odrvr_subv2: 10000 rects
sky130_fd_io__gpio_odrvr_subv2: 20000 rects
sky130_fd_io__gpio_odrvr_subv2: 30000 rects
sky130_fd_io__nfet_con_diff_wo_abt_270v2: 10000 rects
sky130_fd_io__nfet_con_diff_wo_abt_270v2: 20000 rects
sky130_fd_io__nfet_con_diff_wo_abt_270v2: 30000 rects
Scaled magic input cell sky130_fd_pr__via_l1m1_centered__example_559591418082 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1_centered__example_559591418083 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfm1sd__example_55959141808655 geometry by factor of 2
sky130_fd_io__pfet_con_diff_wo_abt_270v2: 10000 rects
sky130_fd_io__pfet_con_diff_wo_abt_270v2: 20000 rects
sky130_fd_io__pfet_con_diff_wo_abt_270v2: 30000 rects
sky130_fd_io__pfet_con_diff_wo_abt_270v2: 40000 rects
Scaled magic input cell sky130_fd_pr__via_l1m1_centered__example_559591418085 geometry by factor of 2
sky130_fd_io__gpio_pddrvr_strong_slowv2: 10000 rects
sky130_fd_io__gpio_pddrvr_strong_slowv2: 20000 rects
Scaled magic input cell sky130_fd_pr__via_l1m1__example_5595914180832 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_pol1_centered__example_559591418080 geometry by factor of 2
Warning: Parent cell lists instance of "sky130_ef_io__vssd_lvc_clamped_pad" at bad file path ../mag/sky130_ef_io__vssd_lvc_clamped_pad.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_io/mag/sky130_ef_io__vssd_lvc_clamped_pad.mag.
The discovered version will be used.
sky130_fd_io__top_ground_lvc_wpad: 10000 rects
sky130_fd_io__top_ground_lvc_wpad: 20000 rects
sky130_fd_io__top_ground_lvc_wpad: 30000 rects
sky130_fd_io__top_ground_lvc_wpad: 40000 rects
sky130_fd_io__top_ground_lvc_wpad: 50000 rects
sky130_fd_io__top_ground_lvc_wpad: 60000 rects
sky130_fd_io__top_ground_lvc_wpad: 70000 rects
sky130_fd_io__top_ground_lvc_wpad: 80000 rects
sky130_fd_io__top_ground_lvc_wpad: 90000 rects
sky130_fd_io__top_ground_lvc_wpad: 100000 rects
sky130_fd_io__top_ground_lvc_wpad: 110000 rects
sky130_fd_io__top_ground_lvc_wpad: 120000 rects
sky130_fd_io__top_ground_lvc_wpad: 130000 rects
sky130_fd_io__top_ground_lvc_wpad: 140000 rects
sky130_fd_io__top_ground_lvc_wpad: 150000 rects
sky130_fd_io__top_ground_lvc_wpad: 160000 rects
sky130_fd_io__top_ground_lvc_wpad: 170000 rects
sky130_fd_io__top_ground_lvc_wpad: 180000 rects
sky130_fd_io__top_ground_lvc_wpad: 190000 rects
sky130_fd_io__top_ground_lvc_wpad: 200000 rects
sky130_fd_io__top_ground_lvc_wpad: 210000 rects
sky130_fd_io__top_ground_lvc_wpad: 220000 rects
Scaled magic input cell sky130_fd_io__gnd2gnd_tap geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808683 geometry by factor of 2
Scaled magic input cell sky130_fd_io__gnd2gnd_diff geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808684 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__tpl1__example_55959141808685 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__tpl1__example_55959141808686 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__dftpl1s2__example_55959141808702 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__dfl1__example_55959141808682 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__dftpl1s2__example_55959141808694 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__dfl1__example_55959141808681 geometry by factor of 2
Warning: Parent cell lists instance of "sky130_ef_io__vssio_hvc_clamped_pad" at bad file path ../mag/sky130_ef_io__vssio_hvc_clamped_pad.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_io/mag/sky130_ef_io__vssio_hvc_clamped_pad.mag.
The discovered version will be used.
sky130_fd_io__overlay_vssio_hvc: 10000 rects
Warning: Parent cell lists instance of "sky130_ef_io__vdda_hvc_clamped_pad" at bad file path ../mag/sky130_ef_io__vdda_hvc_clamped_pad.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_io/mag/sky130_ef_io__vdda_hvc_clamped_pad.mag.
The discovered version will be used.
sky130_fd_io__hvc_clampv2: 10000 rects
sky130_fd_io__hvc_clampv2: 20000 rects
sky130_fd_io__hvc_clampv2: 30000 rects
sky130_fd_io__hvc_clampv2: 40000 rects
sky130_fd_io__hvc_clampv2: 50000 rects
sky130_fd_io__hvc_clampv2: 60000 rects
sky130_fd_io__hvc_clampv2: 70000 rects
sky130_fd_io__hvc_clampv2: 80000 rects
sky130_fd_io__hvc_clampv2: 90000 rects
sky130_fd_io__hvc_clampv2: 100000 rects
sky130_fd_io__hvc_clampv2: 110000 rects
sky130_fd_io__hvc_clampv2: 120000 rects
sky130_fd_io__hvc_clampv2: 130000 rects
sky130_fd_io__hvc_clampv2: 140000 rects
sky130_fd_io__hvc_clampv2: 150000 rects
sky130_fd_io__hvc_clampv2: 160000 rects
sky130_fd_io__hvc_clampv2: 170000 rects
sky130_fd_io__hvc_clampv2: 180000 rects
sky130_fd_io__hvc_clampv2: 190000 rects
sky130_fd_io__hvc_clampv2: 200000 rects
sky130_fd_io__hvc_clampv2: 210000 rects
sky130_fd_io__hvc_clampv2: 220000 rects
sky130_fd_io__hvc_clampv2: 230000 rects
sky130_fd_io__hvc_clampv2: 240000 rects
sky130_fd_io__hvc_clampv2: 250000 rects
sky130_fd_io__hvc_clampv2: 260000 rects
sky130_fd_io__hvc_clampv2: 270000 rects
sky130_fd_io__hvc_clampv2: 280000 rects
Warning: Parent cell lists instance of "sky130_ef_io__vccd_lvc_clamped_pad" at bad file path ../mag/sky130_ef_io__vccd_lvc_clamped_pad.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_io/mag/sky130_ef_io__vccd_lvc_clamped_pad.mag.
The discovered version will be used.
sky130_fd_io__top_power_lvc_wpad: 10000 rects
sky130_fd_io__top_power_lvc_wpad: 20000 rects
sky130_fd_io__top_power_lvc_wpad: 30000 rects
sky130_fd_io__top_power_lvc_wpad: 40000 rects
sky130_fd_io__top_power_lvc_wpad: 50000 rects
sky130_fd_io__top_power_lvc_wpad: 60000 rects
sky130_fd_io__top_power_lvc_wpad: 70000 rects
sky130_fd_io__top_power_lvc_wpad: 80000 rects
sky130_fd_io__top_power_lvc_wpad: 90000 rects
sky130_fd_io__top_power_lvc_wpad: 100000 rects
sky130_fd_io__top_power_lvc_wpad: 110000 rects
sky130_fd_io__top_power_lvc_wpad: 120000 rects
sky130_fd_io__top_power_lvc_wpad: 130000 rects
sky130_fd_io__top_power_lvc_wpad: 140000 rects
sky130_fd_io__top_power_lvc_wpad: 150000 rects
sky130_fd_io__top_power_lvc_wpad: 160000 rects
sky130_fd_io__top_power_lvc_wpad: 170000 rects
sky130_fd_io__top_power_lvc_wpad: 180000 rects
sky130_fd_io__top_power_lvc_wpad: 190000 rects
sky130_fd_io__top_power_lvc_wpad: 200000 rects
sky130_fd_io__top_power_lvc_wpad: 210000 rects
sky130_fd_io__top_power_lvc_wpad: 220000 rects
sky130_fd_io__top_power_lvc_wpad: 230000 rects
Warning: Parent cell lists instance of "sky130_ef_io__disconnect_vdda_slice_5um" at bad file path ../mag/sky130_ef_io__disconnect_vdda_slice_5um.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_io/mag/sky130_ef_io__disconnect_vdda_slice_5um.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_ef_io__vddio_hvc_clamped_pad" at bad file path ../mag/sky130_ef_io__vddio_hvc_clamped_pad.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_io/mag/sky130_ef_io__vddio_hvc_clamped_pad.mag.
The discovered version will be used.
sky130_fd_io__overlay_vddio_hvc: 10000 rects
Warning: Parent cell lists instance of "sky130_ef_io__vssd_lvc_clamped3_pad" at bad file path ../mag/sky130_ef_io__vssd_lvc_clamped3_pad.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_io/mag/sky130_ef_io__vssd_lvc_clamped3_pad.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_ef_io__vccd_lvc_clamped3_pad" at bad file path ../mag/sky130_ef_io__vccd_lvc_clamped3_pad.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_io/mag/sky130_ef_io__vccd_lvc_clamped3_pad.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "caravel_power_routing" at bad file path /mnt/shuttles/shuttle/mpw-two/slot-012/fuserisc_ver2/mag/caravel_power_routing.mag.
The cell exists in the search paths at ../mag/caravel_power_routing.mag.
The discovered version will be used.
Processing timestamp mismatches: caravel_power_routing, sky130_ef_io__vccd_lvc_clamped3_pad, sky130_ef_io__vssd_lvc_clamped3_pad, sky130_ef_io__vddio_hvc_clamped_pad, sky130_ef_io__disconnect_vdda_slice_5um, sky130_ef_io__vccd_lvc_clamped_pad, sky130_ef_io__vdda_hvc_clamped_pad, sky130_ef_io__vssio_hvc_clamped_pad, sky130_ef_io__vssd_lvc_clamped_pad, sky130_ef_io__gpiov2_pad_wrapped, sky130_fd_io__top_xres4v2, sky130_ef_io__vssa_hvc_clamped_pad, sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um, sky130_ef_io__com_bus_slice_1um, sky130_ef_io__com_bus_slice_5um, sky130_ef_io__com_bus_slice_10um, sky130_ef_io__com_bus_slice_20um, sky130_ef_io__corner_pad, gpio_defaults_block, sky130_fd_sc_hd__decap_3, sky130_fd_sc_hd__fill_1, sky130_fd_sc_hd__decap_4, sky130_fd_sc_hd__tapvpwrvgnd_1, sky130_fd_sc_hd__decap_12, sky130_fd_sc_hd__conb_1, sky130_fd_sc_hd__fill_2, sky130_fd_sc_hd__decap_6, sky130_fd_sc_hd__dfbbp_1, spare_logic_block, sky130_fd_sc_hd__inv_2, sky130_fd_sc_hd__nand2_2, sky130_fd_sc_hd__inv_8, sky130_fd_sc_hd__decap_8, sky130_fd_sc_hd__nor2_2, sky130_fd_sc_hd__mux2_2, gpio_defaults_block_0403, sky130_fd_sc_hd__inv_16, sky130_fd_sc_hvl__conb_1, sky130_fd_sc_hvl__lsbufhv2lv_1, sky130_fd_sc_hvl__fill_1, sky130_fd_sc_hvl__fill_2, sky130_fd_sc_hd__and2_4, sky130_fd_sc_hd__clkinv_16, sky130_fd_sc_hd__and2b_2, mgmt_protect, sky130_fd_sc_hd__clkbuf_1, sky130_fd_sc_hd__clkbuf_4, sky130_fd_sc_hd__diode_2, sky130_fd_sc_hd__nand2_1, sky130_fd_sc_hd__and2_1, sky130_fd_sc_hd__clkinv_4, sky130_fd_sc_hd__inv_6, sky130_fd_sc_hd__buf_4, sky130_fd_sc_hd__nand2_4, sky130_fd_sc_hd__clkinv_8, sky130_fd_sc_hd__nand2_8, sky130_fd_sc_hd__einvp_8, sky130_fd_sc_hd__clkinv_2, sky130_fd_sc_hd__clkbuf_2, sky130_fd_sc_hd__buf_2, sky130_fd_sc_hd__buf_12, sky130_fd_sc_hd__clkbuf_16, sky130_fd_sc_hd__buf_8, sky130_fd_sc_hd__buf_6, sky130_fd_sc_hd__and2b_1, sky130_fd_sc_hd__inv_4, sky130_fd_sc_hd__inv_12, sky130_fd_sc_hd__dlymetal6s2s_1, sky130_fd_sc_hd__clkbuf_8, gpio_defaults_block_1803, sky130_fd_sc_hd__dfbbn_1, gpio_control_block, sky130_fd_sc_hd__clkdlybuf4s25_1, sky130_fd_sc_hd__or2_1, sky130_fd_sc_hd__or2b_1, sky130_fd_sc_hd__buf_1, sky130_fd_sc_hd__dfrtp_1, sky130_fd_sc_hd__mux2_1, sky130_fd_sc_hd__ebufn_8, sky130_fd_sc_hd__a22oi_2, sky130_fd_sc_hd__a21oi_2, sky130_fd_sc_hd__a311o_2, sky130_fd_sc_hd__a2bb2o_2, sky130_fd_sc_hd__einvp_1, sky130_fd_sc_hd__a31o_2, sky130_fd_sc_hd__o41a_2, sky130_fd_sc_hd__o31a_2, sky130_fd_sc_hd__and2_2, sky130_fd_sc_hd__o21a_2, sky130_fd_sc_hd__einvn_4, sky130_fd_sc_hd__einvn_8, sky130_fd_sc_hd__clkinv_1, sky130_fd_sc_hd__einvp_2, digital_pll, sky130_fd_sc_hd__o311a_2, sky130_fd_sc_hd__or2_2, sky130_fd_sc_hd__or3_2, sky130_fd_sc_hd__or4_2, sky130_fd_sc_hd__and3_2, sky130_fd_sc_hd__o21ai_2, sky130_fd_sc_hd__o32a_2, sky130_fd_sc_hd__a32o_2, sky130_fd_sc_hd__a22o_2, sky130_fd_sc_hd__o2bb2a_2, sky130_fd_sc_hd__o211a_2, sky130_fd_sc_hd__a221o_2, sky130_fd_sc_hd__o22a_2, sky130_fd_sc_hd__dfrtp_2, sky130_fd_sc_hd__o221ai_2, sky130_fd_sc_hd__o22ai_2, sky130_fd_sc_hd__o221a_2, sky130_fd_sc_hd__a21bo_2, sky130_fd_sc_hd__a21o_2, sky130_fd_sc_hd__and4_2, sky130_fd_sc_hd__o2111ai_2, sky130_fd_sc_hd__o2bb2ai_2, sky130_fd_sc_hd__a31oi_1, sky130_fd_sc_hd__nor2_8, sky130_fd_sc_hd__o21ai_4, sky130_fd_sc_hd__or3b_4, sky130_fd_sc_hd__o221a_4, sky130_fd_sc_hd__and3b_1, sky130_fd_sc_hd__or4b_4, sky130_fd_sc_hd__nand4_2, sky130_fd_sc_hd__nor3_2, sky130_fd_sc_hd__a2111o_1, sky130_fd_sc_hd__a311oi_2, sky130_fd_sc_hd__nand4b_4, sky130_fd_sc_hd__nand4_4, sky130_fd_sc_hd__o2111a_2, sky130_fd_sc_hd__o211ai_2, sky130_fd_sc_hd__and4bb_1, sky130_fd_sc_hd__and3_4, sky130_fd_sc_hd__a2111o_2, sky130_fd_sc_hd__nor4_2, sky130_fd_sc_hd__o221ai_4, sky130_fd_sc_hd__o2111a_1, sky130_fd_sc_hd__and4_1, sky130_fd_sc_hd__o2111ai_4, sky130_fd_sc_hd__nand3_4, sky130_fd_sc_hd__o211ai_1, sky130_fd_sc_hd__o22a_4, sky130_fd_sc_hd__o31a_1, sky130_fd_sc_hd__o221ai_1, sky130_fd_sc_hd__a211o_4, sky130_fd_sc_hd__o311a_1, sky130_fd_sc_hd__o2111ai_1, sky130_fd_sc_hd__o21ba_1, sky130_fd_sc_hd__a311oi_1, sky130_fd_sc_hd__a41o_2, sky130_fd_sc_hd__o22ai_4, sky130_fd_sc_hd__a41o_1, sky130_fd_sc_hd__mux2_4, sky130_fd_sc_hd__a22oi_1, sky130_fd_sc_hd__or3b_2, sky130_fd_sc_hd__ebufn_2, sky130_fd_sc_hd__a32o_1, sky130_fd_sc_hd__nor4_1, sky130_fd_sc_hd__a31o_1, sky130_fd_sc_hd__nor2_4, sky130_fd_sc_hd__or4b_2, sky130_fd_sc_hd__or4_4, sky130_fd_sc_hd__nor3_4, sky130_fd_sc_hd__o221a_1, sky130_fd_sc_hd__and4b_1, sky130_fd_sc_hd__a311o_1, sky130_fd_sc_hd__clkinvlp_2, sky130_fd_sc_hd__or2b_2, sky130_fd_sc_hd__o31ai_4, sky130_fd_sc_hd__o32a_1, sky130_fd_sc_hd__o22ai_1, sky130_fd_sc_hd__or4bb_4, sky130_fd_sc_hd__or2_4, sky130_fd_sc_hd__a21oi_1, sky130_fd_sc_hd__a211o_1, sky130_fd_sc_hd__and3_1, sky130_fd_sc_hd__a2bb2o_1, sky130_fd_sc_hd__or3b_1, sky130_fd_sc_hd__a22oi_4, sky130_fd_sc_hd__mux2_8, sky130_fd_sc_hd__or3_4, sky130_fd_sc_hd__o2bb2a_1, sky130_fd_sc_hd__o22a_1, sky130_fd_sc_hd__or3_1, sky130_fd_sc_hd__a22o_1, sky130_fd_sc_hd__nand4bb_1, sky130_fd_sc_hd__nand4_1, sky130_fd_sc_hd__or4_1, sky130_fd_sc_hd__or4b_1, sky130_fd_sc_hd__or4bb_1, sky130_fd_sc_hd__a221o_1, housekeeping, sky130_fd_sc_hd__dfstp_1, sky130_fd_sc_hd__dfrtp_4, sky130_fd_sc_hd__dfxtp_1, sky130_fd_sc_hd__o21a_1, sky130_fd_sc_hd__nor2_1, sky130_fd_sc_hd__a21bo_1, sky130_fd_sc_hd__nor3_1, sky130_fd_sc_hd__o21ai_1, sky130_fd_sc_hd__nand3b_1, sky130_fd_sc_hd__o21bai_1, sky130_fd_sc_hd__a21o_1, sky130_fd_sc_hd__o211ai_4, sky130_fd_sc_hd__o211a_1, sky130_fd_sc_hd__dfrtn_1, sky130_fd_sc_hd__dfstp_2, sky130_fd_sc_hd__dfstp_4, sky130_fd_sc_hd__dlygate4sd1_1, sky130_fd_sc_hd__nor3b_1, sky130_fd_sc_hd__xnor2_1, sky130_fd_sc_hd__nor3b_2, sky130_fd_sc_hd__nand3_1, sky130_fd_sc_hd__xor2_1, caravel_clocking, alpha_C, alpha_2, sky130_fd_sc_hvl__decap_4, sky130_fd_sc_hvl__diode_2, sky130_fd_sc_hvl__decap_8, xres_buf, open_source, caravel_logo.
Generating output for cell caravel_logo
Generating output for cell open_source
Generating output for cell sky130_fd_sc_hvl__decap_8
Generating output for cell sky130_fd_sc_hvl__diode_2
Generating output for cell sky130_fd_sc_hvl__decap_4
Generating output for cell sky130_fd_sc_hvl__fill_2
Generating output for cell sky130_fd_sc_hvl__fill_1
Generating output for cell sky130_fd_sc_hvl__lsbufhv2lv_1
Generating output for cell xres_buf
Generating output for cell font_22
Generating output for cell font_73
Generating output for cell font_43
Generating output for cell font_49
Generating output for cell font_65
Generating output for cell font_68
Generating output for cell font_54
Generating output for cell font_67
Generating output for cell font_6E
Generating output for cell font_69
Generating output for cell font_6C
Generating output for cell font_61
Generating output for cell font_53
Generating output for cell caravel_motto
Generating output for cell alpha_2
Generating output for cell alpha_C
Generating output for cell alpha_0
Generating output for cell user_id_textblock
Generating output for cell font_62
Generating output for cell font_66
Generating output for cell font_29
Generating output for cell font_20
Generating output for cell font_28
Generating output for cell font_33
Generating output for cell font_56
Generating output for cell font_76
Generating output for cell font_72
Generating output for cell font_2D
Generating output for cell font_4B
Generating output for cell font_44
Generating output for cell font_50
Generating output for cell font_70
Generating output for cell font_6F
Generating output for cell font_74
Generating output for cell font_57
Generating output for cell font_6B
Generating output for cell font_79
Generating output for cell font_47
Generating output for cell font_31
Generating output for cell font_32
Generating output for cell font_30
Generating output for cell font_6D
Generating output for cell font_4E
Generating output for cell copyright_block
Generating output for cell sky130_fd_sc_hd__xor2_1
Generating output for cell sky130_fd_sc_hd__nand3_1
Generating output for cell sky130_fd_sc_hd__nor3b_2
Generating output for cell sky130_fd_sc_hd__xnor2_1
Generating output for cell sky130_fd_sc_hd__nor3b_1
Generating output for cell sky130_fd_sc_hd__dlygate4sd1_1
Generating output for cell sky130_fd_sc_hd__dfstp_4
Generating output for cell sky130_fd_sc_hd__dfstp_2
Generating output for cell sky130_fd_sc_hd__dfrtn_1
Generating output for cell sky130_fd_sc_hd__o211a_1
Generating output for cell sky130_fd_sc_hd__o211ai_4
Generating output for cell sky130_fd_sc_hd__a21o_1
Generating output for cell sky130_fd_sc_hd__o21bai_1
Generating output for cell sky130_fd_sc_hd__nand3b_1
Generating output for cell sky130_fd_sc_hd__o21ai_1
Generating output for cell sky130_fd_sc_hd__nor3_1
Generating output for cell sky130_fd_sc_hd__a21bo_1
Generating output for cell sky130_fd_sc_hd__nor2_1
Generating output for cell sky130_fd_sc_hd__o21a_1
Generating output for cell sky130_fd_sc_hd__dfxtp_1
Generating output for cell sky130_fd_sc_hd__dfrtp_4
Generating output for cell sky130_fd_sc_hd__dfstp_1
Generating output for cell sky130_fd_sc_hd__o2bb2ai_2
Generating output for cell sky130_fd_sc_hd__dfrtp_2
Generating output for cell sky130_fd_sc_hd__mux2_1
Generating output for cell sky130_fd_sc_hd__dfrtp_1
Generating output for cell sky130_fd_sc_hd__buf_1
Generating output for cell sky130_fd_sc_hd__or2b_1
Generating output for cell sky130_fd_sc_hd__clkdlybuf4s25_1
Generating output for cell sky130_fd_sc_hd__dlymetal6s2s_1
Generating output for cell sky130_fd_sc_hd__inv_4
Generating output for cell sky130_fd_sc_hd__clkbuf_16
Generating output for cell sky130_fd_sc_hd__buf_12
Generating output for cell sky130_fd_sc_hd__buf_2
Generating output for cell sky130_fd_sc_hd__clkbuf_2
Generating output for cell sky130_fd_sc_hd__clkinv_2
Generating output for cell sky130_fd_sc_hd__clkinv_4
Generating output for cell sky130_fd_sc_hd__and2_1
Generating output for cell sky130_fd_sc_hd__nand2_1
Generating output for cell sky130_fd_sc_hd__diode_2
Generating output for cell sky130_fd_sc_hd__clkbuf_4
Generating output for cell sky130_fd_sc_hd__clkbuf_1
Generating output for cell sky130_fd_sc_hd__mux2_2
Generating output for cell sky130_fd_sc_hd__decap_8
Generating output for cell sky130_fd_sc_hd__nand2_2
Generating output for cell sky130_fd_sc_hd__inv_2
Generating output for cell sky130_fd_sc_hd__decap_6
Generating output for cell sky130_fd_sc_hd__fill_2
Generating output for cell sky130_fd_sc_hd__conb_1
Generating output for cell sky130_fd_sc_hd__decap_12
Generating output for cell sky130_fd_sc_hd__tapvpwrvgnd_1
Generating output for cell sky130_fd_sc_hd__decap_4
Generating output for cell sky130_fd_sc_hd__fill_1
Generating output for cell sky130_fd_sc_hd__decap_3
Generating output for cell caravel_clocking
Generating output for cell sky130_fd_sc_hd__a221o_1
Generating output for cell sky130_fd_sc_hd__or4bb_1
Generating output for cell sky130_fd_sc_hd__or4b_1
Generating output for cell sky130_fd_sc_hd__or4_1
Generating output for cell sky130_fd_sc_hd__nand4_1
Generating output for cell sky130_fd_sc_hd__nand4bb_1
Generating output for cell sky130_fd_sc_hd__a22o_1
Generating output for cell sky130_fd_sc_hd__or3_1
Generating output for cell sky130_fd_sc_hd__o22a_1
Generating output for cell sky130_fd_sc_hd__o2bb2a_1
Generating output for cell sky130_fd_sc_hd__or3_4
Generating output for cell sky130_fd_sc_hd__mux2_8
Generating output for cell sky130_fd_sc_hd__a22oi_4
Generating output for cell sky130_fd_sc_hd__or3b_1
Generating output for cell sky130_fd_sc_hd__a2bb2o_1
Generating output for cell sky130_fd_sc_hd__and3_1
Generating output for cell sky130_fd_sc_hd__a211o_1
Generating output for cell sky130_fd_sc_hd__a21oi_1
Generating output for cell sky130_fd_sc_hd__or2_4
Generating output for cell sky130_fd_sc_hd__or4bb_4
Generating output for cell sky130_fd_sc_hd__o22ai_1
Generating output for cell sky130_fd_sc_hd__o32a_1
Generating output for cell sky130_fd_sc_hd__o31ai_4
Generating output for cell sky130_fd_sc_hd__or2b_2
Generating output for cell sky130_fd_sc_hd__clkinvlp_2
Generating output for cell sky130_fd_sc_hd__a311o_1
Generating output for cell sky130_fd_sc_hd__and4b_1
Generating output for cell sky130_fd_sc_hd__o221a_1
Generating output for cell sky130_fd_sc_hd__nor3_4
Generating output for cell sky130_fd_sc_hd__or4_4
Generating output for cell sky130_fd_sc_hd__or4b_2
Generating output for cell sky130_fd_sc_hd__nor2_4
Generating output for cell sky130_fd_sc_hd__a31o_1
Generating output for cell sky130_fd_sc_hd__nor4_1
Generating output for cell sky130_fd_sc_hd__a32o_1
Generating output for cell sky130_fd_sc_hd__ebufn_2
Generating output for cell sky130_fd_sc_hd__or3b_2
Generating output for cell sky130_fd_sc_hd__a22oi_1
Generating output for cell sky130_fd_sc_hd__mux2_4
Generating output for cell sky130_fd_sc_hd__a41o_1
Generating output for cell sky130_fd_sc_hd__o22ai_4
Generating output for cell sky130_fd_sc_hd__a41o_2
Generating output for cell sky130_fd_sc_hd__a311oi_1
Generating output for cell sky130_fd_sc_hd__o21ba_1
Generating output for cell sky130_fd_sc_hd__o2111ai_1
Generating output for cell sky130_fd_sc_hd__o311a_1
Generating output for cell sky130_fd_sc_hd__a211o_4
Generating output for cell sky130_fd_sc_hd__o221ai_1
Generating output for cell sky130_fd_sc_hd__o31a_1
Generating output for cell sky130_fd_sc_hd__o22a_4
Generating output for cell sky130_fd_sc_hd__o211ai_1
Generating output for cell sky130_fd_sc_hd__nand3_4
Generating output for cell sky130_fd_sc_hd__o2111ai_4
Generating output for cell sky130_fd_sc_hd__and4_1
Generating output for cell sky130_fd_sc_hd__o2111a_1
Generating output for cell sky130_fd_sc_hd__o221ai_4
Generating output for cell sky130_fd_sc_hd__nor4_2
Generating output for cell sky130_fd_sc_hd__a2111o_2
Generating output for cell sky130_fd_sc_hd__and3_4
Generating output for cell sky130_fd_sc_hd__and4bb_1
Generating output for cell sky130_fd_sc_hd__o211ai_2
Generating output for cell sky130_fd_sc_hd__o2111a_2
Generating output for cell sky130_fd_sc_hd__nand4_4
Generating output for cell sky130_fd_sc_hd__nand4b_4
Generating output for cell sky130_fd_sc_hd__a311oi_2
Generating output for cell sky130_fd_sc_hd__a2111o_1
Generating output for cell sky130_fd_sc_hd__nor3_2
Generating output for cell sky130_fd_sc_hd__nand4_2
Generating output for cell sky130_fd_sc_hd__or4b_4
Generating output for cell sky130_fd_sc_hd__and3b_1
Generating output for cell sky130_fd_sc_hd__o221a_4
Generating output for cell sky130_fd_sc_hd__or3b_4
Generating output for cell sky130_fd_sc_hd__o21ai_4
Generating output for cell sky130_fd_sc_hd__nor2_8
Generating output for cell sky130_fd_sc_hd__a31oi_1
Generating output for cell sky130_fd_sc_hd__o2111ai_2
Generating output for cell sky130_fd_sc_hd__and4_2
Generating output for cell sky130_fd_sc_hd__a21o_2
Generating output for cell sky130_fd_sc_hd__a21bo_2
Generating output for cell sky130_fd_sc_hd__o221a_2
Generating output for cell sky130_fd_sc_hd__o22ai_2
Generating output for cell sky130_fd_sc_hd__o221ai_2
Generating output for cell sky130_fd_sc_hd__o22a_2
Generating output for cell sky130_fd_sc_hd__a221o_2
Generating output for cell sky130_fd_sc_hd__o211a_2
Generating output for cell sky130_fd_sc_hd__o2bb2a_2
Generating output for cell sky130_fd_sc_hd__a22o_2
Generating output for cell sky130_fd_sc_hd__a32o_2
Generating output for cell sky130_fd_sc_hd__o32a_2
Generating output for cell sky130_fd_sc_hd__o21ai_2
Generating output for cell sky130_fd_sc_hd__and3_2
Generating output for cell sky130_fd_sc_hd__or4_2
Generating output for cell sky130_fd_sc_hd__or3_2
Generating output for cell sky130_fd_sc_hd__or2_2
Generating output for cell sky130_fd_sc_hd__o311a_2
Generating output for cell sky130_fd_sc_hd__ebufn_8
Generating output for cell sky130_fd_sc_hd__or2_1
Generating output for cell sky130_fd_sc_hd__clkbuf_8
Generating output for cell sky130_fd_sc_hd__inv_12
Generating output for cell sky130_fd_sc_hd__and2b_1
Generating output for cell sky130_fd_sc_hd__buf_6
Generating output for cell sky130_fd_sc_hd__buf_8
Generating output for cell sky130_fd_sc_hd__nand2_8
Generating output for cell sky130_fd_sc_hd__clkinv_8
Generating output for cell sky130_fd_sc_hd__nand2_4
Generating output for cell sky130_fd_sc_hd__buf_4
Generating output for cell sky130_fd_sc_hd__inv_6
Generating output for cell sky130_fd_sc_hd__nor2_2
Generating output for cell sky130_fd_sc_hd__inv_8
Generating output for cell housekeeping
Generating output for cell sky130_fd_sc_hd__einvp_2
Generating output for cell sky130_fd_sc_hd__clkinv_1
Generating output for cell sky130_fd_sc_hd__einvn_8
Generating output for cell sky130_fd_sc_hd__einvn_4
Generating output for cell sky130_fd_sc_hd__o21a_2
Generating output for cell sky130_fd_sc_hd__and2_2
Generating output for cell sky130_fd_sc_hd__o31a_2
Generating output for cell sky130_fd_sc_hd__o41a_2
Generating output for cell sky130_fd_sc_hd__a31o_2
Generating output for cell sky130_fd_sc_hd__einvp_1
Generating output for cell sky130_fd_sc_hd__a2bb2o_2
Generating output for cell sky130_fd_sc_hd__a311o_2
Generating output for cell sky130_fd_sc_hd__a21oi_2
Generating output for cell sky130_fd_sc_hd__a22oi_2
Generating output for cell digital_pll
Generating output for cell sky130_fd_sc_hd__dfbbn_1
Generating output for cell sky130_fd_sc_hd__einvp_8
Generating output for cell gpio_logic_high
Generating output for cell gpio_control_block
Generating output for cell gpio_defaults_block_1803
Generating output for cell simple_por
Reading "sky130_fd_pr__nfet_g5v0d10v5_TGFUGS".
Reading "sky130_fd_pr__nfet_g5v0d10v5_ZK8HQC".
Reading "sky130_fd_pr__pfet_g5v0d10v5_ZEUEFZ".
Reading "sky130_fd_pr__pfet_g5v0d10v5_3YBPVB".
Reading "sky130_fd_pr__nfet_g5v0d10v5_PKVMTM".
Reading "sky130_fd_pr__pfet_g5v0d10v5_YUHPBG".
Reading "sky130_fd_pr__pfet_g5v0d10v5_YEUEBV".
Reading "sky130_fd_pr__pfet_g5v0d10v5_YUHPXE".
Reading "sky130_fd_sc_hvl__schmittbuf_1".
Reading "sky130_fd_sc_hvl__buf_8".
Reading "sky130_fd_sc_hvl__fill_4".
Reading "sky130_fd_sc_hvl__inv_8".
Reading "sky130_fd_pr__res_xhigh_po_0p69_S5N9F3".
Reading "sky130_fd_pr__cap_mim_m3_2_W5U4AW".
Reading "sky130_fd_pr__cap_mim_m3_1_WRT4AW".
Reading "simple_por".
Generating output for cell user_id_programming
Reading "sky130_fd_sc_hd__decap_3".
Reading "sky130_fd_sc_hd__conb_1".
Reading "sky130_fd_sc_hd__fill_1".
Reading "sky130_fd_sc_hd__decap_8".
Reading "sky130_fd_sc_hd__fill_2".
Reading "sky130_fd_sc_hd__decap_6".
Reading "sky130_fd_sc_hd__tapvpwrvgnd_1".
Reading "sky130_fd_sc_hd__decap_4".
Reading "sky130_fd_sc_hd__decap_12".
Reading "user_id_programming".
Generating output for cell mgmt_core_wrapper
Reading "sky130_fd_sc_hd__decap_3".
Reading "sky130_fd_sc_hd__tapvpwrvgnd_1".
Reading "sky130_fd_sc_hd__decap_12".
Reading "sky130_fd_sc_hd__decap_6".
Reading "sky130_fd_sc_hd__ebufn_2".
Reading "sky130_fd_sc_hd__fill_1".
Reading "sky130_fd_sc_hd__fill_2".
Reading "sky130_fd_sc_hd__decap_4".
Reading "sky130_fd_sc_hd__diode_2".
Reading "sky130_fd_sc_hd__dfxtp_1".
Reading "sky130_fd_sc_hd__decap_8".
Reading "sky130_fd_sc_hd__mux2_1".
Reading "sky130_fd_sc_hd__mux4_1".
Reading "sky130_fd_sc_hd__inv_1".
Reading "sky130_fd_sc_hd__conb_1".
Reading "sky130_fd_sc_hd__dlclkp_1".
Reading "sky130_fd_sc_hd__and2_1".
Reading "sky130_fd_sc_hd__clkbuf_2".
Reading "sky130_fd_sc_hd__clkbuf_16".
Reading "sky130_fd_sc_hd__clkbuf_1".
Reading "sky130_fd_sc_hd__and2_2".
Reading "sky130_fd_sc_hd__and3b_4".
Reading "sky130_fd_sc_hd__and3_4".
Reading "sky130_fd_sc_hd__nor3b_4".
Reading "sky130_fd_sc_hd__and4_2".
Reading "sky130_fd_sc_hd__and4b_2".
Reading "sky130_fd_sc_hd__and4bb_2".
Reading "sky130_fd_sc_hd__nor4b_2".
Reading "sky130_fd_sc_hd__and2b_2".
Reading "sky130_fd_sc_hd__clkbuf_4".
Reading "DFFRAM".
Reading "sky130_fd_sc_hd__dlygate4sd3_1".
Reading "sky130_fd_sc_hd__buf_8".
Reading "sky130_fd_sc_hd__buf_6".
Reading "sky130_fd_sc_hd__dfxtp_2".
Reading "sky130_fd_sc_hd__a221o_1".
Reading "sky130_fd_sc_hd__buf_2".
Reading "sky130_fd_sc_hd__a22o_1".
Reading "sky130_fd_sc_hd__dfxtp_4".
Reading "sky130_fd_sc_hd__nand3_4".
Reading "sky130_fd_sc_hd__a221o_2".
Reading "sky130_fd_sc_hd__dlymetal6s2s_1".
Reading "sky130_fd_sc_hd__buf_12".
Reading "sky130_fd_sc_hd__a2111o_1".
Reading "sky130_fd_sc_hd__and4_1".
Reading "sky130_fd_sc_hd__a2111o_2".
Reading "sky130_fd_sc_hd__o211a_1".
Reading "sky130_fd_sc_hd__and3_1".
Reading "sky130_fd_sc_hd__inv_2".
Reading "sky130_fd_sc_hd__and2b_1".
Reading "sky130_fd_sc_hd__nand3b_1".
Reading "sky130_fd_sc_hd__a2111oi_2".
Reading "sky130_fd_sc_hd__a22o_2".
Reading "sky130_fd_sc_hd__a221o_4".
Reading "sky130_fd_sc_hd__nor3b_1".
Reading "sky130_fd_sc_hd__nor3_4".
Reading "sky130_fd_sc_hd__clkinv_8".
Reading "sky130_fd_sc_hd__inv_12".
Reading "sky130_fd_sc_hd__and3b_1".
Reading "sky130_fd_sc_hd__nor3b_2".
Reading "sky130_fd_sc_hd__or2_1".
Reading "sky130_fd_sc_hd__buf_4".
Reading "sky130_fd_sc_hd__a211oi_1".
Reading "sky130_fd_sc_hd__inv_6".
Reading "sky130_fd_sc_hd__nand2_1".
Reading "sky130_fd_sc_hd__nand3b_4".
Reading "sky130_fd_sc_hd__nand3_1".
Reading "sky130_fd_sc_hd__inv_16".
Reading "sky130_fd_sc_hd__o2111a_1".
Reading "sky130_fd_sc_hd__a21oi_1".
Reading "sky130_fd_sc_hd__o21ai_4".
Reading "sky130_fd_sc_hd__mux2_2".
Reading "sky130_fd_sc_hd__inv_4".
Reading "sky130_fd_sc_hd__clkinv_2".
Reading "sky130_fd_sc_hd__a21bo_1".
Reading "sky130_fd_sc_hd__o21a_1".
Reading "sky130_fd_sc_hd__a211o_2".
Reading "sky130_fd_sc_hd__or2b_1".
Reading "sky130_fd_sc_hd__a21oi_4".
Reading "sky130_fd_sc_hd__o21ai_1".
Reading "sky130_fd_sc_hd__a21boi_1".
Reading "sky130_fd_sc_hd__nor3_1".
Reading "sky130_fd_sc_hd__nor2_2".
Reading "sky130_fd_sc_hd__a31oi_1".
Reading "sky130_fd_sc_hd__a21o_1".
Reading "sky130_fd_sc_hd__o21a_2".
Reading "sky130_fd_sc_hd__o21bai_1".
Reading "sky130_fd_sc_hd__o211ai_1".
Reading "sky130_fd_sc_hd__o31ai_1".
Reading "sky130_fd_sc_hd__nor2_1".
Reading "sky130_fd_sc_hd__xor2_4".
Reading "sky130_fd_sc_hd__nand3_2".
Reading "sky130_fd_sc_hd__o21ba_1".
Reading "sky130_fd_sc_hd__a41oi_1".
Reading "sky130_fd_sc_hd__nor3_2".
Reading "sky130_fd_sc_hd__o41ai_1".
Reading "sky130_fd_sc_hd__o21bai_2".
Reading "sky130_fd_sc_hd__xnor2_4".
Reading "sky130_fd_sc_hd__clkbuf_8".
Reading "sky130_fd_sc_hd__a41oi_4".
Reading "sky130_fd_sc_hd__xnor2_2".
Reading "sky130_fd_sc_hd__or3b_1".
Reading "sky130_fd_sc_hd__nand2_4".
Reading "sky130_fd_sc_hd__xnor2_1".
Reading "sky130_fd_sc_hd__nand2_8".
Reading "sky130_fd_sc_hd__xor2_1".
Reading "sky130_fd_sc_hd__nor2b_2".
Reading "sky130_fd_sc_hd__o211a_2".
Reading "sky130_fd_sc_hd__o31a_1".
Reading "sky130_fd_sc_hd__mux2_8".
Reading "sky130_fd_sc_hd__mux2_4".
Reading "sky130_fd_sc_hd__a21oi_2".
Reading "sky130_fd_sc_hd__a21o_2".
Reading "sky130_fd_sc_hd__o21bai_4".
Reading "sky130_fd_sc_hd__a211o_1".
Reading "sky130_fd_sc_hd__a311o_1".
Reading "sky130_fd_sc_hd__a22oi_4".
Reading "sky130_fd_sc_hd__o2111a_4".
Reading "sky130_fd_sc_hd__o22a_1".
Reading "sky130_fd_sc_hd__a31o_1".
Reading "sky130_fd_sc_hd__and4_4".
Reading "sky130_fd_sc_hd__a22oi_2".
Reading "sky130_fd_sc_hd__o41a_2".
Reading "sky130_fd_sc_hd__nand2_2".
Reading "sky130_fd_sc_hd__nor2_8".
Reading "sky130_fd_sc_hd__a32oi_2".
Reading "sky130_fd_sc_hd__a221oi_4".
Reading "sky130_fd_sc_hd__nor2b_4".
Reading "sky130_fd_sc_hd__or4_2".
Reading "sky130_fd_sc_hd__a211oi_2".
Reading "sky130_fd_sc_hd__clkinv_4".
Reading "sky130_fd_sc_hd__a2bb2oi_1".
Reading "sky130_fd_sc_hd__a31oi_4".
Reading "sky130_fd_sc_hd__nand3b_2".
Reading "sky130_fd_sc_hd__a21boi_2".
Reading "sky130_fd_sc_hd__nor2_4".
Reading "sky130_fd_sc_hd__o311ai_1".
Reading "sky130_fd_sc_hd__a41oi_2".
Reading "sky130_fd_sc_hd__or3b_4".
Reading "sky130_fd_sc_hd__clkinv_16".
Reading "sky130_fd_sc_hd__o211ai_4".
Reading "sky130_fd_sc_hd__o21ba_4".
Reading "sky130_fd_sc_hd__o2111ai_2".
Reading "sky130_fd_sc_hd__o2111ai_1".
Reading "sky130_fd_sc_hd__a32o_1".
Reading "sky130_fd_sc_hd__a311oi_1".
Reading "sky130_fd_sc_hd__a2111o_4".
Reading "sky130_fd_sc_hd__or4_4".
Reading "sky130_fd_sc_hd__o21ai_2".
Reading "sky130_fd_sc_hd__a2111oi_1".
Reading "sky130_fd_sc_hd__a211oi_4".
Reading "sky130_fd_sc_hd__o311ai_4".
Reading "sky130_fd_sc_hd__a2111oi_4".
Reading "sky130_fd_sc_hd__mux4_2".
Reading "sky130_fd_sc_hd__or2b_4".
Reading "sky130_fd_sc_hd__o21a_4".
Reading "sky130_fd_sc_hd__and4b_4".
Reading "sky130_fd_sc_hd__o2111ai_4".
Reading "sky130_fd_sc_hd__or2_2".
Reading "sky130_fd_sc_hd__o22ai_1".
Reading "sky130_fd_sc_hd__o2bb2ai_1".
Reading "sky130_fd_sc_hd__a32oi_1".
Reading "sky130_fd_sc_hd__inv_8".
Reading "sky130_fd_sc_hd__a21boi_4".
Reading "sky130_fd_sc_hd__or4_1".
Reading "sky130_fd_sc_hd__o32ai_1".
Reading "sky130_fd_sc_hd__a41o_1".
Reading "sky130_fd_sc_hd__xor2_2".
Reading "sky130_fd_sc_hd__o221ai_1".
Reading "sky130_fd_sc_hd__o32a_1".
Reading "sky130_fd_sc_hd__o41a_1".
Reading "sky130_fd_sc_hd__a22oi_1".
Reading "sky130_fd_sc_hd__and3_2".
Reading "sky130_fd_sc_hd__o221a_2".
Reading "sky130_fd_sc_hd__o221a_1".
Reading "sky130_fd_sc_hd__and2_4".
Reading "sky130_fd_sc_hd__or3_1".
Reading "sky130_fd_sc_hd__o22ai_2".
Reading "sky130_fd_sc_hd__a221oi_2".
Reading "sky130_fd_sc_hd__a221oi_1".
Reading "sky130_fd_sc_hd__o211ai_2".
Reading "sky130_fd_sc_hd__o311ai_2".
Reading "sky130_fd_sc_hd__o31ai_4".
Reading "sky130_fd_sc_hd__a311oi_2".
Reading "sky130_fd_sc_hd__a31oi_2".
Reading "sky130_fd_sc_hd__o311a_2".
Reading "sky130_fd_sc_hd__o41ai_4".
Reading "sky130_fd_sc_hd__or3_2".
Reading "sky130_fd_sc_hd__o31a_4".
Reading "sky130_fd_sc_hd__o21ba_2".
Reading "sky130_fd_sc_hd__a311oi_4".
Reading "sky130_fd_sc_hd__and2b_4".
Reading "sky130_fd_sc_hd__o2bb2ai_2".
Reading "sky130_fd_sc_hd__o2bb2ai_4".
Reading "sky130_fd_sc_hd__o22a_2".
Reading "sky130_fd_sc_hd__and4b_1".
Reading "sky130_fd_sc_hd__nand2b_1".
Reading "sky130_fd_sc_hd__o2111a_2".
Reading "sky130_fd_sc_hd__a2bb2oi_4".
Reading "sky130_fd_sc_hd__a2bb2oi_2".
Reading "sky130_fd_sc_hd__dlymetal6s4s_1".
Reading "sky130_fd_sc_hd__or3_4".
Reading "sky130_fd_sc_hd__a22o_4".
Reading "sky130_fd_sc_hd__a32oi_4".
Reading "sky130_fd_sc_hd__o311a_1".
Reading "sky130_fd_sc_hd__a21o_4".
Reading "sky130_fd_sc_hd__or4b_1".
Reading "sky130_fd_sc_hd__a32o_4".
Reading "sky130_fd_sc_hd__and3b_2".
Reading "sky130_fd_sc_hd__o32ai_4".
Reading "sky130_fd_sc_hd__or2b_2".
Reading "sky130_fd_sc_hd__o221ai_2".
Reading "sky130_fd_sc_hd__a31o_4".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_contact_39".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_contact_19".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_contact_14".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_contact_13".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_contact_7".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_contact_38".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_contact_33".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_contact_32".
Reading "sky130_fd_bd_sram__openram_dff".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_row_addr_dff".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_wmask_dff".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_cr_3".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_col_addr_dff".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_data_dff".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_contact_16".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_contact_29".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_contact_28".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_contact_11".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_nmos_m1_w0_360_sli_dli_da_p".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_contact_12".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pmos_m1_w1_120_sli_dli_da_p".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pinv_1".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_nmos_m1_w0_740_sactive_dli".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_nmos_m1_w0_740_sli_dactive".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pmos_m1_w1_120_sli_dli".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pnand2_1".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_nmos_m3_w1_680_sli_dli_da_p".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pmos_m3_w1_680_sli_dli_da_p".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pinv_3".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_nmos_m2_w0_740_sli_dli_da_p".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pmos_m2_w1_260_sli_dli_da_p".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pinv_2".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_dff_buf_0".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_dff_buf_array".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_nmos_m7_w1_680_sli_dli_da_p".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pmos_m7_w2_000_sli_dli_da_p".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pinv_4".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pdriver_1".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pnand2_0".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pand2_1".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_nmos_m24_w2_000_sli_dli_da_p".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pmos_m24_w2_000_sli_dli_da_p".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pinv_14".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_nmos_m8_w2_000_sli_dli_da_p".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pmos_m8_w2_000_sli_dli_da_p".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pinv_13".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_nmos_m3_w2_000_sli_dli_da_p".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pmos_m3_w2_000_sli_dli_da_p".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pinv_12".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pinv_11".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pinv_0".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pdriver_3".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pinv_17".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_delay_chain".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_nmos_m18_w2_000_sli_dli_da_p".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pmos_m18_w2_000_sli_dli_da_p".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pinv_16".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pdriver_5".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_nmos_m1_w0_740_sactive_dactive".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pnand3".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pand3_0".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_nmos_m22_w2_000_sli_dli_da_p".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pmos_m22_w2_000_sli_dli_da_p".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pinv_15".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pdriver_4".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pand3".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_nmos_m42_w2_000_sli_dli_da_p".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pmos_m42_w2_000_sli_dli_da_p".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pinv_10".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_nmos_m15_w2_000_sli_dli_da_p".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pmos_m15_w2_000_sli_dli_da_p".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pinv_9".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_nmos_m5_w2_000_sli_dli_da_p".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pmos_m5_w2_000_sli_dli_da_p".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pinv_8".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_nmos_m2_w1_260_sli_dli_da_p".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pmos_m2_w2_000_sli_dli_da_p".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pinv_7".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pdriver_2".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_control_logic_rw".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_cr_5".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_dff_buf_array_0".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_nmos_m41_w2_000_sli_dli_da_p".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pmos_m41_w2_000_sli_dli_da_p".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pinv_20".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_nmos_m14_w2_000_sli_dli_da_p".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pmos_m14_w2_000_sli_dli_da_p".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pinv_19".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_nmos_m5_w1_680_sli_dli_da_p".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pinv_18".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pdriver_6".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_control_logic_r".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_cr_4".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_contact_20".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_contact_17".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pdriver_0".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pand2_0".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_hierarchical_predecode2x4_0".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_contact_15".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_nmos_m1_w7_000_sli_dli_da_p".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pmos_m1_w7_000_sli_dli_da_p".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pinv_dec_0".
Reading "sky130_fd_bd_sram__openram_dp_nand2_dec".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_and2_dec_0".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_wordline_driver".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_wordline_driver_array".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pinv_dec".
Reading "sky130_fd_bd_sram__openram_dp_nand3_dec".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_and3_dec".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_hierarchical_predecode3x8".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_and2_dec".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_hierarchical_predecode2x4".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_hierarchical_decoder".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_port_address_0".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_port_address".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_contact_27".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_contact_26".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_contact_25".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_contact_24".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_nmos_m1_w2_880_sli_dli".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_column_mux_0".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_column_mux_array_0".
Reading "sky130_fd_bd_sram__openram_sense_amp".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_sense_amp_array".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_contact_23".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_contact_21".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_contact_22".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pmos_m1_w0_550_sli_dli".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_precharge_1".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_precharge_array_0".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_port_data_0".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_column_mux".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_column_mux_array".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pinv".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pdriver".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pnand2".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pand2".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_write_mask_and_array".
Reading "sky130_fd_bd_sram__openram_write_driver".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_write_driver_array".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_precharge_0".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_precharge_array".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_port_data".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_contact_9".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_contact_8".
Reading "sky130_fd_bd_sram__openram_dp_cell_cap_row".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_row_cap_array_0".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_row_cap_array".
Reading "sky130_fd_bd_sram__openram_dp_cell_cap_col".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_col_cap_array".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_col_cap_array_0".
Reading "sky130_fd_bd_sram__openram_dp_cell_dummy".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_dummy_array".
Reading "sky130_fd_bd_sram__openram_dp_cell_replica".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_replica_column_0".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_replica_column".
Reading "sky130_fd_bd_sram__openram_dp_cell".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_bitcell_array".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_replica_bitcell_array".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_bank".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8".
Reading "sky130_fd_sc_hd__o32ai_2".
Reading "sky130_fd_sc_hd__a21bo_2".
Reading "sky130_fd_sc_hd__o31ai_2".
Reading "sky130_fd_sc_hd__o41ai_2".
Reading "sky130_fd_sc_hd__o211a_4".
Reading "mgmt_core".
Reading "mgmt_core_wrapper".
Generating output for cell sky130_fd_sc_hd__and2b_2
Generating output for cell sky130_fd_sc_hd__clkinv_16
Generating output for cell sky130_fd_sc_hd__and2_4
Generating output for cell sky130_fd_sc_hd__inv_16
Generating output for cell sky130_fd_sc_hvl__conb_1
Generating output for cell mgmt_protect_hv
Generating output for cell mprj_logic_high
Generating output for cell mprj2_logic_high
Generating output for cell mgmt_protect
Generating output for cell gpio_defaults_block_0403
Generating output for cell sky130_fd_sc_hd__dfbbp_1
Generating output for cell spare_logic_block
Generating output for cell gpio_defaults_block
Generating output for cell gpio_control_power_routing_right
Generating output for cell gpio_control_power_routing_top
Generating output for cell gpio_control_power_routing
Generating output for cell caravel_power_routing
Generating output for cell sky130_fd_io__corner_bus_overlay
Generating output for cell sky130_ef_io__corner_pad
Generating output for cell sky130_ef_io__com_bus_slice_20um
Generating output for cell sky130_ef_io__com_bus_slice_10um
Generating output for cell sky130_ef_io__com_bus_slice_5um
Generating output for cell sky130_ef_io__com_bus_slice_1um
Generating output for cell sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um
Generating output for cell sky130_ef_io__hvc_vdda_overlay
Generating output for cell sky130_fd_io__com_bus_slice
Generating output for cell sky130_fd_io__com_bus_hookup
Generating output for cell sky130_fd_io__overlay_vssa_hvc
Generating output for cell sky130_fd_pr__hvdfl1sd__example_5595914180851
Generating output for cell sky130_fd_pr__model__nfet_highvoltage__example_55959141808664
Generating output for cell sky130_fd_io__sio_clamp_pcap_4x5
Generating output for cell sky130_fd_pr__model__nfet_highvoltage__example_55959141808680
Generating output for cell sky130_fd_io__esd_rcclamp_nfetcap
Generating output for cell sky130_fd_pr__dfl1sd__example_55959141808336
Generating output for cell sky130_fd_pr__dfl1sd2__example_55959141808666
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808665
Generating output for cell sky130_fd_pr__dfl1sd__example_55959141808678
Generating output for cell sky130_fd_pr__dfl1sd2__example_55959141808679
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808677
Generating output for cell sky130_fd_pr__via_pol1__example_5595914180839
Generating output for cell sky130_fd_pr__res_bent_po__example_55959141808667
Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808660
Generating output for cell sky130_fd_pr__dfl1__example_55959141808663
Generating output for cell sky130_fd_pr__hvdftpl1s__example_55959141808671
Generating output for cell sky130_fd_pr__hvdftpl1s2__example_55959141808672
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808673
Generating output for cell sky130_fd_pr__res_bent_po__example_55959141808668
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808670
Generating output for cell sky130_fd_pr__dfl1__example_55959141808662
Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808661
Generating output for cell sky130_fd_pr__hvdftpl1s__example_55959141808675
Generating output for cell sky130_fd_pr__hvdftpl1s2__example_55959141808676
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808674
Generating output for cell sky130_fd_pr__via_l1m1__example_559591418084
Generating output for cell sky130_fd_pr__res_bent_po__example_55959141808669
Generating output for cell sky130_fd_pr__gendlring__example_559591418081
Generating output for cell sky130_fd_pr__genrivetdlring__example_559591418082
Generating output for cell sky130_fd_pr__padplhp__example_559591418080
Generating output for cell sky130_fd_io__pad_esd
Generating output for cell sky130_fd_io__com_busses_esd
Generating output for cell sky130_fd_io__top_ground_hvc_wpad
Generating output for cell sky130_ef_io__vssa_hvc_clamped_pad
Generating output for cell sky130_fd_pr__hvdfm1sd2__example_55959141808717
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808716
Generating output for cell sky130_fd_pr__hvdfm1sd2__example_55959141808719
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808718
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808720
Generating output for cell sky130_fd_pr__hvdfm1sd2__example_5595914180890
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808721
Generating output for cell sky130_fd_pr__hvdfm1sd2__example_55959141808243
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808722
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808723
Generating output for cell sky130_fd_io__xres_inv_hysv2
Generating output for cell sky130_fd_pr__res_generic_po__example_5595914180838
Generating output for cell sky130_fd_io__tk_tie_r_out_esd
Generating output for cell sky130_fd_pr__hvdfm1sd2__example_55959141808765
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808764
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808767
Generating output for cell sky130_fd_io__res250_sub_small
Generating output for cell sky130_fd_io__res250only_small
Generating output for cell sky130_fd_io__tk_em1o_cdns_55959141808289
Generating output for cell sky130_fd_pr__via_pol1__example_5595914180833
Generating output for cell sky130_fd_pr__res_bent_po__example_55959141808715
Generating output for cell sky130_fd_pr__res_bent_po__example_5595914180862
Generating output for cell sky130_fd_pr__res_bent_po__example_5595914180863
Generating output for cell sky130_fd_io__tk_em1s_cdns_55959141808288
Generating output for cell sky130_fd_io__tk_em1s_cdns_5595914180859
Generating output for cell sky130_fd_pr__res_generic_po__example_5595914180864
Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808264
Generating output for cell sky130_fd_pr__via_l1m1__example_5595914180858
Generating output for cell sky130_fd_io__com_res_weak_v2
Generating output for cell sky130_fd_pr__dfl1sd__example_5595914180819
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808555
Generating output for cell sky130_fd_pr__via_pol1__example_55959141808147
Generating output for cell sky130_fd_pr__tpl1__example_55959141808148
Generating output for cell sky130_fd_pr__tpl1__example_55959141808149
Generating output for cell sky130_fd_pr__tpl1__example_55959141808150
Generating output for cell sky130_fd_pr__tpl1__example_55959141808151
Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808152
Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808153
Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808154
Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808155
Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808156
Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808157
Generating output for cell sky130_fd_pr__dfl1__example_55959141808158
Generating output for cell sky130_fd_io__signal_5_sym_hv_local_5term
Generating output for cell sky130_fd_pr__via_pol1__example_55959141808274
Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808400
Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808128
Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808372
Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808326
Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808290
Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808127
Generating output for cell sky130_fd_pr__via_l1m1__example_5595914180897
Generating output for cell sky130_fd_pr__via_m1m2__example_55959141808551
Generating output for cell sky130_fd_pr__via_m1m2__example_55959141808552
Generating output for cell sky130_fd_pr__via_m1m2__example_55959141808350
Generating output for cell sky130_fd_pr__via_m1m2__example_55959141808260
Generating output for cell sky130_fd_pr__via_m1m2__example_55959141808402
Generating output for cell sky130_fd_pr__via_m1m2__example_55959141808724
Generating output for cell sky130_fd_pr__via_m1m2__example_55959141808259
Generating output for cell sky130_fd_pr__via_m1m2__example_55959141808261
Generating output for cell sky130_fd_pr__via_m1m2__example_55959141808553
Generating output for cell sky130_fd_pr__via_m1m2__example_55959141808725
Generating output for cell sky130_fd_pr__via_m1m2__example_55959141808554
Generating output for cell sky130_fd_pr__via_m1m2__example_55959141808726
Generating output for cell sky130_fd_pr__via_m1m2__example_55959141808727
Generating output for cell sky130_fd_pr__via_m1m2__example_55959141808728
Generating output for cell sky130_fd_io__gpio_buf_localesdv2
Generating output for cell sky130_fd_pr__hvdfm1sd__example_5595914180848
Generating output for cell sky130_fd_pr__hvdfm1sd2__example_5595914180849
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808766
Generating output for cell sky130_fd_pr__hvdfl1sd__example_55959141808370
Generating output for cell sky130_fd_pr__model__nfet_highvoltage__example_55959141808369
Generating output for cell sky130_fd_pr__hvdfl1sd__example_55959141808137
Generating output for cell sky130_fd_pr__dfl1sd__example_559591418086
Generating output for cell sky130_fd_pr__model__pfet_highvoltage__example_55959141808371
Generating output for cell sky130_fd_pr__via_pol1__example_559591418083
Generating output for cell sky130_fd_io__hvsbt_inv_x1
Generating output for cell sky130_fd_pr__hvdfl1sd2__example_55959141808385
Generating output for cell sky130_fd_pr__dfl1sd__example_559591418088
Generating output for cell sky130_fd_pr__model__nfet_highvoltage__example_55959141808615
Generating output for cell sky130_fd_pr__hvdfl1sd2__example_55959141808316
Generating output for cell sky130_fd_pr__model__pfet_highvoltage__example_55959141808616
Generating output for cell sky130_fd_pr__via_pol1__example_55959141808612
Generating output for cell sky130_fd_io__hvsbt_inv_x4
Generating output for cell sky130_fd_pr__model__nfet_highvoltage__example_55959141808422
Generating output for cell sky130_fd_pr__model__pfet_highvoltage__example_55959141808421
Generating output for cell sky130_fd_io__hvsbt_inv_x2
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808657
Generating output for cell sky130_fd_pr__hvdftpm1s2__example_55959141808659
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808658
Generating output for cell sky130_fd_pr__hvdfl1sd2__example_55959141808202
Generating output for cell sky130_fd_pr__via_l1m1_centered__example_5595914180811
Generating output for cell sky130_fd_pr__via_l1m1_centered__example_5595914180812
Generating output for cell sky130_fd_pr__via_l1m1_centered__example_559591418084
Generating output for cell sky130_fd_pr__via_l1m1_centered__example_559591418086
Generating output for cell sky130_fd_io__pfet_con_diff_wo_abt_270_xres4v2
Generating output for cell sky130_fd_io__tk_em2o_cdns_55959141808653
Generating output for cell sky130_fd_io__tk_em2s_cdns_55959141808652
Generating output for cell sky130_fd_pr__via_pol1_centered__example_559591418081
Generating output for cell sky130_fd_io__gpio_pudrvr_strong_axres4v2
Generating output for cell sky130_fd_pr__via_m2m3__example_55959141808714
Generating output for cell sky130_fd_io__xres_p_em1c_cdns_55959141808753
Generating output for cell sky130_fd_pr__dfl1__example_55959141808187
Generating output for cell sky130_fd_pr__res_generic_nd__example_55959141808754
Generating output for cell sky130_fd_pr__res_generic_nd__example_55959141808755
Generating output for cell sky130_fd_io__xres_tk_p_em1o_cdns_55959141808756
Generating output for cell sky130_fd_io__xres_tk_p_em1o_cdns_55959141808757
Generating output for cell sky130_fd_io__xres_tk_p_em1o_cdns_55959141808758
Generating output for cell sky130_fd_io__xres_tk_p_em1c_cdns_55959141808759
Generating output for cell sky130_fd_io__xres_tk_p_em1c_cdns_55959141808760
Generating output for cell sky130_fd_io__xres_tk_p_em1c_cdns_55959141808761
Generating output for cell sky130_fd_pr__hvdfl1sd__example_55959141808700
Generating output for cell sky130_fd_pr__hvdfl1sd2__example_55959141808559
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808762
Generating output for cell sky130_fd_pr__hvdfl1sd__example_55959141808338
Generating output for cell sky130_fd_pr__hvdfl1sd2__example_55959141808337
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808763
Generating output for cell sky130_fd_pr__dfl1__example_55959141808729
Generating output for cell sky130_fd_pr__via_m1m2__example_55959141808730
Generating output for cell sky130_fd_pr__via_m1m2__example_55959141808731
Generating output for cell sky130_fd_pr__via_m1m2__example_55959141808732
Generating output for cell sky130_fd_pr__via_m1m2__example_55959141808733
Generating output for cell sky130_fd_pr__via_m1m2__example_55959141808734
Generating output for cell sky130_fd_pr__via_m1m2__example_55959141808735
Generating output for cell sky130_fd_pr__via_m1m2__example_55959141808736
Generating output for cell sky130_fd_pr__via_m1m2__example_55959141808737
Generating output for cell sky130_fd_pr__via_m1m2__example_55959141808738
Generating output for cell sky130_fd_pr__via_m1m2__example_55959141808739
Generating output for cell sky130_fd_pr__via_m1m2__example_55959141808740
Generating output for cell sky130_fd_pr__via_pol1__example_55959141808273
Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808741
Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808742
Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808743
Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808744
Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808324
Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808745
Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808746
Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808747
Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808748
Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808749
Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808270
Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808750
Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808751
Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808752
Generating output for cell sky130_fd_io__xres2v2_rcfilter_lpfv2
Generating output for cell sky130_fd_io__tk_em1o_cdns_5595914180860
Generating output for cell sky130_fd_pr__res_bent_po__example_5595914180861
Generating output for cell sky130_fd_io__com_res_weak_bentbigres
Generating output for cell sky130_fd_io__com_res_weak
Generating output for cell sky130_fd_pr__via_l1m1__example_5595914180857
Generating output for cell sky130_fd_pr__hvdftpl1s__example_55959141808646
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808645
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808647
Generating output for cell sky130_fd_pr__hvdftpm1s2__example_55959141808649
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808648
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808650
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808651
Generating output for cell sky130_fd_pr__hvdfl1sd2__example_55959141808378
Generating output for cell sky130_fd_io__nfet_con_diff_wo_abt_270_xres4v2
Generating output for cell sky130_fd_io__gpio_pddrvr_strong_xres4v2
Generating output for cell sky130_fd_io__top_gpio_pad
Generating output for cell sky130_fd_io__com_busses
Generating output for cell sky130_fd_io__tap_1
Generating output for cell sky130_fd_io__inv_1
Generating output for cell sky130_fd_pr__res_bent_po__example_55959141808768
Generating output for cell sky130_fd_pr__res_bent_nd__example_55959141808769
Generating output for cell sky130_fd_pr__pfet_01v8__example_559591418085
Generating output for cell sky130_fd_pr__nfet_01v8__example_559591418087
Generating output for cell sky130_fd_pr__nfet_01v8__example_559591418089
Generating output for cell sky130_fd_io__hvsbt_nand2
Generating output for cell sky130_fd_pr__hvdfm1sd2__example_5595914180827
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808770
Generating output for cell sky130_fd_pr__hvdfm1sd__example_55959141808233
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808771
Generating output for cell sky130_fd_pr__hvdfm1sd__example_55959141808237
Generating output for cell sky130_fd_pr__hvdfm1sd2__example_5595914180829
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808772
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808773
Generating output for cell sky130_fd_pr__hvdfm1sd__example_55959141808242
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808774
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808775
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808776
Generating output for cell sky130_fd_pr__hvdfm1sd__example_5595914180835
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808777
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808778
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808779
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808780
Generating output for cell sky130_fd_pr__hvdfm1sd__example_55959141808782
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808781
Generating output for cell sky130_fd_pr__hvdfm1sd__example_55959141808452
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808783
Generating output for cell sky130_fd_pr__hvdfm1sd2__example_55959141808449
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808784
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808785
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808786
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808787
Generating output for cell sky130_fd_io__xres4v2_in_buf
Generating output for cell sky130_fd_io__top_xres4v2
Generating output for cell sky130_fd_io__com_bus_slice_m4
Generating output for cell sky130_fd_io__overlay_gpiov2_m4
Generating output for cell sky130_fd_io__overlay_gpiov2
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808558
Generating output for cell sky130_fd_pr__hvdfm1sd2__example_55959141808251
Generating output for cell sky130_fd_pr__dfm1sd2__example_55959141808561
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808560
Generating output for cell sky130_fd_pr__hvdfm1sd2__example_55959141808563
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808562
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808564
Generating output for cell sky130_fd_io__amux_switch_1v2b
Generating output for cell sky130_fd_pr__dfl1sd__example_5595914180868
Generating output for cell sky130_fd_pr__dfl1sd2__example_5595914180869
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808565
Generating output for cell sky130_fd_pr__dfl1sd2__example_5595914180875
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808566
Generating output for cell sky130_fd_io__gpiov2_amx_pucsd_inv
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808567
Generating output for cell sky130_fd_pr__hvdfl1sd__example_55959141808122
Generating output for cell sky130_fd_pr__dfl1sd__example_55959141808123
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808477
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808568
Generating output for cell sky130_fd_pr__dfl1sd2__example_5595914180884
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808569
Generating output for cell sky130_fd_pr__hvdfl1sd__example_55959141808100
Generating output for cell sky130_fd_pr__dfl1sd__example_5595914180815
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808570
Generating output for cell sky130_fd_io__gpiov2_amux_drvr_lshv2hv2
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808571
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808441
Generating output for cell sky130_fd_io__gpiov2_amx_inv4
Generating output for cell sky130_fd_io__gpiov2_amux_drvr_lshv2hv
Generating output for cell sky130_fd_pr__dfl1sd__example_5595914180823
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808572
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808573
Generating output for cell sky130_fd_pr__dfl1sd__example_55959141808106
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808574
Generating output for cell sky130_fd_pr__dfl1sd__example_55959141808510
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808575
Generating output for cell sky130_fd_io__amx_inv1
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808576
Generating output for cell sky130_fd_pr__dfl1sd__example_55959141808504
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808577
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808578
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808579
Generating output for cell sky130_fd_pr__hvdfm1sd__example_55959141808581
Generating output for cell sky130_fd_pr__hvdfl1sd__example_55959141808418
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808580
Generating output for cell sky130_fd_pr__hvdfl1sd2__example_55959141808140
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808496
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808497
Generating output for cell sky130_fd_pr__hvdfl1sd__example_55959141808278
Generating output for cell sky130_fd_pr__hvdfl1sd2__example_55959141808462
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808582
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808583
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808498
Generating output for cell sky130_fd_io__gpiov2_amux_drvr_ls
Generating output for cell sky130_fd_io__gpiov2_amux_drvr
Generating output for cell sky130_fd_io__xor2_1
Generating output for cell sky130_fd_io__nand2_1
Generating output for cell sky130_fd_io__nor2_1
Generating output for cell sky130_fd_pr__model__nfet_highvoltage__example_55959141808419
Generating output for cell sky130_fd_pr__model__pfet_highvoltage__example_55959141808420
Generating output for cell sky130_fd_io__hvsbt_nor
Generating output for cell sky130_fd_pr__hvdfl1sd__example_5595914180894
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808584
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808455
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808445
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808447
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808248
Generating output for cell sky130_fd_pr__hvdfm1sd__example_55959141808200
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808457
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808450
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808451
Generating output for cell sky130_fd_io__gpiov2_amux_nand5
Generating output for cell sky130_fd_pr__dfm1sd__example_55959141808258
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808585
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808446
Generating output for cell sky130_fd_io__gpiov2_amux_nand4
Generating output for cell sky130_fd_io__gpiov2_amux_decoder
Generating output for cell sky130_fd_pr__model__nfet_highvoltage__example_55959141808586
Generating output for cell sky130_fd_pr__model__nfet_highvoltage__example_55959141808587
Generating output for cell sky130_fd_pr__hvdfl1sd__example_55959141808476
Generating output for cell sky130_fd_pr__model__pfet_highvoltage__example_55959141808588
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808475
Generating output for cell sky130_fd_pr__hvdfl1sd__example_55959141808115
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808589
Generating output for cell sky130_fd_io__gpiov2_amux_ctl_lshv2hv2
Generating output for cell sky130_fd_io__gpiov2_amux_ctl_lshv2hv
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808460
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808590
Generating output for cell sky130_fd_pr__dfl1sd2__example_5595914180816
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808463
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808464
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808465
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808466
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808467
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808468
Generating output for cell sky130_fd_io__gpiov2_amux_ctl_ls
Generating output for cell sky130_fd_io__gpiov2_amux_ctl_inv_1
Generating output for cell sky130_fd_io__gpiov2_amux_ls
Generating output for cell sky130_fd_io__gpiov2_amux_ctl_logic
Generating output for cell sky130_fd_pr__hvdfl1sd2__example_55959141808178
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808591
Generating output for cell sky130_fd_pr__hvdfl1sd2__example_55959141808488
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808592
Generating output for cell sky130_fd_pr__hvdfl1sd2__example_55959141808306
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808593
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808594
Generating output for cell sky130_fd_io__res75only_small
Generating output for cell sky130_fd_io__gpiov2_amux
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808608
Generating output for cell sky130_fd_pr__dfl1sd__example_55959141808517
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808533
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808230
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808529
Generating output for cell sky130_fd_pr__dfl1sd2__example_55959141808518
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808609
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808604
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808610
Generating output for cell sky130_fd_pr__dfl1sd__example_5595914180811
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808549
Generating output for cell sky130_fd_pr__dfl1sd__example_55959141808190
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808548
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808611
Generating output for cell sky130_fd_pr__dfl1sd2__example_55959141808191
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808189
Generating output for cell sky130_fd_io__gpiov2_in_buf
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808481
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808537
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808600
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808528
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808550
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808607
Generating output for cell sky130_fd_io__gpiov2_ipath_hvls
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808601
Generating output for cell sky130_fd_pr__nfet_01v8__example_5595914180825
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808602
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808603
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808527
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808535
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808540
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808598
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808605
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808596
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808606
Generating output for cell sky130_fd_io__gpiov2_vcchib_in_buf
Generating output for cell sky130_fd_pr__dfl1sd2__example_5595914180812
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808597
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808599
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808546
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808547
Generating output for cell sky130_fd_io__gpiov2_ipath_lvls
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808595
Generating output for cell sky130_fd_io__gpiov2_inbuf_lvinv_x1
Generating output for cell sky130_fd_io__gpiov2_ibuf_se
Generating output for cell sky130_fd_io__gpiov2_buf_localesd
Generating output for cell sky130_fd_io__hvsbt_nand2v2
Generating output for cell sky130_fd_io__gpiov2_ictl_logic
Generating output for cell sky130_fd_io__gpiov2_ipath
Generating output for cell sky130_fd_pr__model__nfet_highvoltage__example_55959141808613
Generating output for cell sky130_fd_pr__model__pfet_highvoltage__example_55959141808614
Generating output for cell sky130_fd_io__hvsbt_inv_x8v2
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808423
Generating output for cell sky130_fd_pr__hvdfl1sd__example_55959141808280
Generating output for cell sky130_fd_pr__hvdfl1sd2__example_55959141808425
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808424
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808426
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808379
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808380
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808382
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808383
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808427
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808428
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808429
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808430
Generating output for cell sky130_fd_pr__hvdfl1sd__example_55959141808102
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808431
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808432
Generating output for cell sky130_fd_pr__hvdfl1sd__example_55959141808434
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808433
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808435
Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808440
Generating output for cell sky130_fd_pr__via_l1m1__example_5595914180878
Generating output for cell sky130_fd_pr__via_pol1__example_55959141808394
Generating output for cell sky130_fd_pr__via_pol1__example_55959141808298
Generating output for cell sky130_fd_io__com_ctl_ls
Generating output for cell sky130_fd_io__hvsbt_inv_x8
Generating output for cell sky130_fd_io__com_ctl_hldv2
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808617
Generating output for cell sky130_fd_io__com_ctl_ls_en_1_v2
Generating output for cell sky130_fd_io__com_ctl_ls_v2
Generating output for cell sky130_fd_io__tk_em2o_cdns_55959141808439
Generating output for cell sky130_fd_io__tk_em2s_cdns_55959141808438
Generating output for cell sky130_fd_io__com_ctl_ls_1v2
Generating output for cell sky130_fd_pr__pfet_01v8__example_5595914180813
Generating output for cell sky130_fd_pr__pfet_01v8__example_5595914180822
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808618
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808619
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808620
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808621
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808622
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808623
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808116
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808624
Generating output for cell sky130_fd_io__com_ctl_lsv2
Generating output for cell sky130_fd_io__tk_em1o_cdns_5595914180880
Generating output for cell sky130_fd_io__tk_em1o_cdns_5595914180879
Generating output for cell sky130_fd_io__tk_em1s_cdns_5595914180882
Generating output for cell sky130_fd_io__tk_em1s_cdns_5595914180881
Generating output for cell sky130_fd_io__gpiov2_ctl_lsbank
Generating output for cell sky130_fd_io__gpiov2_ctl
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808375
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808376
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808377
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808381
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808384
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808386
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808387
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808388
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808389
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808390
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808391
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808392
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808393
Generating output for cell sky130_fd_pr__via_pol1__example_55959141808373
Generating output for cell sky130_fd_pr__via_pol1__example_55959141808272
Generating output for cell sky130_fd_pr__via_m1m2__example_55959141808271
Generating output for cell sky130_fd_pr__tpl1__example_55959141808374
Generating output for cell sky130_fd_io__gpio_dat_ls_1v2
Generating output for cell sky130_fd_io__gpio_dat_lsv2
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808360
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808403
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808362
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808404
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808405
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808406
Generating output for cell sky130_fd_pr__hvdfl1sd__example_55959141808194
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808346
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808407
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808408
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808409
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808410
Generating output for cell sky130_fd_pr__via_pol1__example_55959141808294
Generating output for cell sky130_fd_pr__via_pol1__example_55959141808322
Generating output for cell sky130_fd_pr__via_pol1__example_55959141808395
Generating output for cell sky130_fd_pr__via_pol1__example_55959141808396
Generating output for cell sky130_fd_pr__via_pol1__example_55959141808397
Generating output for cell sky130_fd_pr__via_pol1__example_55959141808295
Generating output for cell sky130_fd_pr__via_pol1__example_55959141808398
Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808399
Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808325
Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808292
Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808269
Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808401
Generating output for cell sky130_fd_io__com_cclat
Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808368
Generating output for cell sky130_fd_pr__tpl1__example_55959141808625
Generating output for cell sky130_fd_io__com_opath_datoev2
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808416
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808417
Generating output for cell sky130_fd_io__hvsbt_xor
Generating output for cell sky130_fd_io__hvsbt_xorv2
Generating output for cell sky130_fd_io__com_ctl_ls_octl
Generating output for cell sky130_fd_io__gpiov2_octl
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808354
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808626
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808627
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808628
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808629
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808630
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808631
Generating output for cell sky130_fd_io__gpiov2_pdpredrvr_strong_nr2
Generating output for cell sky130_fd_pr__dfl1sd2__example_55959141808633
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808632
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808634
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808635
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808636
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808637
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808638
Generating output for cell sky130_fd_io__gpiov2_pdpredrvr_strong_nr3
Generating output for cell sky130_fd_io__tk_em1o_cdns_55959141808327
Generating output for cell sky130_fd_io__tk_em1o_cdns_55959141808328
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808329
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808330
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808304
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808331
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808134
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808332
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808333
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808334
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808343
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808344
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808345
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808347
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808348
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808639
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808640
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808641
Generating output for cell sky130_fd_pr__via_pol1__example_55959141808320
Generating output for cell sky130_fd_pr__via_pol1__example_55959141808321
Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808323
Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808291
Generating output for cell sky130_fd_io__com_pdpredrvr_pbiasv2
Generating output for cell sky130_fd_pr__nfet_01v8__example_5595914180888
Generating output for cell sky130_fd_io__gpiov2_octl_mux
Generating output for cell sky130_fd_pr__model__pfet_highvoltage__example_55959141808642
Generating output for cell sky130_fd_pr__model__pfet_highvoltage__example_55959141808141
Generating output for cell sky130_fd_pr__model__pfet_highvoltage__example_55959141808184
Generating output for cell sky130_fd_pr__model__nfet_highvoltage__example_55959141808643
Generating output for cell sky130_fd_pr__model__nfet_highvoltage__example_55959141808183
Generating output for cell sky130_fd_pr__model__nfet_highvoltage__example_55959141808139
Generating output for cell sky130_fd_io__gpiov2_pdpredrvr_strong
Generating output for cell sky130_fd_pr__hvdfl1sd2__example_55959141808143
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808364
Generating output for cell sky130_fd_io__com_pdpredrvr_strong_slowv2
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808363
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808314
Generating output for cell sky130_fd_pr__via_pol1__example_5595914180854
Generating output for cell sky130_fd_io__com_pupredrvr_strong_slowv2
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808644
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808281
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808282
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808287
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808283
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808284
Generating output for cell sky130_fd_pr__res_generic_po__example_55959141808285
Generating output for cell sky130_fd_pr__res_generic_po__example_55959141808286
Generating output for cell sky130_fd_pr__via_pol1__example_55959141808275
Generating output for cell sky130_fd_pr__via_m1m2__example_55959141808276
Generating output for cell sky130_fd_io__gpiov2_pupredrvr_strong_nd2_a
Generating output for cell sky130_fd_io__gpiov2_pupredrvr_strong_nd2
Generating output for cell sky130_fd_io__tk_em1s_cdns_55959141808301
Generating output for cell sky130_fd_io__tk_em1o_cdns_55959141808302
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808303
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808305
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808307
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808308
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808309
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808310
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808311
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808312
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808313
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808315
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808317
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808318
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808319
Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808293
Generating output for cell sky130_fd_pr__via_pol1__example_55959141808296
Generating output for cell sky130_fd_pr__via_pol1__example_55959141808297
Generating output for cell sky130_fd_pr__tpl1__example_55959141808299
Generating output for cell sky130_fd_pr__tpl1__example_55959141808300
Generating output for cell sky130_fd_io__feascom_pupredrvr_nbiasv2
Generating output for cell sky130_fd_pr__model__nfet_highvoltage__example_55959141808144
Generating output for cell sky130_fd_pr__model__nfet_highvoltage__example_5595914180899
Generating output for cell sky130_fd_pr__model__pfet_highvoltage__example_55959141808142
Generating output for cell sky130_fd_pr__model__pfet_highvoltage__example_55959141808101
Generating output for cell sky130_fd_io__gpio_pupredrvr_strongv2
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808365
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808366
Generating output for cell sky130_fd_io__com_pdpredrvr_weakv2
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808361
Generating output for cell sky130_fd_io__feas_com_pupredrvr_weak
Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808266
Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808267
Generating output for cell sky130_fd_io__gpiov2_obpredrvr
Generating output for cell sky130_fd_io__gpiov2_octl_dat
Generating output for cell sky130_fd_pr__via_l1m1_centered__example_559591418082
Generating output for cell sky130_fd_pr__via_l1m1_centered__example_559591418083
Generating output for cell sky130_fd_io__nfet_con_diff_wo_abt_270v2
Generating output for cell sky130_fd_io__gpiov2_pddrvr_strong
Generating output for cell sky130_fd_pr__hvdfm1sd__example_55959141808655
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808654
Generating output for cell sky130_fd_io__com_pudrvr_strong_slowv2
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808656
Generating output for cell sky130_fd_io__com_pudrvr_weakv2
Generating output for cell sky130_fd_pr__nfet_01v8__example_5595914180850
Generating output for cell sky130_fd_io__gpio_pddrvr_weakv2
Generating output for cell sky130_fd_pr__via_l1m1_centered__example_559591418085
Generating output for cell sky130_fd_io__pfet_con_diff_wo_abt_270v2
Generating output for cell sky130_fd_io__gpio_pudrvr_strongv2
Generating output for cell sky130_fd_io__gpio_pddrvr_strong_slowv2
Generating output for cell sky130_fd_io__tk_em1s_cdns_5595914180852
Generating output for cell sky130_fd_pr__res_generic_po__example_5595914180853
Generating output for cell sky130_fd_pr__res_generic_po__example_5595914180855
Generating output for cell sky130_fd_pr__res_generic_po__example_5595914180856
Generating output for cell sky130_fd_pr__via_l1m1__example_5595914180832
Generating output for cell sky130_fd_pr__via_pol1_centered__example_559591418080
Generating output for cell sky130_fd_io__gpio_odrvr_subv2
Generating output for cell sky130_fd_io__gpio_odrvrv2
Generating output for cell sky130_fd_io__gpio_opathv2
Generating output for cell sky130_fd_io__top_gpiov2
Generating output for cell sky130_ef_io__gpiov2_pad
Generating output for cell sky130_ef_io__gpiov2_pad_wrapped
Generating output for cell sky130_ef_io__lvc_vccdx_overlay
Generating output for cell sky130_fd_io__overlay_vssd_lvc
Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808683
Generating output for cell sky130_fd_io__gnd2gnd_strap
Generating output for cell sky130_fd_io__gnd2gnd_tap
Generating output for cell sky130_fd_io__gnd2gnd_diff
Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808684
Generating output for cell sky130_fd_pr__tpl1__example_55959141808685
Generating output for cell sky130_fd_pr__tpl1__example_55959141808686
Generating output for cell sky130_fd_io__gnd2gnd_sub_dnwl
Generating output for cell sky130_fd_io__gnd2gnd_120x2_lv_isosub
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808687
Generating output for cell sky130_fd_pr__res_bent_po__example_55959141808691
Generating output for cell sky130_fd_pr__res_bent_po__example_55959141808690
Generating output for cell sky130_fd_pr__dftpl1s2__example_55959141808702
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808705
Generating output for cell sky130_fd_pr__dfl1__example_55959141808682
Generating output for cell sky130_fd_pr__dftpl1s2__example_55959141808694
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808693
Generating output for cell sky130_fd_pr__dfl1__example_55959141808681
Generating output for cell sky130_fd_pr__res_bent_po__example_55959141808688
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808696
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808697
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808698
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808699
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808695
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808704
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808703
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808701
Generating output for cell sky130_fd_pr__res_bent_po__example_55959141808692
Generating output for cell sky130_fd_pr__res_bent_po__example_55959141808689
Generating output for cell sky130_fd_io__top_ground_lvc_wpad
Generating output for cell sky130_ef_io__vssd_lvc_clamped_pad
Generating output for cell sky130_ef_io__hvc_vssio_overlay
Generating output for cell sky130_fd_io__overlay_vssio_hvc
Generating output for cell sky130_ef_io__vssio_hvc_clamped_pad
Generating output for cell sky130_fd_io__overlay_vdda_hvc
Generating output for cell sky130_fd_io__hvc_clampv2
Generating output for cell sky130_fd_io__top_power_hvc_wpadv2
Generating output for cell sky130_ef_io__vdda_hvc_clamped_pad
Generating output for cell sky130_fd_io__overlay_vccd_lvc
Generating output for cell sky130_fd_io__top_power_lvc_wpad
Generating output for cell sky130_ef_io__vccd_lvc_clamped_pad
Generating output for cell sky130_ef_io__disconnect_vdda_slice_5um
Generating output for cell sky130_ef_io__hvc_vddio_overlay
Generating output for cell sky130_fd_io__overlay_vddio_hvc
Generating output for cell sky130_ef_io__vddio_hvc_clamped_pad
Generating output for cell sky130_ef_io__lvc_vccdy_overlay
Generating output for cell sky130_ef_io__vssd_lvc_clamped3_pad
Generating output for cell sky130_ef_io__vccd_lvc_clamped3_pad
Generating output for cell chip_io
Generating output for cell user_project_wrapper
Reading "eFPGA_CPU_top_VIA16".
Reading "M1M2_PR".
Reading "sky130_fd_sc_hd__tapvpwrvgnd_1".
Reading "eFPGA_CPU_top_VIA17".
Reading "eFPGA_CPU_top_VIA18".
Reading "sky130_fd_sc_hd__fill_4".
Reading "L1M1_PR".
Reading "sky130_fd_sc_hd__decap_6".
Reading "sky130_fd_sc_hd__fill_2".
Reading "sky130_ef_sc_hd__fill_12".
Reading "sky130_ef_sc_hd__decap_12".
Reading "sky130_fd_sc_hd__decap_8".
Reading "sky130_ef_sc_hd__fill_8".
Reading "eFPGA_CPU_top_VIA0".
Reading "M2M3_PR".
Reading "M3M4_PR".
Reading "sky130_fd_sc_hd__fill_1".
Reading "sky130_fd_sc_hd__conb_1".
Reading "sky130_fd_sc_hd__buf_8".
Reading "eFPGA_CPU_top_VIA39".
Reading "eFPGA_CPU_top_VIA1".
Reading "M1M2_PR_M".
Reading "sky130_fd_sc_hd__diode_2".
Reading "sky130_fd_sc_hd__and2b_1".
Reading "sky130_fd_sc_hd__dlygate4sd3_1".
Reading "sky130_fd_sc_hd__clkdlybuf4s50_1".
Reading "eFPGA_CPU_top_VIA2".
Reading "eFPGA_CPU_top_VIA5".
Reading "eFPGA_CPU_top_VIA21".
Reading "eFPGA_CPU_top_VIA20".
Reading "eFPGA_CPU_top_VIA19".
Reading "S_term_RAM_IO_VIA3".
Reading "S_term_RAM_IO_VIA2".
Reading "S_term_RAM_IO_VIA1".
Reading "S_term_RAM_IO_VIA0".
Reading "M1M2_PR_S_term_RAM_IO_gds".
Reading "L1M1_PR_S_term_RAM_IO_gds".
Reading "M2M3_PR_S_term_RAM_IO_gds".
Reading "sky130_fd_sc_hd__fill_2_S_term_RAM_IO_gds".
Reading "sky130_fd_sc_hd__fill_4_S_term_RAM_IO_gds".
Reading "sky130_fd_sc_hd__fill_1_S_term_RAM_IO_gds".
Reading "sky130_fd_sc_hd__fill_8_S_term_RAM_IO_gds".
Reading "sky130_fd_sc_hd__decap_8_S_term_RAM_IO_gds".
Reading "sky130_fd_sc_hd__dlygate4sd3_1_S_term_RAM_IO_gds".
Reading "sky130_fd_sc_hd__dlygate4sd2_1_S_term_RAM_IO_gds".
Reading "sky130_fd_sc_hd__tapvpwrvgnd_1_S_term_RAM_IO_gds".
Reading "sky130_fd_sc_hd__diode_2_S_term_RAM_IO_gds".
Reading "sky130_fd_sc_hd__buf_8_S_term_RAM_IO_gds".
Reading "sky130_fd_sc_hd__decap_6_S_term_RAM_IO_gds".
Reading "S_term_RAM_IO_VIA6".
Reading "S_term_RAM_IO_VIA5".
Reading "S_term_RAM_IO_VIA4".
Reading "sky130_fd_sc_hd__clkinv_4_S_term_RAM_IO_gds".
Reading "sky130_fd_sc_hd__clkbuf_1_S_term_RAM_IO_gds".
Reading "M1M2_PR_M_S_term_RAM_IO_gds".
Reading "sky130_fd_sc_hd__decap_4_S_term_RAM_IO_gds".
Reading "S_term_RAM_IO".
Reading "sky130_fd_sc_hd__buf_2".
Reading "sky130_fd_sc_hd__mux2_8".
Reading "L1M1_PR_S_term_single_gds".
Reading "S_term_single_VIA3".
Reading "S_term_single_VIA2".
Reading "S_term_single_VIA1".
Reading "M1M2_PR_S_term_single_gds".
Reading "M2M3_PR_S_term_single_gds".
Reading "sky130_fd_sc_hd__decap_8_S_term_single_gds".
Reading "sky130_fd_sc_hd__clkinv_4_S_term_single_gds".
Reading "sky130_fd_sc_hd__fill_8_S_term_single_gds".
Reading "sky130_fd_sc_hd__tapvpwrvgnd_1_S_term_single_gds".
Reading "sky130_fd_sc_hd__fill_1_S_term_single_gds".
Reading "sky130_fd_sc_hd__fill_2_S_term_single_gds".
Reading "sky130_fd_sc_hd__fill_4_S_term_single_gds".
Reading "S_term_single_VIA0".
Reading "S_term_single_VIA6".
Reading "S_term_single_VIA5".
Reading "S_term_single_VIA4".
Reading "sky130_fd_sc_hd__buf_8_S_term_single_gds".
Reading "sky130_fd_sc_hd__decap_6_S_term_single_gds".
Reading "sky130_fd_sc_hd__clkbuf_1_S_term_single_gds".
Reading "sky130_fd_sc_hd__dlygate4sd3_1_S_term_single_gds".
Reading "sky130_fd_sc_hd__buf_1_S_term_single_gds".
Reading "M1M2_PR_M_S_term_single_gds".
Reading "sky130_fd_sc_hd__conb_1_S_term_single_gds".
Reading "S_term_single".
Reading "M3M4_PR_RAM_IO_gds".
Reading "M1M2_PR_RAM_IO_gds".
Reading "RAM_IO_VIA0".
Reading "sky130_fd_sc_hd__fill_1_RAM_IO_gds".
Reading "RAM_IO_VIA3".
Reading "M2M3_PR_RAM_IO_gds".
Reading "RAM_IO_VIA2".
Reading "L1M1_PR_RAM_IO_gds".
Reading "RAM_IO_VIA1".
Reading "sky130_fd_sc_hd__fill_2_RAM_IO_gds".
Reading "sky130_fd_sc_hd__mux2_1_RAM_IO_gds".
Reading "M1M2_PR_M_RAM_IO_gds".
Reading "sky130_fd_sc_hd__fill_4_RAM_IO_gds".
Reading "sky130_fd_sc_hd__tapvpwrvgnd_1_RAM_IO_gds".
Reading "sky130_fd_sc_hd__clkinv_4_RAM_IO_gds".
Reading "sky130_fd_sc_hd__buf_1_RAM_IO_gds".
Reading "sky130_fd_sc_hd__clkbuf_4_RAM_IO_gds".
Reading "sky130_fd_sc_hd__clkbuf_1_RAM_IO_gds".
Reading "sky130_fd_sc_hd__dfxtp_2_RAM_IO_gds".
Reading "sky130_fd_sc_hd__clkdlybuf4s50_1_RAM_IO_gds".
Reading "sky130_fd_sc_hd__buf_8_RAM_IO_gds".
Reading "sky130_fd_sc_hd__fill_8".
Reading "sky130_fd_sc_hd__decap_3_RAM_IO_gds".
Reading "sky130_fd_sc_hd__dlxbp_1_RAM_IO_gds".
Reading "sky130_fd_sc_hd__decap_4_RAM_IO_gds".
Reading "sky130_fd_sc_hd__diode_2_RAM_IO_gds".
Reading "sky130_fd_sc_hd__clkinv_16".
Reading "sky130_fd_sc_hd__buf_2_RAM_IO_gds".
Reading "M1M2_PR_MR_RAM_IO_gds".
Reading "sky130_fd_sc_hd__mux4_2_RAM_IO_gds".
Reading "sky130_fd_sc_hd__dlygate4sd2_1_RAM_IO_gds".
Reading "sky130_fd_sc_hd__conb_1_RAM_IO_gds".
Reading "L1M1_PR_R_RAM_IO_gds".
Reading "M1M2_PR_R_RAM_IO_gds".
Reading "sky130_fd_sc_hd__clkdlybuf4s25_1_RAM_IO_gds".
Reading "sky130_fd_sc_hd__buf_4_RAM_IO_gds".
Reading "sky130_fd_sc_hd__dfxtp_1".
Reading "RAM_IO_VIA6".
Reading "RAM_IO_VIA5".
Reading "RAM_IO_VIA4".
Reading "sky130_fd_sc_hd__dlygate4sd3_1_RAM_IO_gds".
Reading "M2M3_PR_R".
Reading "sky130_fd_sc_hd__clkinv_8".
Reading "RAM_IO".
Reading "LUT4AB_VIA3".
Reading "L1M1_PR_LUT4AB_gds".
Reading "sky130_fd_sc_hd__diode_2_LUT4AB_gds".
Reading "LUT4AB_VIA0".
Reading "LUT4AB_VIA2".
Reading "LUT4AB_VIA1".
Reading "M1M2_PR_LUT4AB_gds".
Reading "M2M3_PR_LUT4AB_gds".
Reading "sky130_fd_sc_hd__fill_1_LUT4AB_gds".
Reading "sky130_fd_sc_hd__fill_4_LUT4AB_gds".
Reading "sky130_fd_sc_hd__tapvpwrvgnd_1_LUT4AB_gds".
Reading "sky130_fd_sc_hd__fill_2_LUT4AB_gds".
Reading "M1M2_PR_M_LUT4AB_gds".
Reading "M3M4_PR_LUT4AB_gds".
Reading "sky130_fd_sc_hd__decap_8_LUT4AB_gds".
Reading "sky130_fd_sc_hd__mux2_2_LUT4AB_gds".
Reading "sky130_fd_sc_hd__dlxbp_1_LUT4AB_gds".
Reading "sky130_fd_sc_hd__decap_6_LUT4AB_gds".
Reading "sky130_fd_sc_hd__dfxtp_2_LUT4AB_gds".
Reading "sky130_fd_sc_hd__buf_8_LUT4AB_gds".
Reading "sky130_fd_sc_hd__o22a_1_LUT4AB_gds".
Reading "sky130_fd_sc_hd__decap_3_LUT4AB_gds".
Reading "sky130_fd_sc_hd__clkinv_4_LUT4AB_gds".
Reading "sky130_fd_sc_hd__mux4_2_LUT4AB_gds".
Reading "sky130_fd_sc_hd__nor2_1_LUT4AB_gds".
Reading "sky130_fd_sc_hd__inv_2_LUT4AB_gds".
Reading "sky130_ef_sc_hd__fill_8_LUT4AB_gds".
Reading "sky130_fd_sc_hd__mux2_4_LUT4AB_gds".
Reading "sky130_fd_sc_hd__mux2_1_LUT4AB_gds".
Reading "sky130_fd_sc_hd__conb_1_LUT4AB_gds".
Reading "sky130_ef_sc_hd__decap_12_LUT4AB_gds".
Reading "sky130_ef_sc_hd__fill_12_LUT4AB_gds".
Reading "sky130_fd_sc_hd__decap_4_LUT4AB_gds".
Reading "M1M2_PR_MR_LUT4AB_gds".
Reading "M1M2_PR_R_LUT4AB_gds".
Reading "sky130_fd_sc_hd__clkinv_8_LUT4AB_gds".
Reading "LUT4AB_VIA6".
Reading "LUT4AB_VIA5".
Reading "LUT4AB_VIA4".
Reading "sky130_fd_sc_hd__buf_2_LUT4AB_gds".
Reading "sky130_fd_sc_hd__clkbuf_1_LUT4AB_gds".
Reading "L1M1_PR_R_LUT4AB_gds".
Reading "sky130_fd_sc_hd__dlygate4sd3_1_LUT4AB_gds".
Reading "sky130_fd_sc_hd__dlygate4sd2_1_LUT4AB_gds".
Reading "sky130_fd_sc_hd__clkinv_16_LUT4AB_gds".
Reading "LUT4AB".
Reading "sky130_fd_sc_hd__clkbuf_8".
Reading "sky130_fd_sc_hd__clkbuf_2".
Reading "sky130_fd_sc_hd__clkbuf_1".
Reading "sky130_fd_sc_hd__clkbuf_16".
Reading "sky130_fd_sc_hd__decap_4".
Reading "sky130_fd_sc_hd__clkdlybuf4s50_2".
Reading "M1M2_PR_S_term_single2_gds".
Reading "S_term_single2_VIA1".
Reading "S_term_single2_VIA0".
Reading "M2M3_PR_S_term_single2_gds".
Reading "S_term_single2_VIA3".
Reading "S_term_single2_VIA2".
Reading "M1M2_PR_M_S_term_single2_gds".
Reading "sky130_fd_sc_hd__decap_8_S_term_single2_gds".
Reading "sky130_fd_sc_hd__tapvpwrvgnd_1_S_term_single2_gds".
Reading "L1M1_PR_S_term_single2_gds".
Reading "sky130_fd_sc_hd__fill_1_S_term_single2_gds".
Reading "sky130_fd_sc_hd__fill_4_S_term_single2_gds".
Reading "sky130_fd_sc_hd__fill_8_S_term_single2_gds".
Reading "sky130_fd_sc_hd__fill_2_S_term_single2_gds".
Reading "sky130_fd_sc_hd__clkinv_4_S_term_single2_gds".
Reading "S_term_single2_VIA6".
Reading "S_term_single2_VIA5".
Reading "S_term_single2_VIA4".
Reading "sky130_fd_sc_hd__buf_8_S_term_single2_gds".
Reading "sky130_fd_sc_hd__clkbuf_1_S_term_single2_gds".
Reading "sky130_fd_sc_hd__dlygate4sd3_1_S_term_single2_gds".
Reading "sky130_fd_sc_hd__decap_6_S_term_single2_gds".
Reading "sky130_fd_sc_hd__buf_1_S_term_single2_gds".
Reading "S_term_single2".
Reading "L1M1_PR_RegFile_gds".
Reading "M2M3_PR_RegFile_gds".
Reading "M1M2_PR_RegFile_gds".
Reading "RegFile_VIA2".
Reading "M3M4_PR_RegFile_gds".
Reading "RegFile_VIA1".
Reading "RegFile_VIA0".
Reading "sky130_fd_sc_hd__fill_4_RegFile_gds".
Reading "sky130_fd_sc_hd__fill_1_RegFile_gds".
Reading "sky130_fd_sc_hd__decap_4_RegFile_gds".
Reading "RegFile_VIA3".
Reading "sky130_fd_sc_hd__fill_2_RegFile_gds".
Reading "sky130_fd_sc_hd__tapvpwrvgnd_1_RegFile_gds".
Reading "sky130_fd_sc_hd__a22o_1_RegFile_gds".
Reading "sky130_fd_sc_hd__buf_8_RegFile_gds".
Reading "M1M2_PR_M_RegFile_gds".
Reading "sky130_fd_sc_hd__inv_2_RegFile_gds".
Reading "sky130_fd_sc_hd__diode_2_RegFile_gds".
Reading "sky130_fd_sc_hd__dfxtp_2_RegFile_gds".
Reading "sky130_fd_sc_hd__decap_3_RegFile_gds".
Reading "sky130_fd_sc_hd__buf_1_RegFile_gds".
Reading "sky130_fd_sc_hd__clkinv_16_RegFile_gds".
Reading "sky130_fd_sc_hd__or2_2_RegFile_gds".
Reading "sky130_fd_sc_hd__dlygate4sd3_1_RegFile_gds".
Reading "sky130_fd_sc_hd__mux4_2_RegFile_gds".
Reading "sky130_fd_sc_hd__decap_6_RegFile_gds".
Reading "sky130_fd_sc_hd__clkinv_8_RegFile_gds".
Reading "sky130_fd_sc_hd__dlygate4sd2_1_RegFile_gds".
Reading "M1M2_PR_MR_RegFile_gds".
Reading "sky130_fd_sc_hd__dlxbp_1_RegFile_gds".
Reading "sky130_fd_sc_hd__mux4_1".
Reading "sky130_fd_sc_hd__buf_12_RegFile_gds".
Reading "sky130_fd_sc_hd__clkbuf_1_RegFile_gds".
Reading "sky130_fd_sc_hd__mux2_1_RegFile_gds".
Reading "sky130_fd_sc_hd__mux4_4".
Reading "sky130_fd_sc_hd__or3_1_RegFile_gds".
Reading "sky130_fd_sc_hd__mux2_4_RegFile_gds".
Reading "sky130_fd_sc_hd__decap_8_RegFile_gds".
Reading "sky130_ef_sc_hd__decap_12_RegFile_gds".
Reading "sky130_fd_sc_hd__buf_4_RegFile_gds".
Reading "sky130_fd_sc_hd__clkdlybuf4s50_1_RegFile_gds".
Reading "sky130_fd_sc_hd__buf_2_RegFile_gds".
Reading "sky130_ef_sc_hd__fill_8_RegFile_gds".
Reading "RegFile_VIA4".
Reading "RegFile_VIA5".
Reading "RegFile_VIA6".
Reading "sky130_fd_sc_hd__clkinv_4_RegFile_gds".
Reading "sky130_ef_sc_hd__fill_12_RegFile_gds".
Reading "M2M3_PR_R_RegFile_gds".
Reading "M1M2_PR_R_RegFile_gds".
Reading "sky130_fd_sc_hd__clkbuf_4_RegFile_gds".
Reading "sky130_fd_sc_hd__clkdlybuf4s25_1_RegFile_gds".
Reading "RegFile".
Reading "sky130_fd_sc_hd__clkbuf_4".
Reading "sky130_fd_sc_hd__or3_4".
Reading "sky130_fd_sc_hd__or3b_2".
Reading "sky130_fd_sc_hd__nand4b_2".
Reading "sky130_fd_sc_hd__or4bb_2".
Reading "sky130_fd_sc_hd__nand3b_2".
Reading "sky130_fd_sc_hd__or4bb_1".
Reading "sky130_fd_sc_hd__or3_1".
Reading "M1M2_PR_S_term_DSP_gds".
Reading "S_term_DSP_VIA1".
Reading "S_term_DSP_VIA0".
Reading "M2M3_PR_S_term_DSP_gds".
Reading "S_term_DSP_VIA3".
Reading "S_term_DSP_VIA2".
Reading "M1M2_PR_M_S_term_DSP_gds".
Reading "sky130_fd_sc_hd__decap_8_S_term_DSP_gds".
Reading "sky130_fd_sc_hd__tapvpwrvgnd_1_S_term_DSP_gds".
Reading "L1M1_PR_S_term_DSP_gds".
Reading "sky130_fd_sc_hd__fill_1_S_term_DSP_gds".
Reading "sky130_fd_sc_hd__fill_4_S_term_DSP_gds".
Reading "sky130_fd_sc_hd__fill_8_S_term_DSP_gds".
Reading "sky130_fd_sc_hd__fill_2_S_term_DSP_gds".
Reading "sky130_fd_sc_hd__clkinv_4_S_term_DSP_gds".
Reading "S_term_DSP_VIA6".
Reading "S_term_DSP_VIA5".
Reading "S_term_DSP_VIA4".
Reading "sky130_fd_sc_hd__buf_8_S_term_DSP_gds".
Reading "sky130_fd_sc_hd__clkbuf_1_S_term_DSP_gds".
Reading "sky130_fd_sc_hd__dlygate4sd3_1_S_term_DSP_gds".
Reading "sky130_fd_sc_hd__decap_6_S_term_DSP_gds".
Reading "sky130_fd_sc_hd__buf_1_S_term_DSP_gds".
Reading "S_term_DSP".
Reading "sky130_fd_sc_hd__fill_1_DSP_gds".
Reading "L1M1_PR_DSP_gds".
Reading "DSP_VIA1".
Reading "M1M2_PR_DSP_gds".
Reading "M2M3_PR_DSP_gds".
Reading "DSP_VIA3".
Reading "DSP_VIA2".
Reading "DSP_VIA0".
Reading "M3M4_PR_DSP_gds".
Reading "sky130_fd_sc_hd__decap_8_DSP_gds".
Reading "sky130_fd_sc_hd__diode_2_DSP_gds".
Reading "sky130_fd_sc_hd__fill_2_DSP_gds".
Reading "sky130_ef_sc_hd__decap_12_DSP_gds".
Reading "sky130_fd_sc_hd__fill_4_DSP_gds".
Reading "sky130_ef_sc_hd__fill_8_DSP_gds".
Reading "sky130_fd_sc_hd__tapvpwrvgnd_1_DSP_gds".
Reading "M1M2_PR_M_DSP_gds".
Reading "sky130_fd_sc_hd__clkbuf_1_DSP_gds".
Reading "sky130_fd_sc_hd__dlxbp_1".
Reading "sky130_fd_sc_hd__decap_6_DSP_gds".
Reading "sky130_ef_sc_hd__fill_12_DSP_gds".
Reading "sky130_fd_sc_hd__buf_8_DSP_gds".
Reading "sky130_fd_sc_hd__decap_4_DSP_gds".
Reading "M1M2_PR_R_DSP_gds".
Reading "sky130_fd_sc_hd__mux4_2".
Reading "sky130_fd_sc_hd__clkinv_4".
Reading "L1M1_PR_R_DSP_gds".
Reading "sky130_fd_sc_hd__buf_1_DSP_gds".
Reading "sky130_fd_sc_hd__mux2_1_DSP_gds".
Reading "sky130_fd_sc_hd__inv_2_DSP_gds".
Reading "sky130_fd_sc_hd__or2_2_DSP_gds".
Reading "sky130_fd_sc_hd__nor2_1_DSP_gds".
Reading "sky130_fd_sc_hd__or2b_1_DSP_gds".
Reading "sky130_fd_sc_hd__xnor2_1_DSP_gds".
Reading "sky130_fd_sc_hd__or4_1_DSP_gds".
Reading "sky130_fd_sc_hd__o22a_1_DSP_gds".
Reading "sky130_fd_sc_hd__o21ba_2_DSP_gds".
Reading "sky130_fd_sc_hd__and2_1_DSP_gds".
Reading "sky130_fd_sc_hd__a21bo_2_DSP_gds".
Reading "sky130_fd_sc_hd__a21o_1_DSP_gds".
Reading "sky130_fd_sc_hd__a2bb2o_1_DSP_gds".
Reading "sky130_fd_sc_hd__dfxtp_2_DSP_gds".
Reading "sky130_fd_sc_hd__mux2_4_DSP_gds".
Reading "sky130_fd_sc_hd__nand2_1_DSP_gds".
Reading "sky130_fd_sc_hd__clkdlybuf4s25_1".
Reading "sky130_fd_sc_hd__clkdlybuf4s50_1_DSP_gds".
Reading "sky130_fd_sc_hd__decap_3".
Reading "sky130_fd_sc_hd__buf_2_DSP_gds".
Reading "sky130_fd_sc_hd__dlygate4sd2_1_DSP_gds".
Reading "sky130_fd_sc_hd__o21a_1_DSP_gds".
Reading "M1M2_PR_MR_DSP_gds".
Reading "sky130_fd_sc_hd__conb_1_DSP_gds".
Reading "DSP_VIA6".
Reading "DSP_VIA4".
Reading "DSP_VIA5".
Reading "sky130_fd_sc_hd__o32a_1_DSP_gds".
Reading "sky130_fd_sc_hd__or3_1_DSP_gds".
Reading "sky130_fd_sc_hd__and4_1_DSP_gds".
Reading "sky130_fd_sc_hd__a31o_2_DSP_gds".
Reading "sky130_fd_sc_hd__a32o_1_DSP_gds".
Reading "sky130_fd_sc_hd__o2bb2a_1_DSP_gds".
Reading "sky130_fd_sc_hd__a221o_1_DSP_gds".
Reading "sky130_fd_sc_hd__buf_12_DSP_gds".
Reading "sky130_fd_sc_hd__o21ai_1_DSP_gds".
Reading "sky130_fd_sc_hd__mux2_2_DSP_gds".
Reading "sky130_fd_sc_hd__a22oi_1_DSP_gds".
Reading "sky130_fd_sc_hd__o221a_2_DSP_gds".
Reading "sky130_fd_sc_hd__a22o_1_DSP_gds".
Reading "sky130_fd_sc_hd__a21oi_1_DSP_gds".
Reading "sky130_fd_sc_hd__a31oi_1_DSP_gds".
Reading "sky130_fd_sc_hd__o22ai_1_DSP_gds".
Reading "sky130_fd_sc_hd__xor2_1_DSP_gds".
Reading "sky130_fd_sc_hd__clkbuf_4_DSP_gds".
Reading "sky130_fd_sc_hd__dlygate4sd3_1_DSP_gds".
Reading "sky130_fd_sc_hd__a2bb2oi_1_DSP_gds".
Reading "sky130_fd_sc_hd__and2b_1_DSP_gds".
Reading "sky130_fd_sc_hd__o31a_1_DSP_gds".
Reading "DSP".
Reading "eFPGA_CPU_top_VIA7".
Reading "M1M2_PR_N_term_RAM_IO_gds".
Reading "N_term_RAM_IO_VIA0".
Reading "N_term_RAM_IO_VIA3".
Reading "N_term_RAM_IO_VIA2".
Reading "N_term_RAM_IO_VIA1".
Reading "M2M3_PR_N_term_RAM_IO_gds".
Reading "L1M1_PR_N_term_RAM_IO_gds".
Reading "sky130_fd_sc_hd__tapvpwrvgnd_1_N_term_RAM_IO_gds".
Reading "sky130_fd_sc_hd__clkinv_4_N_term_RAM_IO_gds".
Reading "sky130_fd_sc_hd__decap_8_N_term_RAM_IO_gds".
Reading "sky130_fd_sc_hd__fill_8_N_term_RAM_IO_gds".
Reading "sky130_fd_sc_hd__buf_8_N_term_RAM_IO_gds".
Reading "sky130_fd_sc_hd__fill_1_N_term_RAM_IO_gds".
Reading "sky130_fd_sc_hd__fill_2_N_term_RAM_IO_gds".
Reading "sky130_fd_sc_hd__fill_4_N_term_RAM_IO_gds".
Reading "M1M2_PR_M_N_term_RAM_IO_gds".
Reading "sky130_fd_sc_hd__dlygate4sd3_1_N_term_RAM_IO_gds".
Reading "N_term_RAM_IO_VIA6".
Reading "N_term_RAM_IO_VIA5".
Reading "N_term_RAM_IO_VIA4".
Reading "sky130_fd_sc_hd__dlygate4sd2_1_N_term_RAM_IO_gds".
Reading "M3M4_PR_N_term_RAM_IO_gds".
Reading "sky130_fd_sc_hd__clkbuf_1_N_term_RAM_IO_gds".
Reading "N_term_RAM_IO".
Reading "M2M3_PR_N_term_single_gds".
Reading "M1M2_PR_N_term_single_gds".
Reading "L1M1_PR_N_term_single_gds".
Reading "N_term_single_VIA0".
Reading "N_term_single_VIA3".
Reading "N_term_single_VIA2".
Reading "N_term_single_VIA1".
Reading "sky130_fd_sc_hd__buf_8_N_term_single_gds".
Reading "sky130_fd_sc_hd__fill_1_N_term_single_gds".
Reading "sky130_fd_sc_hd__tapvpwrvgnd_1_N_term_single_gds".
Reading "sky130_fd_sc_hd__fill_2_N_term_single_gds".
Reading "sky130_fd_sc_hd__fill_8_N_term_single_gds".
Reading "M1M2_PR_M_N_term_single_gds".
Reading "sky130_fd_sc_hd__decap_8_N_term_single_gds".
Reading "N_term_single_VIA6".
Reading "N_term_single_VIA5".
Reading "N_term_single_VIA4".
Reading "sky130_fd_sc_hd__dlygate4sd3_1_N_term_single_gds".
Reading "sky130_fd_sc_hd__fill_4_N_term_single_gds".
Reading "sky130_fd_sc_hd__buf_2_N_term_single_gds".
Reading "sky130_fd_sc_hd__clkbuf_1_N_term_single_gds".
Reading "sky130_fd_sc_hd__clkinv_4_N_term_single_gds".
Reading "N_term_single".
Reading "eFPGA_CPU_top_VIA25".
Reading "sky130_fd_sc_hd__inv_2".
Reading "sky130_fd_sc_hd__inv_4".
Reading "sky130_fd_sc_hd__dfxtp_2".
Reading "eFPGA_CPU_top_VIA10".
Reading "eFPGA_CPU_top_VIA9".
Reading "eFPGA_CPU_top_VIA24".
Reading "eFPGA_CPU_top_VIA23".
Reading "eFPGA_CPU_top_VIA28".
Reading "eFPGA_CPU_top_VIA27".
Reading "eFPGA_CPU_top_VIA26".
Reading "eFPGA_CPU_top_VIA38".
Reading "L1M1_PR_N_term_single2_gds".
Reading "M1M2_PR_N_term_single2_gds".
Reading "M2M3_PR_N_term_single2_gds".
Reading "N_term_single2_VIA3".
Reading "N_term_single2_VIA2".
Reading "N_term_single2_VIA1".
Reading "N_term_single2_VIA0".
Reading "sky130_fd_sc_hd__buf_8_N_term_single2_gds".
Reading "sky130_fd_sc_hd__tapvpwrvgnd_1_N_term_single2_gds".
Reading "sky130_fd_sc_hd__fill_1_N_term_single2_gds".
Reading "sky130_fd_sc_hd__fill_2_N_term_single2_gds".
Reading "sky130_fd_sc_hd__fill_8_N_term_single2_gds".
Reading "sky130_fd_sc_hd__decap_8_N_term_single2_gds".
Reading "sky130_fd_sc_hd__fill_4_N_term_single2_gds".
Reading "N_term_single2_VIA5".
Reading "N_term_single2_VIA4".
Reading "sky130_fd_sc_hd__dlygate4sd3_1_N_term_single2_gds".
Reading "N_term_single2_VIA6".
Reading "sky130_fd_sc_hd__buf_2_N_term_single2_gds".
Reading "sky130_fd_sc_hd__clkbuf_1_N_term_single2_gds".
Reading "M1M2_PR_M_N_term_single2_gds".
Reading "sky130_fd_sc_hd__clkinv_4_N_term_single2_gds".
Reading "N_term_single2".
Reading "L1M1_PR_E_CPU_IO_bot_gds".
Reading "M1M2_PR_E_CPU_IO_bot_gds".
Reading "sky130_fd_sc_hd__fill_1_E_CPU_IO_bot_gds".
Reading "E_CPU_IO_bot_VIA3".
Reading "E_CPU_IO_bot_VIA2".
Reading "E_CPU_IO_bot_VIA1".
Reading "E_CPU_IO_bot_VIA0".
Reading "M2M3_PR_E_CPU_IO_bot_gds".
Reading "sky130_fd_sc_hd__clkinv_8_E_CPU_IO_bot_gds".
Reading "sky130_fd_sc_hd__fill_2_E_CPU_IO_bot_gds".
Reading "sky130_ef_sc_hd__fill_8_E_CPU_IO_bot_gds".
Reading "sky130_fd_sc_hd__decap_6_E_CPU_IO_bot_gds".
Reading "sky130_fd_sc_hd__tapvpwrvgnd_1_E_CPU_IO_bot_gds".
Reading "sky130_fd_sc_hd__fill_4_E_CPU_IO_bot_gds".
Reading "sky130_ef_sc_hd__fill_12_E_CPU_IO_bot_gds".
Reading "sky130_fd_sc_hd__decap_8_E_CPU_IO_bot_gds".
Reading "sky130_ef_sc_hd__decap_12_E_CPU_IO_bot_gds".
Reading "sky130_fd_sc_hd__clkinv_4_E_CPU_IO_bot_gds".
Reading "sky130_fd_sc_hd__clkinv_16_E_CPU_IO_bot_gds".
Reading "sky130_fd_sc_hd__buf_8_E_CPU_IO_bot_gds".
Reading "sky130_fd_sc_hd__mux2_1_E_CPU_IO_bot_gds".
Reading "M3M4_PR_E_CPU_IO_bot_gds".
Reading "sky130_fd_sc_hd__dfxtp_2_E_CPU_IO_bot_gds".
Reading "sky130_fd_sc_hd__clkbuf_16_E_CPU_IO_bot_gds".
Reading "M1M2_PR_M_E_CPU_IO_bot_gds".
Reading "E_CPU_IO_bot_VIA5".
Reading "E_CPU_IO_bot_VIA4".
Reading "E_CPU_IO_bot_VIA6".
Reading "sky130_fd_sc_hd__conb_1_E_CPU_IO_bot_gds".
Reading "sky130_fd_sc_hd__dlxbp_1_E_CPU_IO_bot_gds".
Reading "sky130_fd_sc_hd__buf_1_E_CPU_IO_bot_gds".
Reading "sky130_fd_sc_hd__buf_2_E_CPU_IO_bot_gds".
Reading "M1M2_PR_R_E_CPU_IO_bot_gds".
Reading "sky130_fd_sc_hd__clkbuf_1_E_CPU_IO_bot_gds".
Reading "E_CPU_IO_bot".
Reading "sky130_fd_sc_hd__fill_1_E_CPU_IO_gds".
Reading "sky130_fd_sc_hd__fill_2_E_CPU_IO_gds".
Reading "E_CPU_IO_VIA2".
Reading "E_CPU_IO_VIA1".
Reading "E_CPU_IO_VIA3".
Reading "E_CPU_IO_VIA0".
Reading "M1M2_PR_E_CPU_IO_gds".
Reading "M2M3_PR_E_CPU_IO_gds".
Reading "sky130_fd_sc_hd__tapvpwrvgnd_1_E_CPU_IO_gds".
Reading "L1M1_PR_E_CPU_IO_gds".
Reading "sky130_fd_sc_hd__decap_6_E_CPU_IO_gds".
Reading "M3M4_PR_E_CPU_IO_gds".
Reading "sky130_fd_sc_hd__diode_2_E_CPU_IO_gds".
Reading "sky130_fd_sc_hd__decap_4_E_CPU_IO_gds".
Reading "sky130_fd_sc_hd__clkinv_4_E_CPU_IO_gds".
Reading "sky130_fd_sc_hd__fill_4_E_CPU_IO_gds".
Reading "M1M2_PR_M_E_CPU_IO_gds".
Reading "sky130_fd_sc_hd__decap_8_E_CPU_IO_gds".
Reading "sky130_fd_sc_hd__buf_8_E_CPU_IO_gds".
Reading "sky130_fd_sc_hd__mux2_1_E_CPU_IO_gds".
Reading "sky130_fd_sc_hd__clkbuf_4_E_CPU_IO_gds".
Reading "sky130_fd_sc_hd__decap_3_E_CPU_IO_gds".
Reading "sky130_fd_sc_hd__fill_8_E_CPU_IO_gds".
Reading "sky130_fd_sc_hd__dlygate4sd2_1_E_CPU_IO_gds".
Reading "sky130_fd_sc_hd__dfxtp_2_E_CPU_IO_gds".
Reading "E_CPU_IO_VIA6".
Reading "E_CPU_IO_VIA5".
Reading "E_CPU_IO_VIA4".
Reading "sky130_fd_sc_hd__clkbuf_16_E_CPU_IO_gds".
Reading "sky130_fd_sc_hd__conb_1_E_CPU_IO_gds".
Reading "sky130_fd_sc_hd__buf_1_E_CPU_IO_gds".
Reading "sky130_fd_sc_hd__dlxbp_1_E_CPU_IO_gds".
Reading "sky130_fd_sc_hd__clkinv_16_E_CPU_IO_gds".
Reading "sky130_fd_sc_hd__clkbuf_1_E_CPU_IO_gds".
Reading "sky130_fd_sc_hd__clkinv_8_E_CPU_IO_gds".
Reading "E_CPU_IO".
Reading "sky130_fd_sc_hd__buf_4".
Reading "sky130_fd_sc_hd__buf_6".
Reading "sky130_fd_sc_hd__or4b_2".
Reading "sky130_fd_sc_hd__and2b_2".
Reading "sky130_fd_sc_hd__or3_2".
Reading "sky130_fd_sc_hd__a22o_1".
Reading "sky130_fd_sc_hd__buf_1".
Reading "sky130_fd_sc_hd__o22a_1".
Reading "M1M2_PR_R".
Reading "W_IO_VIA3".
Reading "W_IO_VIA2".
Reading "W_IO_VIA1".
Reading "sky130_fd_sc_hd__fill_1_W_IO_gds".
Reading "sky130_fd_sc_hd__decap_3_W_IO_gds".
Reading "M2M3_PR_W_IO_gds".
Reading "sky130_fd_sc_hd__tapvpwrvgnd_1_W_IO_gds".
Reading "W_IO_VIA0".
Reading "M1M2_PR_W_IO_gds".
Reading "sky130_fd_sc_hd__fill_2_W_IO_gds".
Reading "L1M1_PR_W_IO_gds".
Reading "M3M4_PR_W_IO_gds".
Reading "M1M2_PR_M_W_IO_gds".
Reading "sky130_fd_sc_hd__decap_4_W_IO_gds".
Reading "sky130_fd_sc_hd__decap_8_W_IO_gds".
Reading "sky130_fd_sc_hd__diode_2_W_IO_gds".
Reading "sky130_fd_sc_hd__decap_6_W_IO_gds".
Reading "sky130_fd_sc_hd__dlxbp_1_W_IO_gds".
Reading "sky130_fd_sc_hd__mux2_1_W_IO_gds".
Reading "sky130_fd_sc_hd__mux4_2_W_IO_gds".
Reading "sky130_fd_sc_hd__buf_8_W_IO_gds".
Reading "W_IO_VIA5".
Reading "W_IO_VIA4".
Reading "W_IO_VIA6".
Reading "sky130_fd_sc_hd__inv_2_W_IO_gds".
Reading "sky130_fd_sc_hd__conb_1_W_IO_gds".
Reading "sky130_fd_sc_hd__clkdlybuf4s50_1_W_IO_gds".
Reading "sky130_fd_sc_hd__dlygate4sd3_1_W_IO_gds".
Reading "sky130_fd_sc_hd__clkbuf_1_W_IO_gds".
Reading "sky130_fd_sc_hd__dfxtp_2_W_IO_gds".
Reading "M1M2_PR_MR_W_IO_gds".
Reading "sky130_fd_sc_hd__dlygate4sd2_1_W_IO_gds".
Reading "sky130_fd_sc_hd__buf_1_W_IO_gds".
Reading "W_IO".
Reading "sky130_fd_sc_hd__nand2_1".
Reading "sky130_fd_sc_hd__or4_2".
Reading "sky130_fd_sc_hd__or3b_4".
Reading "sky130_fd_sc_hd__or4b_4".
Reading "sky130_fd_sc_hd__inv_6".
Reading "sky130_fd_sc_hd__clkdlybuf4s18_2".
Reading "sky130_fd_sc_hd__nor2_1".
Reading "sky130_fd_sc_hd__or2_4".
Reading "sky130_fd_sc_hd__o21a_1".
Reading "sky130_fd_sc_hd__or2_2".
Reading "sky130_fd_sc_hd__or4_1".
Reading "sky130_fd_sc_hd__o221a_2".
Reading "sky130_fd_sc_hd__mux2_1".
Reading "sky130_fd_sc_hd__a21oi_1".
Reading "sky130_fd_sc_hd__nand4_1".
Reading "sky130_fd_sc_hd__a31o_2".
Reading "sky130_fd_sc_hd__and4b_1".
Reading "sky130_fd_sc_hd__o31a_1".
Reading "sky130_fd_sc_hd__or2b_1".
Reading "sky130_fd_sc_hd__and3b_1".
Reading "sky130_fd_sc_hd__o41a_1".
Reading "sky130_fd_sc_hd__a31oi_1".
Reading "sky130_fd_sc_hd__o21ai_1".
Reading "sky130_fd_sc_hd__inv_8".
Reading "sky130_fd_sc_hd__a2bb2oi_1".
Reading "sky130_fd_sc_hd__dlygate4sd2_1".
Reading "sky130_fd_sc_hd__a221o_1".
Reading "sky130_fd_sc_hd__and2_1".
Reading "sky130_fd_sc_hd__o211ai_1".
Reading "sky130_fd_sc_hd__o211a_2".
Reading "sky130_fd_sc_hd__o21bai_1".
Reading "sky130_fd_sc_hd__o22ai_1".
Reading "sky130_fd_sc_hd__nor3_1".
Reading "sky130_fd_sc_hd__mux2_2".
Reading "sky130_fd_sc_hd__o311a_1".
Reading "sky130_fd_sc_hd__a21bo_2".
Reading "sky130_fd_sc_hd__a22oi_1".
Reading "sky130_fd_sc_hd__a21o_1".
Reading "sky130_fd_sc_hd__a2bb2o_1".
Reading "sky130_fd_sc_hd__a2bb2oi_2".
Reading "W_CPU_IO_bot_VIA3".
Reading "sky130_fd_sc_hd__tapvpwrvgnd_1_W_CPU_IO_bot_gds".
Reading "W_CPU_IO_bot_VIA2".
Reading "sky130_fd_sc_hd__fill_4_W_CPU_IO_bot_gds".
Reading "W_CPU_IO_bot_VIA1".
Reading "M1M2_PR_W_CPU_IO_bot_gds".
Reading "L1M1_PR_W_CPU_IO_bot_gds".
Reading "M2M3_PR_W_CPU_IO_bot_gds".
Reading "W_CPU_IO_bot_VIA0".
Reading "M1M2_PR_M_W_CPU_IO_bot_gds".
Reading "sky130_fd_sc_hd__fill_2_W_CPU_IO_bot_gds".
Reading "sky130_ef_sc_hd__fill_8_W_CPU_IO_bot_gds".
Reading "M3M4_PR_W_CPU_IO_bot_gds".
Reading "sky130_ef_sc_hd__decap_12_W_CPU_IO_bot_gds".
Reading "sky130_fd_sc_hd__decap_8_W_CPU_IO_bot_gds".
Reading "sky130_fd_sc_hd__decap_6_W_CPU_IO_bot_gds".
Reading "sky130_ef_sc_hd__fill_12_W_CPU_IO_bot_gds".
Reading "sky130_fd_sc_hd__buf_8_W_CPU_IO_bot_gds".
Reading "sky130_fd_sc_hd__fill_1_W_CPU_IO_bot_gds".
Reading "sky130_fd_sc_hd__clkinv_16_W_CPU_IO_bot_gds".
Reading "sky130_fd_sc_hd__clkinv_8_W_CPU_IO_bot_gds".
Reading "sky130_fd_sc_hd__mux2_1_W_CPU_IO_bot_gds".
Reading "sky130_fd_sc_hd__dfxtp_2_W_CPU_IO_bot_gds".
Reading "sky130_fd_sc_hd__dlxbp_1_W_CPU_IO_bot_gds".
Reading "sky130_fd_sc_hd__clkbuf_16_W_CPU_IO_bot_gds".
Reading "M1M2_PR_R_W_CPU_IO_bot_gds".
Reading "sky130_fd_sc_hd__clkinv_4_W_CPU_IO_bot_gds".
Reading "W_CPU_IO_bot_VIA5".
Reading "W_CPU_IO_bot_VIA4".
Reading "W_CPU_IO_bot_VIA6".
Reading "sky130_fd_sc_hd__conb_1_W_CPU_IO_bot_gds".
Reading "sky130_fd_sc_hd__clkbuf_1_W_CPU_IO_bot_gds".
Reading "M1M2_PR_MR_W_CPU_IO_bot_gds".
Reading "W_CPU_IO_bot".
Reading "sky130_fd_sc_hd__tapvpwrvgnd_1_W_CPU_IO_gds".
Reading "M1M2_PR_W_CPU_IO_gds".
Reading "M2M3_PR_W_CPU_IO_gds".
Reading "sky130_fd_sc_hd__fill_2_W_CPU_IO_gds".
Reading "W_CPU_IO_VIA5".
Reading "M3M4_PR_W_CPU_IO_gds".
Reading "sky130_fd_sc_hd__clkinv_4_W_CPU_IO_gds".
Reading "L1M1_PR_W_CPU_IO_gds".
Reading "W_CPU_IO_VIA4".
Reading "W_CPU_IO_VIA6".
Reading "W_CPU_IO_VIA0".
Reading "sky130_fd_sc_hd__fill_1_W_CPU_IO_gds".
Reading "sky130_fd_sc_hd__decap_4_W_CPU_IO_gds".
Reading "sky130_fd_sc_hd__decap_6_W_CPU_IO_gds".
Reading "sky130_fd_sc_hd__buf_8_W_CPU_IO_gds".
Reading "sky130_fd_sc_hd__decap_8_W_CPU_IO_gds".
Reading "sky130_fd_sc_hd__fill_4_W_CPU_IO_gds".
Reading "sky130_fd_sc_hd__fill_8_W_CPU_IO_gds".
Reading "sky130_fd_sc_hd__clkinv_8_W_CPU_IO_gds".
Reading "M1M2_PR_M_W_CPU_IO_gds".
Reading "sky130_fd_sc_hd__clkinv_16_W_CPU_IO_gds".
Reading "W_CPU_IO_VIA1".
Reading "W_CPU_IO_VIA3".
Reading "W_CPU_IO_VIA2".
Reading "sky130_fd_sc_hd__dlygate4sd2_1_W_CPU_IO_gds".
Reading "sky130_fd_sc_hd__mux2_1_W_CPU_IO_gds".
Reading "sky130_fd_sc_hd__dfxtp_2_W_CPU_IO_gds".
Reading "sky130_fd_sc_hd__decap_3_W_CPU_IO_gds".
Reading "M1M2_PR_R_W_CPU_IO_gds".
Reading "sky130_fd_sc_hd__dlxbp_1_W_CPU_IO_gds".
Reading "sky130_fd_sc_hd__clkbuf_4_W_CPU_IO_gds".
Reading "sky130_fd_sc_hd__clkbuf_16_W_CPU_IO_gds".
Reading "sky130_fd_sc_hd__conb_1_W_CPU_IO_gds".
Reading "sky130_fd_sc_hd__clkbuf_1_W_CPU_IO_gds".
Reading "W_CPU_IO".
Reading "L1M1_PR_R".
Reading "M1M2_PR_MR".
Reading "sky130_fd_sc_hd__nand4b_1".
Reading "sky130_fd_sc_hd__buf_12".
Reading "sky130_fd_sc_hd__mux2_4".
Reading "sky130_fd_sc_hd__o32a_1".
Reading "sky130_fd_sc_hd__a32o_1".
Reading "sky130_fd_sc_hd__inv_12".
Reading "eFPGA_CPU_top_VIA8".
Reading "sky130_fd_sc_hd__and4_1".
Reading "sky130_fd_sc_hd__a41o_1".
Reading "sky130_fd_sc_hd__o2111a_1".
Reading "sky130_fd_sc_hd__xnor2_1".
Reading "sky130_fd_sc_hd__a211oi_1".
Reading "sky130_fd_sc_hd__xor2_1".
Reading "sky130_fd_sc_hd__o221ai_1".
Reading "sky130_fd_sc_hd__a211o_1".
Reading "sky130_fd_sc_hd__and3_1".
Reading "eFPGA_CPU_top_VIA30".
Reading "eFPGA_CPU_top_VIA29".
Reading "eFPGA_CPU_top_VIA31".
Reading "sky130_fd_sc_hd__o21ba_2".
Reading "eFPGA_CPU_top_VIA6".
Reading "eFPGA_CPU_top_VIA37".
Reading "eFPGA_CPU_top_VIA36".
Reading "eFPGA_CPU_top_VIA35".
Reading "eFPGA_CPU_top_VIA4".
Reading "eFPGA_CPU_top_VIA22".
Reading "sky130_fd_sc_hd__or4_4".
Reading "eFPGA_CPU_top_VIA12".
Reading "eFPGA_CPU_top_VIA11".
Reading "L1M1_PR_ibex_core_gds".
Reading "sky130_fd_sc_hd__fill_4_ibex_core_gds".
Reading "ibex_core_VIA9".
Reading "M1M2_PR_ibex_core_gds".
Reading "M2M3_PR_ibex_core_gds".
Reading "ibex_core_VIA8".
Reading "ibex_core_VIA0".
Reading "sky130_fd_sc_hd__tapvpwrvgnd_1_ibex_core_gds".
Reading "sky130_fd_sc_hd__decap_6_ibex_core_gds".
Reading "ibex_core_VIA10".
Reading "sky130_fd_sc_hd__fill_1_ibex_core_gds".
Reading "sky130_fd_sc_hd__fill_2_ibex_core_gds".
Reading "M3M4_PR_ibex_core_gds".
Reading "sky130_fd_sc_hd__mux2_1_ibex_core_gds".
Reading "sky130_fd_sc_hd__dfrtp_2_ibex_core_gds".
Reading "sky130_fd_sc_hd__o22a_1_ibex_core_gds".
Reading "sky130_fd_sc_hd__decap_8_ibex_core_gds".
Reading "sky130_fd_sc_hd__and3b_1_ibex_core_gds".
Reading "sky130_fd_sc_hd__decap_4_ibex_core_gds".
Reading "sky130_fd_sc_hd__buf_6_ibex_core_gds".
Reading "sky130_fd_sc_hd__clkinv_4_ibex_core_gds".
Reading "ibex_core_VIA4".
Reading "ibex_core_VIA3".
Reading "ibex_core_VIA2".
Reading "M1M2_PR_M_ibex_core_gds".
Reading "ibex_core_VIA1".
Reading "sky130_fd_sc_hd__buf_1_ibex_core_gds".
Reading "sky130_fd_sc_hd__fill_8_ibex_core_gds".
Reading "sky130_fd_sc_hd__decap_3_ibex_core_gds".
Reading "sky130_fd_sc_hd__buf_4_ibex_core_gds".
Reading "sky130_fd_sc_hd__buf_8_ibex_core_gds".
Reading "sky130_fd_sc_hd__clkdlybuf4s50_1_ibex_core_gds".
Reading "sky130_fd_sc_hd__inv_2_ibex_core_gds".
Reading "sky130_fd_sc_hd__nor2_1_ibex_core_gds".
Reading "sky130_fd_sc_hd__xnor2_1_ibex_core_gds".
Reading "sky130_fd_sc_hd__a32o_1_ibex_core_gds".
Reading "sky130_fd_sc_hd__a211o_1_ibex_core_gds".
Reading "sky130_fd_sc_hd__dfxtp_2_ibex_core_gds".
Reading "sky130_fd_sc_hd__a21oi_1_ibex_core_gds".
Reading "sky130_fd_sc_hd__o221a_2_ibex_core_gds".
Reading "sky130_fd_sc_hd__o32a_1_ibex_core_gds".
Reading "sky130_fd_sc_hd__or3_1_ibex_core_gds".
Reading "sky130_fd_sc_hd__o211a_2_ibex_core_gds".
Reading "sky130_fd_sc_hd__a22o_1_ibex_core_gds".
Reading "sky130_fd_sc_hd__or2_2_ibex_core_gds".
Reading "sky130_fd_sc_hd__o41a_1_ibex_core_gds".
Reading "sky130_fd_sc_hd__and4_1_ibex_core_gds".
Reading "sky130_fd_sc_hd__clkinv_16_ibex_core_gds".
Reading "sky130_fd_sc_hd__clkbuf_4_ibex_core_gds".
Reading "sky130_fd_sc_hd__mux2_2_ibex_core_gds".
Reading "sky130_fd_sc_hd__nand2_1_ibex_core_gds".
Reading "sky130_fd_sc_hd__o21a_1_ibex_core_gds".
Reading "sky130_fd_sc_hd__inv_4_ibex_core_gds".
Reading "sky130_fd_sc_hd__and2b_1_ibex_core_gds".
Reading "sky130_fd_sc_hd__or4_1_ibex_core_gds".
Reading "M1M2_PR_R_ibex_core_gds".
Reading "sky130_fd_sc_hd__dlxtn_1".
Reading "sky130_fd_sc_hd__and2_4".
Reading "sky130_fd_sc_hd__clkbuf_2_ibex_core_gds".
Reading "sky130_fd_sc_hd__and2_1_ibex_core_gds".
Reading "sky130_fd_sc_hd__nand3_1".
Reading "sky130_fd_sc_hd__o21ai_1_ibex_core_gds".
Reading "sky130_fd_sc_hd__o211ai_1_ibex_core_gds".
Reading "sky130_fd_sc_hd__buf_2_ibex_core_gds".
Reading "sky130_fd_sc_hd__a21o_1_ibex_core_gds".
Reading "sky130_fd_sc_hd__mux2_4_ibex_core_gds".
Reading "sky130_fd_sc_hd__a21oi_2".
Reading "sky130_fd_sc_hd__a221o_1_ibex_core_gds".
Reading "sky130_fd_sc_hd__o22ai_1_ibex_core_gds".
Reading "sky130_fd_sc_hd__o21bai_1_ibex_core_gds".
Reading "sky130_fd_sc_hd__o2111a_1_ibex_core_gds".
Reading "sky130_fd_sc_hd__o311a_1_ibex_core_gds".
Reading "sky130_fd_sc_hd__and3_1_ibex_core_gds".
Reading "sky130_fd_sc_hd__o221ai_1_ibex_core_gds".
Reading "sky130_fd_sc_hd__o31ai_1_ibex_core_gds".
Reading "sky130_fd_sc_hd__mux4_1_ibex_core_gds".
Reading "sky130_fd_sc_hd__mux4_2_ibex_core_gds".
Reading "sky130_fd_sc_hd__clkbuf_8_ibex_core_gds".
Reading "sky130_fd_sc_hd__or2b_1_ibex_core_gds".
Reading "sky130_fd_sc_hd__a31o_2_ibex_core_gds".
Reading "sky130_fd_sc_hd__a41o_1_ibex_core_gds".
Reading "sky130_fd_sc_hd__a31oi_1_ibex_core_gds".
Reading "sky130_fd_sc_hd__nor4_1".
Reading "sky130_fd_sc_hd__a21bo_2_ibex_core_gds".
Reading "sky130_fd_sc_hd__a311oi_2".
Reading "sky130_fd_sc_hd__nor2_2_ibex_core_gds".
Reading "sky130_fd_sc_hd__a2bb2o_2".
Reading "sky130_fd_sc_hd__o22ai_2".
Reading "sky130_fd_sc_hd__o21a_2".
Reading "sky130_fd_sc_hd__or4bb_1_ibex_core_gds".
Reading "sky130_fd_sc_hd__or3b_2_ibex_core_gds".
Reading "sky130_fd_sc_hd__o31a_1_ibex_core_gds".
Reading "sky130_fd_sc_hd__o2111ai_1_ibex_core_gds".
Reading "sky130_fd_sc_hd__o211ai_2".
Reading "sky130_fd_sc_hd__and4b_1_ibex_core_gds".
Reading "sky130_fd_sc_hd__a2111o_1".
Reading "sky130_fd_sc_hd__nor3_1_ibex_core_gds".
Reading "sky130_fd_sc_hd__nor3_2".
Reading "sky130_fd_sc_hd__a2111oi_2".
Reading "sky130_fd_sc_hd__and4bb_2".
Reading "sky130_fd_sc_hd__clkbuf_1_ibex_core_gds".
Reading "sky130_fd_sc_hd__nor3_4".
Reading "sky130_fd_sc_hd__or4b_2_ibex_core_gds".
Reading "sky130_fd_sc_hd__and4b_2".
Reading "sky130_fd_sc_hd__conb_1_ibex_core_gds".
Reading "sky130_fd_sc_hd__nand2_2".
Reading "M1M2_PR_MR_ibex_core_gds".
Reading "sky130_fd_sc_hd__xor2_1_ibex_core_gds".
Reading "sky130_fd_sc_hd__clkinv_8_ibex_core_gds".
Reading "sky130_fd_sc_hd__a21boi_1".
Reading "sky130_fd_sc_hd__o21ba_2_ibex_core_gds".
Reading "sky130_fd_sc_hd__a22oi_1_ibex_core_gds".
Reading "sky130_fd_sc_hd__dfstp_2_ibex_core_gds".
Reading "sky130_fd_sc_hd__or4_2_ibex_core_gds".
Reading "sky130_fd_sc_hd__clkinv_2_ibex_core_gds".
Reading "sky130_fd_sc_hd__or3_2_ibex_core_gds".
Reading "ibex_core_VIA5".
Reading "ibex_core_VIA7".
Reading "ibex_core_VIA6".
Reading "sky130_fd_sc_hd__buf_12_ibex_core_gds".
Reading "sky130_fd_sc_hd__a22o_2".
Reading "L1M1_PR_R_ibex_core_gds".
Reading "sky130_fd_sc_hd__a2bb2o_1_ibex_core_gds".
Reading "sky130_fd_sc_hd__o22a_2".
Reading "sky130_fd_sc_hd__o21ai_2".
Reading "sky130_fd_sc_hd__o2bb2a_1_ibex_core_gds".
Reading "sky130_fd_sc_hd__a311o_1".
Reading "sky130_fd_sc_hd__nor4_2".
Reading "sky130_fd_sc_hd__dlygate4sd2_1_ibex_core_gds".
Reading "ibex_core".
Reading "eFPGA_CPU_top_VIA13".
Reading "eFPGA_CPU_top_VIA32".
Reading "eFPGA_CPU_top_VIA14".
Reading "eFPGA_CPU_top_VIA33".
Reading "eFPGA_CPU_top_VIA15".
Reading "eFPGA_CPU_top_VIA34".
Reading "eFPGA_CPU_top_VIA3".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_contact_19".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_contact_13".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_contact_14".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_contact_40".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_contact_34".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_contact_7".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_contact_39".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_contact_33".
Reading "sky130_fd_bd_sram__openram_dff".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_row_addr_dff".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_col_addr_dff".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_wmask_dff".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_cr_3".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_contact_16".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_contact_29".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_contact_28".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_contact_11".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_nmos_m1_w0_360_sli_dli_da_p".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_contact_12".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_pmos_m1_w1_120_sli_dli_da_p".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_pinv_0".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_nmos_m1_w0_740_sactive_dli".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_nmos_m1_w0_740_sli_dactive".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_pmos_m1_w1_120_sli_dli".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_pnand2_1".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_nmos_m3_w1_680_sli_dli_da_p".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_pmos_m3_w1_680_sli_dli_da_p".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_pinv_2".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_nmos_m2_w0_740_sli_dli_da_p".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_pmos_m2_w1_260_sli_dli_da_p".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_pinv_1".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_dff_buf_0".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_dff_buf_array".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_nmos_m7_w1_680_sli_dli_da_p".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_pmos_m7_w2_000_sli_dli_da_p".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_pinv_3".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_pdriver_0".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_pnand2_0".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_pand2_0".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_nmos_m12_w2_000_sli_dli_da_p".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_pmos_m12_w2_000_sli_dli_da_p".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_pinv_18".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_nmos_m4_w1_260_sli_dli_da_p".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_pmos_m4_w2_000_sli_dli_da_p".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_pinv_17".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_pinv_11".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_pinv_6".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_pdriver_5".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_pinv_19".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_delay_chain".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_nmos_m18_w2_000_sli_dli_da_p".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_pmos_m18_w2_000_sli_dli_da_p".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_pinv_16".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_pdriver_4".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_nmos_m1_w0_740_sactive_dactive".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_pnand3".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_pand3_0".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_nmos_m22_w2_000_sli_dli_da_p".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_pmos_m22_w2_000_sli_dli_da_p".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_pinv_15".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_pdriver_3".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_pand3".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_nmos_m24_w2_000_sli_dli_da_p".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_pmos_m24_w2_000_sli_dli_da_p".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_pinv_14".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_nmos_m8_w2_000_sli_dli_da_p".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_pmos_m8_w2_000_sli_dli_da_p".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_pinv_13".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_nmos_m3_w2_000_sli_dli_da_p".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_pmos_m3_w2_000_sli_dli_da_p".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_pinv_12".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_pdriver_2".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_nmos_m40_w2_000_sli_dli_da_p".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_pmos_m40_w2_000_sli_dli_da_p".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_pinv_10".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_nmos_m13_w2_000_sli_dli_da_p".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_pmos_m13_w2_000_sli_dli_da_p".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_pinv_9".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_nmos_m5_w1_680_sli_dli_da_p".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_pmos_m5_w2_000_sli_dli_da_p".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_pinv_8".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_nmos_m2_w1_260_sli_dli_da_p".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_pmos_m2_w2_000_sli_dli_da_p".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_pinv_7".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_pdriver_1".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_control_logic_rw".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_cr_5".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_dff_buf_array_0".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_nmos_m39_w2_000_sli_dli_da_p".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_pmos_m39_w2_000_sli_dli_da_p".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_pinv_20".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_pdriver_6".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_control_logic_r".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_cr_4".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_data_dff".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_pinvbuf".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_contact_15".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_nmos_m1_w7_000_sli_dli_da_p".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_pmos_m1_w7_000_sli_dli_da_p".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_pinv_dec_0".
Reading "sky130_fd_bd_sram__openram_dp_nand2_dec".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_and2_dec_0".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_wordline_driver".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_wordline_driver_array".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_contact_20".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_contact_17".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_pinv_dec".
Reading "sky130_fd_bd_sram__openram_dp_nand3_dec".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_and3_dec".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_hierarchical_predecode3x8".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_and2_dec".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_hierarchical_predecode2x4".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_hierarchical_decoder".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_port_address_0".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_port_address".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_contact_27".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_contact_26".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_nmos_m1_w2_880_sli_dli".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_column_mux_0".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_contact_25".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_contact_24".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_column_mux_array_0".
Reading "sky130_fd_bd_sram__openram_sense_amp".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_sense_amp_array".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_contact_23".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_contact_21".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_contact_22".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_pmos_m1_w0_550_sli_dli".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_precharge_1".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_precharge_array_0".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_port_data_0".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_column_mux".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_column_mux_array".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_pinv".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_pdriver".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_pnand2".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_pand2".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_write_mask_and_array".
Reading "sky130_fd_bd_sram__openram_write_driver".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_write_driver_array".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_precharge_0".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_precharge_array".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_port_data".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_contact_9".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_contact_8".
Reading "sky130_fd_bd_sram__openram_dp_cell_cap_row".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_row_cap_array_0".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_row_cap_array".
Reading "sky130_fd_bd_sram__openram_dp_cell_cap_col".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_col_cap_array".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_col_cap_array_0".
Reading "sky130_fd_bd_sram__openram_dp_cell_dummy".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_dummy_array".
Reading "sky130_fd_bd_sram__openram_dp_cell_replica".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_replica_column_0".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_replica_column".
Reading "sky130_fd_bd_sram__openram_dp_cell".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_bitcell_array".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_replica_bitcell_array".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8_bank".
Reading "sky130_sram_1kbyte_1rw1r_32x256_8".
Reading "L1M1_PR_N_term_DSP_gds".
Reading "M1M2_PR_N_term_DSP_gds".
Reading "M2M3_PR_N_term_DSP_gds".
Reading "N_term_DSP_VIA3".
Reading "N_term_DSP_VIA2".
Reading "N_term_DSP_VIA1".
Reading "N_term_DSP_VIA0".
Reading "sky130_fd_sc_hd__buf_8_N_term_DSP_gds".
Reading "sky130_fd_sc_hd__tapvpwrvgnd_1_N_term_DSP_gds".
Reading "sky130_fd_sc_hd__fill_1_N_term_DSP_gds".
Reading "sky130_fd_sc_hd__fill_2_N_term_DSP_gds".
Reading "sky130_fd_sc_hd__fill_8_N_term_DSP_gds".
Reading "sky130_fd_sc_hd__decap_8_N_term_DSP_gds".
Reading "sky130_fd_sc_hd__fill_4_N_term_DSP_gds".
Reading "N_term_DSP_VIA5".
Reading "N_term_DSP_VIA4".
Reading "sky130_fd_sc_hd__dlygate4sd3_1_N_term_DSP_gds".
Reading "N_term_DSP_VIA6".
Reading "sky130_fd_sc_hd__buf_2_N_term_DSP_gds".
Reading "sky130_fd_sc_hd__clkbuf_1_N_term_DSP_gds".
Reading "M1M2_PR_M_N_term_DSP_gds".
Reading "sky130_fd_sc_hd__clkinv_4_N_term_DSP_gds".
Reading "N_term_DSP".
Reading "sky130_fd_sc_hd__and3_2".
Reading "sky130_fd_sc_hd__nor2_2".
Reading "sky130_fd_sc_hd__dfrtp_2".
Reading "sky130_fd_sc_hd__clkinv_2".
Reading "sky130_fd_sc_hd__o31ai_1".
Reading "sky130_fd_sc_hd__o2bb2a_1".
Reading "sky130_fd_sc_hd__o2bb2ai_1".
Reading "sky130_fd_sc_hd__dfstp_2".
Reading "sky130_fd_sc_hd__o2111ai_1".
Reading "sky130_fd_sc_hd__nand2b_1".
Reading "eFPGA_CPU_top".
Reading "user_project_wrapper".
Generating output for cell caravel
Make ship completed.