blob: 2e320ae5477d75e51ed9c0bb658bf7e7826fcddd [file] [log] [blame]
2760294 4 drwx------ 2 root root 4096 Dec 27 07:46 /root/.ssh
2760300 4 -rw------- 1 root root 401 Dec 27 07:46 /root/.ssh/id_rsa.pub
2760301 4 -rw------- 1 root root 2757 Dec 27 07:46 /root/.ssh/known_hosts
2760297 4 -rw------- 1 root root 401 Dec 27 07:46 /root/.ssh/authorized_keys
2760298 4 -rw------- 1 root root 218 Dec 27 07:46 /root/.ssh/config
2760299 4 -rw------- 1 root root 1679 Dec 27 07:46 /root/.ssh/id_rsa
Welcome to GitLab, @jeffdi!
Project Type = analog
-------------------------------------------------------------------------------------------
-------------------------------------------------------------------------------------------
Beginning tapeout for mpw-two, slot-006 opencryo_testchip
Mon Dec 27 07:46:15 UTC 2021
-------------------------------------------------------------------------------------------
-------------------------------------------------------------------------------------------
Everything up-to-date
ok-------------------------------------------------------------------------------------------
{{ STEP 1 }} project ID: 00020006, project type: analog, shuttle-repo: https://foss-eda-tools.googlesource.com/third_party/shuttle/mpw-two/slot-006.git
-------------------------------------------------------------------------------------------
All files are uncompressed!
USER_ID is set to 00020006
All files are uncompressed!
cd /mnt/shuttles/shuttle/mpw-two/caravel && \
make uncompress
make[1]: Entering directory `/mnt/shuttles/shuttle/mpw-two/caravel'
All files are uncompressed!
make[1]: Leaving directory `/mnt/shuttles/shuttle/mpw-two/caravel'
make -f /mnt/shuttles/shuttle/mpw-two/caravel/Makefile __set_user_id
make[1]: Entering directory `/mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip'
mkdir -p ./signoff/build
# Update info.yaml
# sed -r "s/^(\s*project_id\s*:\s*).*/\100020006/" -i info.yaml
cp /mnt/shuttles/shuttle/mpw-two/caravel/gds/user_id_programming.gds ./gds/user_id_programming.gds
cp /mnt/shuttles/shuttle/mpw-two/caravel/mag/user_id_programming.mag ./mag/user_id_programming.mag
cp /mnt/shuttles/shuttle/mpw-two/caravel/mag/user_id_textblock.mag ./mag/user_id_textblock.mag
cp /mnt/shuttles/shuttle/mpw-two/caravel/verilog/rtl/caravel.v ./verilog/rtl/caravel.v
python3 /mnt/shuttles/shuttle/mpw-two/caravel/scripts/set_user_id.py 00020006 /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip 2>&1 | tee ./signoff/build/set_user_id.out
Setting project user ID to: 00020006
Step 1: Modify GDS of the user_id_programming subcell
Done!
Step 2: Add user project ID parameter to verilog.
Done!
Step 3: Add user project ID text to top level layout.
Done!
make[1]: Leaving directory `/mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip'
Set user ID completed.
All files are uncompressed!
cd /mnt/shuttles/shuttle/mpw-two/caravel && \
make uncompress
make[1]: Entering directory `/mnt/shuttles/shuttle/mpw-two/caravel'
All files are uncompressed!
make[1]: Leaving directory `/mnt/shuttles/shuttle/mpw-two/caravel'
make -f /mnt/shuttles/shuttle/mpw-two/caravel/Makefile __gpio_defaults
make[1]: Entering directory `/mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip'
mkdir -p ./signoff/build
mkdir -p ./verilog/gl
python3 /mnt/shuttles/shuttle/mpw-two/caravel/scripts/gen_gpio_defaults.py /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip 2>&1 | tee ./signoff/build/gpio_defaults.out
Step 1: Create new cells for new GPIO default vectors.
Creating new layout file /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/gpio_defaults_block_0403.mag
Gate-level verilog file /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/verilog/gl/gpio_defaults_block_0403.v already exists and does not need to be generated.
Layout file /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/gpio_defaults_block_0403.mag already exists and does not need to be generated.
Gate-level verilog file /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/verilog/gl/gpio_defaults_block_0403.v already exists and does not need to be generated.
Layout file /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/gpio_defaults_block_0403.mag already exists and does not need to be generated.
Gate-level verilog file /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/verilog/gl/gpio_defaults_block_0403.v already exists and does not need to be generated.
Layout file /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/gpio_defaults_block_0403.mag already exists and does not need to be generated.
Gate-level verilog file /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/verilog/gl/gpio_defaults_block_0403.v already exists and does not need to be generated.
Layout file /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/gpio_defaults_block_0403.mag already exists and does not need to be generated.
Gate-level verilog file /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/verilog/gl/gpio_defaults_block_0403.v already exists and does not need to be generated.
Layout file /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/gpio_defaults_block_0403.mag already exists and does not need to be generated.
Gate-level verilog file /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/verilog/gl/gpio_defaults_block_0403.v already exists and does not need to be generated.
Layout file /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/gpio_defaults_block_0403.mag already exists and does not need to be generated.
Gate-level verilog file /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/verilog/gl/gpio_defaults_block_0403.v already exists and does not need to be generated.
Layout file /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/gpio_defaults_block_0403.mag already exists and does not need to be generated.
Gate-level verilog file /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/verilog/gl/gpio_defaults_block_0403.v already exists and does not need to be generated.
Layout file /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/gpio_defaults_block_0403.mag already exists and does not need to be generated.
Gate-level verilog file /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/verilog/gl/gpio_defaults_block_0403.v already exists and does not need to be generated.
Layout file /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/gpio_defaults_block_0403.mag already exists and does not need to be generated.
Gate-level verilog file /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/verilog/gl/gpio_defaults_block_0403.v already exists and does not need to be generated.
Layout file /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/gpio_defaults_block_0403.mag already exists and does not need to be generated.
Gate-level verilog file /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/verilog/gl/gpio_defaults_block_0403.v already exists and does not need to be generated.
Layout file /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/gpio_defaults_block_0403.mag already exists and does not need to be generated.
Gate-level verilog file /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/verilog/gl/gpio_defaults_block_0403.v already exists and does not need to be generated.
Layout file /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/gpio_defaults_block_0403.mag already exists and does not need to be generated.
Gate-level verilog file /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/verilog/gl/gpio_defaults_block_0403.v already exists and does not need to be generated.
Layout file /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/gpio_defaults_block_0403.mag already exists and does not need to be generated.
Gate-level verilog file /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/verilog/gl/gpio_defaults_block_0403.v already exists and does not need to be generated.
Layout file /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/gpio_defaults_block_0403.mag already exists and does not need to be generated.
Gate-level verilog file /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/verilog/gl/gpio_defaults_block_0403.v already exists and does not need to be generated.
Layout file /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/gpio_defaults_block_0403.mag already exists and does not need to be generated.
Gate-level verilog file /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/verilog/gl/gpio_defaults_block_0403.v already exists and does not need to be generated.
Layout file /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/gpio_defaults_block_0403.mag already exists and does not need to be generated.
Gate-level verilog file /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/verilog/gl/gpio_defaults_block_0403.v already exists and does not need to be generated.
Layout file /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/gpio_defaults_block_0403.mag already exists and does not need to be generated.
Gate-level verilog file /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/verilog/gl/gpio_defaults_block_0403.v already exists and does not need to be generated.
Layout file /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/gpio_defaults_block_0403.mag already exists and does not need to be generated.
Gate-level verilog file /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/verilog/gl/gpio_defaults_block_0403.v already exists and does not need to be generated.
Layout file /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/gpio_defaults_block_0403.mag already exists and does not need to be generated.
Gate-level verilog file /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/verilog/gl/gpio_defaults_block_0403.v already exists and does not need to be generated.
Layout file /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/gpio_defaults_block_0403.mag already exists and does not need to be generated.
Gate-level verilog file /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/verilog/gl/gpio_defaults_block_0403.v already exists and does not need to be generated.
Layout file /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/gpio_defaults_block_0403.mag already exists and does not need to be generated.
Gate-level verilog file /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/verilog/gl/gpio_defaults_block_0403.v already exists and does not need to be generated.
Layout file /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/gpio_defaults_block_0403.mag already exists and does not need to be generated.
Gate-level verilog file /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/verilog/gl/gpio_defaults_block_0403.v already exists and does not need to be generated.
Layout file /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/gpio_defaults_block_0403.mag already exists and does not need to be generated.
Gate-level verilog file /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/verilog/gl/gpio_defaults_block_0403.v already exists and does not need to be generated.
Layout file /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/gpio_defaults_block_0403.mag already exists and does not need to be generated.
Gate-level verilog file /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/verilog/gl/gpio_defaults_block_0403.v already exists and does not need to be generated.
Layout file /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/gpio_defaults_block_0403.mag already exists and does not need to be generated.
Gate-level verilog file /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/verilog/gl/gpio_defaults_block_0403.v already exists and does not need to be generated.
Layout file /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/gpio_defaults_block_0403.mag already exists and does not need to be generated.
Gate-level verilog file /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/verilog/gl/gpio_defaults_block_0403.v already exists and does not need to be generated.
Layout file /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/gpio_defaults_block_0403.mag already exists and does not need to be generated.
Gate-level verilog file /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/verilog/gl/gpio_defaults_block_0403.v already exists and does not need to be generated.
Layout file /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/gpio_defaults_block_0403.mag already exists and does not need to be generated.
Gate-level verilog file /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/verilog/gl/gpio_defaults_block_0403.v already exists and does not need to be generated.
Layout file /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/gpio_defaults_block_0403.mag already exists and does not need to be generated.
Gate-level verilog file /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/verilog/gl/gpio_defaults_block_0403.v already exists and does not need to be generated.
Layout file /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/gpio_defaults_block_0403.mag already exists and does not need to be generated.
Gate-level verilog file /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/verilog/gl/gpio_defaults_block_0403.v already exists and does not need to be generated.
Layout file /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/gpio_defaults_block_0403.mag already exists and does not need to be generated.
Gate-level verilog file /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/verilog/gl/gpio_defaults_block_0403.v already exists and does not need to be generated.
Layout file /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/gpio_defaults_block_0403.mag already exists and does not need to be generated.
Gate-level verilog file /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/verilog/gl/gpio_defaults_block_0403.v already exists and does not need to be generated.
Step 2: Modify top-level layouts to use the specified defaults.
Done.
make[1]: Leaving directory `/mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip'
GPIO defaults completed.
fatal: ambiguous argument 'main': unknown revision or path not in the working tree.
Use '--' to separate paths from revisions, like this:
'git <command> [<revision>...] -- [<file>...]'
All files are uncompressed!
cd /mnt/shuttles/shuttle/mpw-two/caravel && \
make uncompress
make[1]: Entering directory `/mnt/shuttles/shuttle/mpw-two/caravel'
All files are uncompressed!
make[1]: Leaving directory `/mnt/shuttles/shuttle/mpw-two/caravel'
Running make truck in the foreground...
mkdir -p ./signoff
mkdir -p ./build
make -f /mnt/shuttles/shuttle/mpw-two/caravel/Makefile __truck
make[1]: Entering directory `/mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip'
###############################################
Generating Caravan GDS (sources are in the 'gds' directory)
#@cd /mnt/shuttles/shuttle/mpw-two/caravel/mag && PDKPATH=/mnt/shuttles/shuttle/mpw-two/pdks/sky130A MAGTYPE=mag magic -noc -dnull -rcfile /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.tech/magic/sky130A.magicrc /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/mag2gds_caravan.tcl 2>&1 | tee /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/signoff/build/make_truck.out
Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
Starting magic under Tcl interpreter
Using the terminal as the console.
Using NULL graphics device.
site.pre: In custom site.pre...
site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
Processing system .magicrc file
site.def: In custom site.def...
Sourcing design .magicrc for technology sky130A ...
2 Magic internal units = 1 Lambda
Input style sky130(vendor): scaleFactor=2, multiplier=2
Scaled tech values by 2 / 1 to match internal grid scaling
Loading sky130A Device Generator Menu ...
Loading "/mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/mag2gds_caravan.tcl" from command line.
Scaled magic input cell user_analog_project_wrapper geometry by factor of 2
Scaled magic input cell user_id_textblock geometry by factor of 2
caravan: 10000 rects
caravan: 20000 rects
caravan: 30000 rects
caravan: 40000 rects
caravan: 50000 rects
Processing timestamp mismatches: gpio_defaults_block_0403, sky130_fd_sc_hd__decap_3, sky130_fd_sc_hd__fill_1, sky130_fd_sc_hd__decap_4, sky130_fd_sc_hd__tapvpwrvgnd_1, sky130_fd_sc_hd__decap_12, sky130_fd_sc_hd__conb_1, sky130_fd_sc_hd__fill_2, sky130_fd_sc_hd__decap_6.
Warning: Parent cell lists instance of "xres_buf" at bad file path /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/xres_buf.mag.
The cell exists in the search paths at ../mag/xres_buf.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hvl__lsbufhv2lv_1" at bad file path ../mag/sky130_fd_sc_hvl__lsbufhv2lv_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hvl/mag/sky130_fd_sc_hvl__lsbufhv2lv_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hvl__decap_8" at bad file path ../mag/sky130_fd_sc_hvl__decap_8.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hvl/mag/sky130_fd_sc_hvl__decap_8.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hvl__diode_2" at bad file path ../mag/sky130_fd_sc_hvl__diode_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hvl/mag/sky130_fd_sc_hvl__diode_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hvl__fill_2" at bad file path ../mag/sky130_fd_sc_hvl__fill_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hvl/mag/sky130_fd_sc_hvl__fill_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hvl__fill_1" at bad file path ../mag/sky130_fd_sc_hvl__fill_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hvl/mag/sky130_fd_sc_hvl__fill_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hvl__decap_4" at bad file path ../mag/sky130_fd_sc_hvl__decap_4.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hvl/mag/sky130_fd_sc_hvl__decap_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "open_source" at bad file path /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/open_source.mag.
The cell exists in the search paths at hexdigits/open_source.mag.
The discovered version will be used.
Scaled magic input cell open_source geometry by factor of 2
Warning: Parent cell lists instance of "caravan_motto" at bad file path /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/caravan_motto.mag.
The cell exists in the search paths at ../mag/caravan_motto.mag.
The discovered version will be used.
Scaled magic input cell caravan_motto geometry by factor of 2
Warning: Parent cell lists instance of "font_22" at bad file path ../mag/font_22.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_ml_xx_hd/mag/font_22.mag.
The discovered version will be used.
Scaled magic input cell font_22 geometry by factor of 24
Warning: Parent cell lists instance of "font_64" at bad file path ../mag/font_64.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_ml_xx_hd/mag/font_64.mag.
The discovered version will be used.
Scaled magic input cell font_64 geometry by factor of 24
Warning: Parent cell lists instance of "font_61" at bad file path ../mag/font_61.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_ml_xx_hd/mag/font_61.mag.
The discovered version will be used.
Scaled magic input cell font_61 geometry by factor of 24
Warning: Parent cell lists instance of "font_6F" at bad file path ../mag/font_6F.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_ml_xx_hd/mag/font_6F.mag.
The discovered version will be used.
Scaled magic input cell font_6F geometry by factor of 24
Warning: Parent cell lists instance of "font_52" at bad file path ../mag/font_52.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_ml_xx_hd/mag/font_52.mag.
The discovered version will be used.
Scaled magic input cell font_52 geometry by factor of 24
Warning: Parent cell lists instance of "font_6E" at bad file path ../mag/font_6E.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_ml_xx_hd/mag/font_6E.mag.
The discovered version will be used.
Scaled magic input cell font_6E geometry by factor of 24
Warning: Parent cell lists instance of "font_65" at bad file path ../mag/font_65.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_ml_xx_hd/mag/font_65.mag.
The discovered version will be used.
Scaled magic input cell font_65 geometry by factor of 24
Warning: Parent cell lists instance of "font_70" at bad file path ../mag/font_70.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_ml_xx_hd/mag/font_70.mag.
The discovered version will be used.
Scaled magic input cell font_70 geometry by factor of 24
Warning: Parent cell lists instance of "font_4F" at bad file path ../mag/font_4F.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_ml_xx_hd/mag/font_4F.mag.
The discovered version will be used.
Scaled magic input cell font_4F geometry by factor of 24
Warning: Parent cell lists instance of "font_68" at bad file path ../mag/font_68.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_ml_xx_hd/mag/font_68.mag.
The discovered version will be used.
Scaled magic input cell font_68 geometry by factor of 24
Warning: Parent cell lists instance of "font_54" at bad file path ../mag/font_54.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_ml_xx_hd/mag/font_54.mag.
The discovered version will be used.
Scaled magic input cell font_54 geometry by factor of 24
Warning: Parent cell lists instance of "font_67" at bad file path ../mag/font_67.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_ml_xx_hd/mag/font_67.mag.
The discovered version will be used.
Scaled magic input cell font_67 geometry by factor of 24
Warning: Parent cell lists instance of "font_69" at bad file path ../mag/font_69.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_ml_xx_hd/mag/font_69.mag.
The discovered version will be used.
Scaled magic input cell font_69 geometry by factor of 24
Warning: Parent cell lists instance of "font_76" at bad file path ../mag/font_76.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_ml_xx_hd/mag/font_76.mag.
The discovered version will be used.
Scaled magic input cell font_76 geometry by factor of 24
Warning: Parent cell lists instance of "font_72" at bad file path ../mag/font_72.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_ml_xx_hd/mag/font_72.mag.
The discovered version will be used.
Scaled magic input cell font_72 geometry by factor of 24
Warning: Parent cell lists instance of "font_44" at bad file path ../mag/font_44.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_ml_xx_hd/mag/font_44.mag.
The discovered version will be used.
Scaled magic input cell font_44 geometry by factor of 24
Warning: Parent cell lists instance of "caravan_logo" at bad file path /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/caravan_logo.mag.
The cell exists in the search paths at ../mag/caravan_logo.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "copyright_block_a" at bad file path /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/copyright_block_a.mag.
The cell exists in the search paths at ../mag/copyright_block_a.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "font_73" at bad file path ../mag/font_73.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_ml_xx_hd/mag/font_73.mag.
The discovered version will be used.
Scaled magic input cell font_73 geometry by factor of 24
Warning: Parent cell lists instance of "font_6C" at bad file path ../mag/font_6C.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_ml_xx_hd/mag/font_6C.mag.
The discovered version will be used.
Scaled magic input cell font_6C geometry by factor of 24
Warning: Parent cell lists instance of "font_62" at bad file path ../mag/font_62.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_ml_xx_hd/mag/font_62.mag.
The discovered version will be used.
Scaled magic input cell font_62 geometry by factor of 24
Warning: Parent cell lists instance of "font_66" at bad file path ../mag/font_66.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_ml_xx_hd/mag/font_66.mag.
The discovered version will be used.
Scaled magic input cell font_66 geometry by factor of 24
Warning: Parent cell lists instance of "font_29" at bad file path ../mag/font_29.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_ml_xx_hd/mag/font_29.mag.
The discovered version will be used.
Scaled magic input cell font_29 geometry by factor of 24
Warning: Parent cell lists instance of "font_43" at bad file path ../mag/font_43.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_ml_xx_hd/mag/font_43.mag.
The discovered version will be used.
Scaled magic input cell font_43 geometry by factor of 24
Warning: Parent cell lists instance of "font_20" at bad file path ../mag/font_20.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_ml_xx_hd/mag/font_20.mag.
The discovered version will be used.
Scaled magic input cell font_20 geometry by factor of 24
Warning: Parent cell lists instance of "font_28" at bad file path ../mag/font_28.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_ml_xx_hd/mag/font_28.mag.
The discovered version will be used.
Scaled magic input cell font_28 geometry by factor of 24
Warning: Parent cell lists instance of "font_56" at bad file path ../mag/font_56.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_ml_xx_hd/mag/font_56.mag.
The discovered version will be used.
Scaled magic input cell font_56 geometry by factor of 24
Warning: Parent cell lists instance of "font_32" at bad file path ../mag/font_32.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_ml_xx_hd/mag/font_32.mag.
The discovered version will be used.
Scaled magic input cell font_32 geometry by factor of 24
Warning: Parent cell lists instance of "font_2D" at bad file path ../mag/font_2D.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_ml_xx_hd/mag/font_2D.mag.
The discovered version will be used.
Scaled magic input cell font_2D geometry by factor of 24
Warning: Parent cell lists instance of "font_4B" at bad file path ../mag/font_4B.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_ml_xx_hd/mag/font_4B.mag.
The discovered version will be used.
Scaled magic input cell font_4B geometry by factor of 24
Warning: Parent cell lists instance of "font_50" at bad file path ../mag/font_50.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_ml_xx_hd/mag/font_50.mag.
The discovered version will be used.
Scaled magic input cell font_50 geometry by factor of 24
Warning: Parent cell lists instance of "font_74" at bad file path ../mag/font_74.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_ml_xx_hd/mag/font_74.mag.
The discovered version will be used.
Scaled magic input cell font_74 geometry by factor of 24
Warning: Parent cell lists instance of "font_57" at bad file path ../mag/font_57.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_ml_xx_hd/mag/font_57.mag.
The discovered version will be used.
Scaled magic input cell font_57 geometry by factor of 24
Warning: Parent cell lists instance of "font_6B" at bad file path ../mag/font_6B.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_ml_xx_hd/mag/font_6B.mag.
The discovered version will be used.
Scaled magic input cell font_6B geometry by factor of 24
Warning: Parent cell lists instance of "font_79" at bad file path ../mag/font_79.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_ml_xx_hd/mag/font_79.mag.
The discovered version will be used.
Scaled magic input cell font_79 geometry by factor of 24
Warning: Parent cell lists instance of "font_53" at bad file path ../mag/font_53.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_ml_xx_hd/mag/font_53.mag.
The discovered version will be used.
Scaled magic input cell font_53 geometry by factor of 24
Warning: Parent cell lists instance of "font_47" at bad file path ../mag/font_47.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_ml_xx_hd/mag/font_47.mag.
The discovered version will be used.
Scaled magic input cell font_47 geometry by factor of 24
Warning: Parent cell lists instance of "font_31" at bad file path ../mag/font_31.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_ml_xx_hd/mag/font_31.mag.
The discovered version will be used.
Scaled magic input cell font_31 geometry by factor of 24
Warning: Parent cell lists instance of "font_30" at bad file path ../mag/font_30.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_ml_xx_hd/mag/font_30.mag.
The discovered version will be used.
Scaled magic input cell font_30 geometry by factor of 24
Warning: Parent cell lists instance of "font_6D" at bad file path ../mag/font_6D.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_ml_xx_hd/mag/font_6D.mag.
The discovered version will be used.
Scaled magic input cell font_6D geometry by factor of 24
Warning: Parent cell lists instance of "font_4E" at bad file path ../mag/font_4E.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_ml_xx_hd/mag/font_4E.mag.
The discovered version will be used.
Scaled magic input cell font_4E geometry by factor of 24
Warning: Parent cell lists instance of "alpha_0" at bad file path /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/hexdigits/alpha_0.mag.
The cell exists in the search paths at hexdigits/alpha_0.mag.
The discovered version will be used.
Scaled magic input cell alpha_0 geometry by factor of 2
Warning: Parent cell lists instance of "alpha_2" at bad file path /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/hexdigits/alpha_2.mag.
The cell exists in the search paths at hexdigits/alpha_2.mag.
The discovered version will be used.
Scaled magic input cell alpha_2 geometry by factor of 72
Warning: Parent cell lists instance of "alpha_6" at bad file path /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/hexdigits/alpha_6.mag.
The cell exists in the search paths at hexdigits/alpha_6.mag.
The discovered version will be used.
Scaled magic input cell alpha_6 geometry by factor of 72
Warning: Parent cell lists instance of "caravel_clocking" at bad file path /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/caravel_clocking.mag.
The cell exists in the search paths at ../mag/caravel_clocking.mag.
The discovered version will be used.
caravel_clocking: 10000 rects
caravel_clocking: 20000 rects
Warning: Parent cell lists instance of "sky130_fd_sc_hd__clkbuf_1" at bad file path ../mag/sky130_fd_sc_hd__clkbuf_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__clkbuf_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nor2_1" at bad file path ../mag/sky130_fd_sc_hd__nor2_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__nor2_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__inv_4" at bad file path ../mag/sky130_fd_sc_hd__inv_4.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__inv_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__diode_2" at bad file path ../mag/sky130_fd_sc_hd__diode_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__diode_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__buf_2" at bad file path ../mag/sky130_fd_sc_hd__buf_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__buf_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__clkdlybuf4s25_1" at bad file path ../mag/sky130_fd_sc_hd__clkdlybuf4s25_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__clkdlybuf4s25_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__mux2_1" at bad file path ../mag/sky130_fd_sc_hd__mux2_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__mux2_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__clkbuf_2" at bad file path ../mag/sky130_fd_sc_hd__clkbuf_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__clkbuf_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__buf_1" at bad file path ../mag/sky130_fd_sc_hd__buf_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__buf_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__mux2_2" at bad file path ../mag/sky130_fd_sc_hd__mux2_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__mux2_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__xor2_1" at bad file path ../mag/sky130_fd_sc_hd__xor2_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__xor2_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nand2_1" at bad file path ../mag/sky130_fd_sc_hd__nand2_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__nand2_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__dfstp_1" at bad file path ../mag/sky130_fd_sc_hd__dfstp_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__dfstp_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__dfrtp_1" at bad file path ../mag/sky130_fd_sc_hd__dfrtp_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__dfrtp_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__dfrtn_1" at bad file path ../mag/sky130_fd_sc_hd__dfrtn_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__dfrtn_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nand3_1" at bad file path ../mag/sky130_fd_sc_hd__nand3_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__nand3_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__clkbuf_16" at bad file path ../mag/sky130_fd_sc_hd__clkbuf_16.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__clkbuf_16.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o21bai_1" at bad file path ../mag/sky130_fd_sc_hd__o21bai_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__o21bai_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__inv_2" at bad file path ../mag/sky130_fd_sc_hd__inv_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__inv_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nor3b_2" at bad file path ../mag/sky130_fd_sc_hd__nor3b_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__nor3b_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__dfrtp_4" at bad file path ../mag/sky130_fd_sc_hd__dfrtp_4.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__dfrtp_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a21bo_1" at bad file path ../mag/sky130_fd_sc_hd__a21bo_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__a21bo_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__buf_12" at bad file path ../mag/sky130_fd_sc_hd__buf_12.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__buf_12.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__xnor2_1" at bad file path ../mag/sky130_fd_sc_hd__xnor2_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__xnor2_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nand3b_1" at bad file path ../mag/sky130_fd_sc_hd__nand3b_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__nand3b_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__clkinv_4" at bad file path ../mag/sky130_fd_sc_hd__clkinv_4.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__clkinv_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__dfxtp_1" at bad file path ../mag/sky130_fd_sc_hd__dfxtp_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__dfxtp_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o21ai_1" at bad file path ../mag/sky130_fd_sc_hd__o21ai_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__o21ai_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__dfstp_4" at bad file path ../mag/sky130_fd_sc_hd__dfstp_4.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__dfstp_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o2bb2ai_2" at bad file path ../mag/sky130_fd_sc_hd__o2bb2ai_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__o2bb2ai_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a21o_1" at bad file path ../mag/sky130_fd_sc_hd__a21o_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__a21o_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o21a_1" at bad file path ../mag/sky130_fd_sc_hd__o21a_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__o21a_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__clkinv_2" at bad file path ../mag/sky130_fd_sc_hd__clkinv_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__clkinv_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nand2_2" at bad file path ../mag/sky130_fd_sc_hd__nand2_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__nand2_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o211a_1" at bad file path ../mag/sky130_fd_sc_hd__o211a_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__o211a_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nor3_1" at bad file path ../mag/sky130_fd_sc_hd__nor3_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__nor3_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__and2_1" at bad file path ../mag/sky130_fd_sc_hd__and2_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__and2_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__dfrtp_2" at bad file path ../mag/sky130_fd_sc_hd__dfrtp_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__dfrtp_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o211ai_4" at bad file path ../mag/sky130_fd_sc_hd__o211ai_4.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__o211ai_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__or2b_1" at bad file path ../mag/sky130_fd_sc_hd__or2b_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__or2b_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__clkbuf_4" at bad file path ../mag/sky130_fd_sc_hd__clkbuf_4.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__clkbuf_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nor3b_1" at bad file path ../mag/sky130_fd_sc_hd__nor3b_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__nor3b_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__dlygate4sd1_1" at bad file path ../mag/sky130_fd_sc_hd__dlygate4sd1_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__dlygate4sd1_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__dfstp_2" at bad file path ../mag/sky130_fd_sc_hd__dfstp_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__dfstp_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__dlymetal6s2s_1" at bad file path ../mag/sky130_fd_sc_hd__dlymetal6s2s_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__dlymetal6s2s_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "housekeeping" at bad file path /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/housekeeping.mag.
The cell exists in the search paths at ../mag/housekeeping.mag.
The discovered version will be used.
housekeeping: 10000 rects
housekeeping: 20000 rects
housekeeping: 30000 rects
housekeeping: 40000 rects
housekeeping: 50000 rects
housekeeping: 60000 rects
housekeeping: 70000 rects
housekeeping: 80000 rects
housekeeping: 90000 rects
housekeeping: 100000 rects
housekeeping: 110000 rects
housekeeping: 120000 rects
housekeeping: 130000 rects
housekeeping: 140000 rects
housekeeping: 150000 rects
housekeeping: 160000 rects
housekeeping: 170000 rects
housekeeping: 180000 rects
housekeeping: 190000 rects
housekeeping: 200000 rects
housekeeping: 210000 rects
housekeeping: 220000 rects
housekeeping: 230000 rects
housekeeping: 240000 rects
housekeeping: 250000 rects
housekeeping: 260000 rects
housekeeping: 270000 rects
housekeeping: 280000 rects
housekeeping: 290000 rects
housekeeping: 300000 rects
housekeeping: 310000 rects
housekeeping: 320000 rects
housekeeping: 330000 rects
housekeeping: 340000 rects
housekeeping: 350000 rects
housekeeping: 360000 rects
housekeeping: 370000 rects
housekeeping: 380000 rects
housekeeping: 390000 rects
housekeeping: 400000 rects
housekeeping: 410000 rects
housekeeping: 420000 rects
housekeeping: 430000 rects
housekeeping: 440000 rects
housekeeping: 450000 rects
housekeeping: 460000 rects
Warning: Parent cell lists instance of "sky130_fd_sc_hd__buf_4" at bad file path ../mag/sky130_fd_sc_hd__buf_4.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__buf_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__buf_6" at bad file path ../mag/sky130_fd_sc_hd__buf_6.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__buf_6.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__ebufn_8" at bad file path ../mag/sky130_fd_sc_hd__ebufn_8.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__ebufn_8.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__clkinv_8" at bad file path ../mag/sky130_fd_sc_hd__clkinv_8.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__clkinv_8.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__inv_6" at bad file path ../mag/sky130_fd_sc_hd__inv_6.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__inv_6.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a221o_1" at bad file path ../mag/sky130_fd_sc_hd__a221o_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__a221o_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__or4bb_1" at bad file path ../mag/sky130_fd_sc_hd__or4bb_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__or4bb_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__or2_1" at bad file path ../mag/sky130_fd_sc_hd__or2_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__or2_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__or4b_1" at bad file path ../mag/sky130_fd_sc_hd__or4b_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__or4b_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a32o_2" at bad file path ../mag/sky130_fd_sc_hd__a32o_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__a32o_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o21ai_2" at bad file path ../mag/sky130_fd_sc_hd__o21ai_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__o21ai_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__or4_2" at bad file path ../mag/sky130_fd_sc_hd__or4_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__or4_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__or4_1" at bad file path ../mag/sky130_fd_sc_hd__or4_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__or4_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nand4_1" at bad file path ../mag/sky130_fd_sc_hd__nand4_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__nand4_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nand4bb_1" at bad file path ../mag/sky130_fd_sc_hd__nand4bb_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__nand4bb_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o22a_2" at bad file path ../mag/sky130_fd_sc_hd__o22a_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__o22a_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a22o_1" at bad file path ../mag/sky130_fd_sc_hd__a22o_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__a22o_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__or3_1" at bad file path ../mag/sky130_fd_sc_hd__or3_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__or3_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o22a_1" at bad file path ../mag/sky130_fd_sc_hd__o22a_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__o22a_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o2bb2a_1" at bad file path ../mag/sky130_fd_sc_hd__o2bb2a_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__o2bb2a_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__inv_8" at bad file path ../mag/sky130_fd_sc_hd__inv_8.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__inv_8.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__or3_2" at bad file path ../mag/sky130_fd_sc_hd__or3_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__or3_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__or3_4" at bad file path ../mag/sky130_fd_sc_hd__or3_4.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__or3_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__mux2_8" at bad file path ../mag/sky130_fd_sc_hd__mux2_8.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__mux2_8.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__buf_8" at bad file path ../mag/sky130_fd_sc_hd__buf_8.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__buf_8.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a22oi_4" at bad file path ../mag/sky130_fd_sc_hd__a22oi_4.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__a22oi_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__or3b_1" at bad file path ../mag/sky130_fd_sc_hd__or3b_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__or3b_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a2bb2o_1" at bad file path ../mag/sky130_fd_sc_hd__a2bb2o_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__a2bb2o_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__and3_1" at bad file path ../mag/sky130_fd_sc_hd__and3_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__and3_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a211o_1" at bad file path ../mag/sky130_fd_sc_hd__a211o_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__a211o_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a21oi_1" at bad file path ../mag/sky130_fd_sc_hd__a21oi_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__a21oi_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__or2_4" at bad file path ../mag/sky130_fd_sc_hd__or2_4.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__or2_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__or4bb_4" at bad file path ../mag/sky130_fd_sc_hd__or4bb_4.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__or4bb_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nand2_4" at bad file path ../mag/sky130_fd_sc_hd__nand2_4.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__nand2_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o22ai_1" at bad file path ../mag/sky130_fd_sc_hd__o22ai_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__o22ai_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o32a_1" at bad file path ../mag/sky130_fd_sc_hd__o32a_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__o32a_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__or2_2" at bad file path ../mag/sky130_fd_sc_hd__or2_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__or2_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a21bo_2" at bad file path ../mag/sky130_fd_sc_hd__a21bo_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__a21bo_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__inv_12" at bad file path ../mag/sky130_fd_sc_hd__inv_12.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__inv_12.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o31ai_4" at bad file path ../mag/sky130_fd_sc_hd__o31ai_4.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__o31ai_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__or2b_2" at bad file path ../mag/sky130_fd_sc_hd__or2b_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__or2b_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__clkinvlp_2" at bad file path ../mag/sky130_fd_sc_hd__clkinvlp_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__clkinvlp_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o32a_2" at bad file path ../mag/sky130_fd_sc_hd__o32a_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__o32a_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a311o_1" at bad file path ../mag/sky130_fd_sc_hd__a311o_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__a311o_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__and4b_1" at bad file path ../mag/sky130_fd_sc_hd__and4b_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__and4b_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o221a_1" at bad file path ../mag/sky130_fd_sc_hd__o221a_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__o221a_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nor3_4" at bad file path ../mag/sky130_fd_sc_hd__nor3_4.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__nor3_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__or4_4" at bad file path ../mag/sky130_fd_sc_hd__or4_4.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__or4_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__or4b_2" at bad file path ../mag/sky130_fd_sc_hd__or4b_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__or4b_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nor2_4" at bad file path ../mag/sky130_fd_sc_hd__nor2_4.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__nor2_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a21o_2" at bad file path ../mag/sky130_fd_sc_hd__a21o_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__a21o_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a31o_1" at bad file path ../mag/sky130_fd_sc_hd__a31o_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__a31o_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nor4_1" at bad file path ../mag/sky130_fd_sc_hd__nor4_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__nor4_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a32o_1" at bad file path ../mag/sky130_fd_sc_hd__a32o_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__a32o_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__ebufn_2" at bad file path ../mag/sky130_fd_sc_hd__ebufn_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__ebufn_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nand2_8" at bad file path ../mag/sky130_fd_sc_hd__nand2_8.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__nand2_8.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nor2_2" at bad file path ../mag/sky130_fd_sc_hd__nor2_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__nor2_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__or3b_2" at bad file path ../mag/sky130_fd_sc_hd__or3b_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__or3b_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__clkbuf_8" at bad file path ../mag/sky130_fd_sc_hd__clkbuf_8.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__clkbuf_8.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a22oi_1" at bad file path ../mag/sky130_fd_sc_hd__a22oi_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__a22oi_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o221a_2" at bad file path ../mag/sky130_fd_sc_hd__o221a_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__o221a_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__mux2_4" at bad file path ../mag/sky130_fd_sc_hd__mux2_4.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__mux2_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o211a_2" at bad file path ../mag/sky130_fd_sc_hd__o211a_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__o211a_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a41o_1" at bad file path ../mag/sky130_fd_sc_hd__a41o_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__a41o_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o22ai_4" at bad file path ../mag/sky130_fd_sc_hd__o22ai_4.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__o22ai_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a41o_2" at bad file path ../mag/sky130_fd_sc_hd__a41o_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__a41o_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a311oi_1" at bad file path ../mag/sky130_fd_sc_hd__a311oi_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__a311oi_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o21ba_1" at bad file path ../mag/sky130_fd_sc_hd__o21ba_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__o21ba_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o2111ai_1" at bad file path ../mag/sky130_fd_sc_hd__o2111ai_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__o2111ai_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o311a_1" at bad file path ../mag/sky130_fd_sc_hd__o311a_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__o311a_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a211o_4" at bad file path ../mag/sky130_fd_sc_hd__a211o_4.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__a211o_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o221ai_1" at bad file path ../mag/sky130_fd_sc_hd__o221ai_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__o221ai_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__and3_2" at bad file path ../mag/sky130_fd_sc_hd__and3_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__and3_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o31a_1" at bad file path ../mag/sky130_fd_sc_hd__o31a_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__o31a_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o22ai_2" at bad file path ../mag/sky130_fd_sc_hd__o22ai_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__o22ai_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o22a_4" at bad file path ../mag/sky130_fd_sc_hd__o22a_4.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__o22a_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o211ai_1" at bad file path ../mag/sky130_fd_sc_hd__o211ai_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__o211ai_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o221ai_2" at bad file path ../mag/sky130_fd_sc_hd__o221ai_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__o221ai_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o2111ai_2" at bad file path ../mag/sky130_fd_sc_hd__o2111ai_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__o2111ai_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nand3_4" at bad file path ../mag/sky130_fd_sc_hd__nand3_4.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__nand3_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o2111ai_4" at bad file path ../mag/sky130_fd_sc_hd__o2111ai_4.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__o2111ai_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__and4_1" at bad file path ../mag/sky130_fd_sc_hd__and4_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__and4_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o2111a_1" at bad file path ../mag/sky130_fd_sc_hd__o2111a_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__o2111a_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o221ai_4" at bad file path ../mag/sky130_fd_sc_hd__o221ai_4.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__o221ai_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nor4_2" at bad file path ../mag/sky130_fd_sc_hd__nor4_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__nor4_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a2111o_2" at bad file path ../mag/sky130_fd_sc_hd__a2111o_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__a2111o_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__and3_4" at bad file path ../mag/sky130_fd_sc_hd__and3_4.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__and3_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__and4bb_1" at bad file path ../mag/sky130_fd_sc_hd__and4bb_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__and4bb_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o211ai_2" at bad file path ../mag/sky130_fd_sc_hd__o211ai_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__o211ai_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__and4_2" at bad file path ../mag/sky130_fd_sc_hd__and4_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__and4_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o2111a_2" at bad file path ../mag/sky130_fd_sc_hd__o2111a_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__o2111a_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nand4_4" at bad file path ../mag/sky130_fd_sc_hd__nand4_4.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__nand4_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nand4b_4" at bad file path ../mag/sky130_fd_sc_hd__nand4b_4.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__nand4b_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a311oi_2" at bad file path ../mag/sky130_fd_sc_hd__a311oi_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__a311oi_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a2111o_1" at bad file path ../mag/sky130_fd_sc_hd__a2111o_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__a2111o_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nor3_2" at bad file path ../mag/sky130_fd_sc_hd__nor3_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__nor3_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nand4_2" at bad file path ../mag/sky130_fd_sc_hd__nand4_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__nand4_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o2bb2a_2" at bad file path ../mag/sky130_fd_sc_hd__o2bb2a_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__o2bb2a_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__or4b_4" at bad file path ../mag/sky130_fd_sc_hd__or4b_4.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__or4b_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__and3b_1" at bad file path ../mag/sky130_fd_sc_hd__and3b_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__and3b_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a22o_2" at bad file path ../mag/sky130_fd_sc_hd__a22o_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__a22o_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o221a_4" at bad file path ../mag/sky130_fd_sc_hd__o221a_4.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__o221a_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__or3b_4" at bad file path ../mag/sky130_fd_sc_hd__or3b_4.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__or3b_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o21ai_4" at bad file path ../mag/sky130_fd_sc_hd__o21ai_4.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__o21ai_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__nor2_8" at bad file path ../mag/sky130_fd_sc_hd__nor2_8.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__nor2_8.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__and2b_1" at bad file path ../mag/sky130_fd_sc_hd__and2b_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__and2b_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o311a_2" at bad file path ../mag/sky130_fd_sc_hd__o311a_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__o311a_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a31oi_1" at bad file path ../mag/sky130_fd_sc_hd__a31oi_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__a31oi_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a221o_2" at bad file path ../mag/sky130_fd_sc_hd__a221o_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__a221o_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "digital_pll" at bad file path /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/digital_pll.mag.
The cell exists in the search paths at ../mag/digital_pll.mag.
The discovered version will be used.
digital_pll: 10000 rects
Warning: Parent cell lists instance of "sky130_fd_sc_hd__einvp_2" at bad file path ../mag/sky130_fd_sc_hd__einvp_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__einvp_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__clkinv_1" at bad file path ../mag/sky130_fd_sc_hd__clkinv_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__clkinv_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__einvn_8" at bad file path ../mag/sky130_fd_sc_hd__einvn_8.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__einvn_8.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__einvn_4" at bad file path ../mag/sky130_fd_sc_hd__einvn_4.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__einvn_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o21a_2" at bad file path ../mag/sky130_fd_sc_hd__o21a_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__o21a_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__and2_2" at bad file path ../mag/sky130_fd_sc_hd__and2_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__and2_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o31a_2" at bad file path ../mag/sky130_fd_sc_hd__o31a_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__o31a_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__o41a_2" at bad file path ../mag/sky130_fd_sc_hd__o41a_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__o41a_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a31o_2" at bad file path ../mag/sky130_fd_sc_hd__a31o_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__a31o_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__einvp_1" at bad file path ../mag/sky130_fd_sc_hd__einvp_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__einvp_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a2bb2o_2" at bad file path ../mag/sky130_fd_sc_hd__a2bb2o_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__a2bb2o_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a311o_2" at bad file path ../mag/sky130_fd_sc_hd__a311o_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__a311o_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a21oi_2" at bad file path ../mag/sky130_fd_sc_hd__a21oi_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__a21oi_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__a22oi_2" at bad file path ../mag/sky130_fd_sc_hd__a22oi_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__a22oi_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "gpio_defaults_block_1803" at bad file path /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/gpio_defaults_block_1803.mag.
The cell exists in the search paths at ../mag/gpio_defaults_block_1803.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "gpio_control_block" at bad file path /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/gpio_control_block.mag.
The cell exists in the search paths at ../mag/gpio_control_block.mag.
The discovered version will be used.
gpio_control_block: 10000 rects
Warning: Parent cell lists instance of "sky130_fd_sc_hd__dfbbn_1" at bad file path ../mag/sky130_fd_sc_hd__dfbbn_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__dfbbn_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__einvp_8" at bad file path ../mag/sky130_fd_sc_hd__einvp_8.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__einvp_8.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "mgmt_protect" at bad file path /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/mgmt_protect.mag.
The cell exists in the search paths at ../mag/mgmt_protect.mag.
The discovered version will be used.
mgmt_protect: 10000 rects
mgmt_protect: 20000 rects
mgmt_protect: 30000 rects
mgmt_protect: 40000 rects
mgmt_protect: 50000 rects
mgmt_protect: 60000 rects
mgmt_protect: 70000 rects
mgmt_protect: 80000 rects
mgmt_protect: 90000 rects
mgmt_protect: 100000 rects
mgmt_protect: 110000 rects
mgmt_protect: 120000 rects
mgmt_protect: 130000 rects
mgmt_protect: 140000 rects
mgmt_protect: 150000 rects
mgmt_protect: 160000 rects
Warning: Parent cell lists instance of "sky130_fd_sc_hd__and2b_2" at bad file path ../mag/sky130_fd_sc_hd__and2b_2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__and2b_2.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__clkinv_16" at bad file path ../mag/sky130_fd_sc_hd__clkinv_16.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__clkinv_16.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__and2_4" at bad file path ../mag/sky130_fd_sc_hd__and2_4.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__and2_4.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hvl__conb_1" at bad file path ../mag/sky130_fd_sc_hvl__conb_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hvl/mag/sky130_fd_sc_hvl__conb_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__inv_16" at bad file path ../mag/sky130_fd_sc_hd__inv_16.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__inv_16.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "spare_logic_block" at bad file path /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/spare_logic_block.mag.
The cell exists in the search paths at ../mag/spare_logic_block.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_fd_sc_hd__dfbbp_1" at bad file path ../mag/sky130_fd_sc_hd__dfbbp_1.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_sc_hd/mag/sky130_fd_sc_hd__dfbbp_1.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "chip_io_alt" at bad file path /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/chip_io_alt.mag.
The cell exists in the search paths at ../mag/chip_io_alt.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_ef_io__corner_pad" at bad file path ../mag/sky130_ef_io__corner_pad.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_io/mag/sky130_ef_io__corner_pad.mag.
The discovered version will be used.
sky130_fd_io__corner_bus_overlay: 10000 rects
Warning: Parent cell lists instance of "sky130_ef_io__com_bus_slice_20um" at bad file path ../mag/sky130_ef_io__com_bus_slice_20um.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_io/mag/sky130_ef_io__com_bus_slice_20um.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_ef_io__com_bus_slice_5um" at bad file path ../mag/sky130_ef_io__com_bus_slice_5um.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_io/mag/sky130_ef_io__com_bus_slice_5um.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_ef_io__com_bus_slice_1um" at bad file path ../mag/sky130_ef_io__com_bus_slice_1um.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_io/mag/sky130_ef_io__com_bus_slice_1um.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_ef_io__com_bus_slice_10um" at bad file path ../mag/sky130_ef_io__com_bus_slice_10um.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_io/mag/sky130_ef_io__com_bus_slice_10um.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um" at bad file path ../mag/sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_io/mag/sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_ef_io__vssa_hvc_clamped_pad" at bad file path ../mag/sky130_ef_io__vssa_hvc_clamped_pad.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_io/mag/sky130_ef_io__vssa_hvc_clamped_pad.mag.
The discovered version will be used.
sky130_fd_io__top_ground_hvc_wpad: 10000 rects
sky130_fd_io__top_ground_hvc_wpad: 20000 rects
sky130_fd_io__top_ground_hvc_wpad: 30000 rects
sky130_fd_io__top_ground_hvc_wpad: 40000 rects
sky130_fd_io__top_ground_hvc_wpad: 50000 rects
sky130_fd_io__top_ground_hvc_wpad: 60000 rects
sky130_fd_io__top_ground_hvc_wpad: 70000 rects
sky130_fd_io__top_ground_hvc_wpad: 80000 rects
sky130_fd_io__top_ground_hvc_wpad: 90000 rects
sky130_fd_io__top_ground_hvc_wpad: 100000 rects
sky130_fd_io__top_ground_hvc_wpad: 110000 rects
sky130_fd_io__top_ground_hvc_wpad: 120000 rects
sky130_fd_io__top_ground_hvc_wpad: 130000 rects
sky130_fd_io__top_ground_hvc_wpad: 140000 rects
sky130_fd_io__top_ground_hvc_wpad: 150000 rects
sky130_fd_io__top_ground_hvc_wpad: 160000 rects
sky130_fd_io__top_ground_hvc_wpad: 170000 rects
sky130_fd_io__top_ground_hvc_wpad: 180000 rects
sky130_fd_io__top_ground_hvc_wpad: 190000 rects
sky130_fd_io__top_ground_hvc_wpad: 200000 rects
sky130_fd_io__top_ground_hvc_wpad: 210000 rects
Scaled magic input cell sky130_fd_pr__hvdfl1sd__example_5595914180851 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__dfl1sd__example_55959141808336 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__dfl1sd2__example_55959141808666 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__dfl1sd__example_55959141808678 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__dfl1sd2__example_55959141808679 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_pol1__example_5595914180839 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808660 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__dfl1__example_55959141808663 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdftpl1s__example_55959141808671 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdftpl1s2__example_55959141808672 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__dfl1__example_55959141808662 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808661 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdftpl1s__example_55959141808675 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdftpl1s2__example_55959141808676 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_559591418084 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__gendlring__example_559591418081 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__genrivetdlring__example_559591418082 geometry by factor of 2
Warning: Parent cell lists instance of "sky130_fd_io__top_xres4v2" at bad file path ../mag/sky130_fd_io__top_xres4v2.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_io/mag/sky130_fd_io__top_xres4v2.mag.
The discovered version will be used.
sky130_fd_io__top_xres4v2: 10000 rects
sky130_fd_io__top_xres4v2: 20000 rects
sky130_fd_io__top_xres4v2: 30000 rects
sky130_fd_io__top_xres4v2: 40000 rects
sky130_fd_io__top_xres4v2: 50000 rects
sky130_fd_io__top_xres4v2: 60000 rects
sky130_fd_io__top_xres4v2: 70000 rects
sky130_fd_io__top_xres4v2: 80000 rects
sky130_fd_io__top_xres4v2: 90000 rects
sky130_fd_io__top_xres4v2: 100000 rects
sky130_fd_io__top_xres4v2: 110000 rects
sky130_fd_io__top_xres4v2: 120000 rects
sky130_fd_io__top_xres4v2: 130000 rects
sky130_fd_io__top_xres4v2: 140000 rects
sky130_fd_io__top_xres4v2: 150000 rects
sky130_fd_io__top_xres4v2: 160000 rects
sky130_fd_io__top_xres4v2: 170000 rects
sky130_fd_io__top_xres4v2: 180000 rects
sky130_fd_io__top_xres4v2: 190000 rects
sky130_fd_io__top_xres4v2: 200000 rects
sky130_fd_io__top_xres4v2: 210000 rects
Scaled magic input cell sky130_fd_pr__hvdfm1sd2__example_55959141808717 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfm1sd2__example_55959141808719 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfm1sd2__example_5595914180890 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfm1sd2__example_55959141808243 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfm1sd2__example_55959141808765 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_pol1__example_5595914180833 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808264 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_5595914180858 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__dfl1sd__example_5595914180819 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_pol1__example_55959141808147 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__tpl1__example_55959141808148 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__tpl1__example_55959141808149 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__tpl1__example_55959141808150 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__tpl1__example_55959141808151 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808152 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808153 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808154 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808155 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808156 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808157 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__dfl1__example_55959141808158 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_pol1__example_55959141808274 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808400 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808128 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808372 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808326 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808290 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808127 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_5595914180897 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_m1m2__example_55959141808551 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_m1m2__example_55959141808552 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_m1m2__example_55959141808350 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_m1m2__example_55959141808260 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_m1m2__example_55959141808402 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_m1m2__example_55959141808724 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_m1m2__example_55959141808259 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_m1m2__example_55959141808261 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_m1m2__example_55959141808553 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_m1m2__example_55959141808725 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_m1m2__example_55959141808554 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_m1m2__example_55959141808726 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_m1m2__example_55959141808727 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_m1m2__example_55959141808728 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfm1sd__example_5595914180848 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfm1sd2__example_5595914180849 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfl1sd__example_55959141808370 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfl1sd__example_55959141808137 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__dfl1sd__example_559591418086 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_pol1__example_559591418083 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfl1sd2__example_55959141808385 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__dfl1sd__example_559591418088 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfl1sd2__example_55959141808316 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_pol1__example_55959141808612 geometry by factor of 2
sky130_fd_io__pfet_con_diff_wo_abt_270_xres4v2: 10000 rects
sky130_fd_io__pfet_con_diff_wo_abt_270_xres4v2: 20000 rects
sky130_fd_io__pfet_con_diff_wo_abt_270_xres4v2: 30000 rects
sky130_fd_io__pfet_con_diff_wo_abt_270_xres4v2: 40000 rects
Scaled magic input cell sky130_fd_pr__hvdftpm1s2__example_55959141808659 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfl1sd2__example_55959141808202 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1_centered__example_5595914180811 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1_centered__example_5595914180812 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1_centered__example_559591418084 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1_centered__example_559591418086 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_pol1_centered__example_559591418081 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_m2m3__example_55959141808714 geometry by factor of 2
sky130_fd_io__xres2v2_rcfilter_lpfv2: 10000 rects
sky130_fd_io__xres2v2_rcfilter_lpfv2: 20000 rects
sky130_fd_io__xres2v2_rcfilter_lpfv2: 30000 rects
sky130_fd_io__xres2v2_rcfilter_lpfv2: 40000 rects
Scaled magic input cell sky130_fd_pr__dfl1__example_55959141808187 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfl1sd__example_55959141808700 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfl1sd2__example_55959141808559 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfl1sd__example_55959141808338 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfl1sd2__example_55959141808337 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__dfl1__example_55959141808729 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_m1m2__example_55959141808730 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_m1m2__example_55959141808731 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_m1m2__example_55959141808732 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_m1m2__example_55959141808733 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_m1m2__example_55959141808734 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_m1m2__example_55959141808735 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_m1m2__example_55959141808736 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_m1m2__example_55959141808737 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_m1m2__example_55959141808738 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_m1m2__example_55959141808739 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_m1m2__example_55959141808740 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_pol1__example_55959141808273 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808741 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808742 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808743 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808744 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808324 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808745 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808746 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808747 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808748 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808749 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808270 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808750 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808751 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808752 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_5595914180857 geometry by factor of 2
sky130_fd_io__nfet_con_diff_wo_abt_270_xres4v2: 10000 rects
sky130_fd_io__nfet_con_diff_wo_abt_270_xres4v2: 20000 rects
sky130_fd_io__nfet_con_diff_wo_abt_270_xres4v2: 30000 rects
sky130_fd_io__nfet_con_diff_wo_abt_270_xres4v2: 40000 rects
sky130_fd_io__nfet_con_diff_wo_abt_270_xres4v2: 50000 rects
Scaled magic input cell sky130_fd_pr__hvdftpl1s__example_55959141808646 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdftpm1s2__example_55959141808649 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfl1sd2__example_55959141808378 geometry by factor of 2
sky130_fd_io__xres4v2_in_buf: 10000 rects
sky130_fd_io__xres4v2_in_buf: 20000 rects
sky130_fd_io__xres4v2_in_buf: 30000 rects
sky130_fd_io__xres4v2_in_buf: 40000 rects
Scaled magic input cell sky130_fd_pr__hvdfm1sd2__example_5595914180827 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfm1sd__example_55959141808233 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfm1sd__example_55959141808237 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfm1sd2__example_5595914180829 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfm1sd__example_55959141808242 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfm1sd__example_5595914180835 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfm1sd__example_55959141808782 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfm1sd__example_55959141808452 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfm1sd2__example_55959141808449 geometry by factor of 2
Warning: Parent cell lists instance of "sky130_ef_io__gpiov2_pad_wrapped" at bad file path ../mag/sky130_ef_io__gpiov2_pad_wrapped.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_io/mag/sky130_ef_io__gpiov2_pad_wrapped.mag.
The discovered version will be used.
sky130_fd_io__top_gpiov2: 10000 rects
sky130_fd_io__top_gpiov2: 20000 rects
sky130_fd_io__top_gpiov2: 30000 rects
sky130_fd_io__top_gpiov2: 40000 rects
sky130_fd_io__top_gpiov2: 50000 rects
sky130_fd_io__top_gpiov2: 60000 rects
sky130_fd_io__top_gpiov2: 70000 rects
sky130_fd_io__top_gpiov2: 80000 rects
sky130_fd_io__top_gpiov2: 90000 rects
sky130_fd_io__gpiov2_amux: 10000 rects
sky130_fd_io__gpiov2_amux: 20000 rects
sky130_fd_io__gpiov2_amux: 30000 rects
sky130_fd_io__amux_switch_1v2b: 10000 rects
Scaled magic input cell sky130_fd_pr__hvdfm1sd2__example_55959141808251 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__dfm1sd2__example_55959141808561 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfm1sd2__example_55959141808563 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__dfl1sd__example_5595914180868 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__dfl1sd2__example_5595914180869 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__dfl1sd2__example_5595914180875 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfl1sd__example_55959141808122 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__dfl1sd__example_55959141808123 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__dfl1sd2__example_5595914180884 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfl1sd__example_55959141808100 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__dfl1sd__example_5595914180815 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__dfl1sd__example_5595914180823 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__dfl1sd__example_55959141808106 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__dfl1sd__example_55959141808510 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__dfl1sd__example_55959141808504 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfm1sd__example_55959141808581 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfl1sd__example_55959141808418 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfl1sd2__example_55959141808140 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfl1sd__example_55959141808278 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfl1sd2__example_55959141808462 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfl1sd__example_5595914180894 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfm1sd__example_55959141808200 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__dfm1sd__example_55959141808258 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfl1sd__example_55959141808476 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfl1sd__example_55959141808115 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__dfl1sd2__example_5595914180816 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfl1sd2__example_55959141808178 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfl1sd2__example_55959141808488 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfl1sd2__example_55959141808306 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__dfl1sd__example_55959141808517 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__dfl1sd2__example_55959141808518 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__dfl1sd__example_5595914180811 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__dfl1sd__example_55959141808190 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__dfl1sd2__example_55959141808191 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__dfl1sd2__example_5595914180812 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfl1sd__example_55959141808280 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfl1sd2__example_55959141808425 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfl1sd__example_55959141808102 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfl1sd__example_55959141808434 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808440 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_5595914180878 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_pol1__example_55959141808394 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_pol1__example_55959141808298 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_pol1__example_55959141808373 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_pol1__example_55959141808272 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_m1m2__example_55959141808271 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__tpl1__example_55959141808374 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfl1sd__example_55959141808194 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_pol1__example_55959141808294 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_pol1__example_55959141808322 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_pol1__example_55959141808395 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_pol1__example_55959141808396 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_pol1__example_55959141808397 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_pol1__example_55959141808295 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_pol1__example_55959141808398 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808399 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808325 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808292 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808269 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808401 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808368 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__tpl1__example_55959141808625 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__dfl1sd2__example_55959141808633 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_pol1__example_55959141808320 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_pol1__example_55959141808321 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808323 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808291 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfl1sd2__example_55959141808143 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_pol1__example_5595914180854 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_pol1__example_55959141808275 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_m1m2__example_55959141808276 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808293 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_pol1__example_55959141808296 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_pol1__example_55959141808297 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__tpl1__example_55959141808299 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__tpl1__example_55959141808300 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808266 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808267 geometry by factor of 2
sky130_fd_io__gpio_odrvr_subv2: 10000 rects
sky130_fd_io__gpio_odrvr_subv2: 20000 rects
sky130_fd_io__gpio_odrvr_subv2: 30000 rects
sky130_fd_io__nfet_con_diff_wo_abt_270v2: 10000 rects
sky130_fd_io__nfet_con_diff_wo_abt_270v2: 20000 rects
sky130_fd_io__nfet_con_diff_wo_abt_270v2: 30000 rects
Scaled magic input cell sky130_fd_pr__via_l1m1_centered__example_559591418082 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1_centered__example_559591418083 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__hvdfm1sd__example_55959141808655 geometry by factor of 2
sky130_fd_io__pfet_con_diff_wo_abt_270v2: 10000 rects
sky130_fd_io__pfet_con_diff_wo_abt_270v2: 20000 rects
sky130_fd_io__pfet_con_diff_wo_abt_270v2: 30000 rects
sky130_fd_io__pfet_con_diff_wo_abt_270v2: 40000 rects
Scaled magic input cell sky130_fd_pr__via_l1m1_centered__example_559591418085 geometry by factor of 2
sky130_fd_io__gpio_pddrvr_strong_slowv2: 10000 rects
sky130_fd_io__gpio_pddrvr_strong_slowv2: 20000 rects
Scaled magic input cell sky130_fd_pr__via_l1m1__example_5595914180832 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_pol1_centered__example_559591418080 geometry by factor of 2
Warning: Parent cell lists instance of "sky130_ef_io__vssd_lvc_clamped_pad" at bad file path ../mag/sky130_ef_io__vssd_lvc_clamped_pad.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_io/mag/sky130_ef_io__vssd_lvc_clamped_pad.mag.
The discovered version will be used.
sky130_fd_io__top_ground_lvc_wpad: 10000 rects
sky130_fd_io__top_ground_lvc_wpad: 20000 rects
sky130_fd_io__top_ground_lvc_wpad: 30000 rects
sky130_fd_io__top_ground_lvc_wpad: 40000 rects
sky130_fd_io__top_ground_lvc_wpad: 50000 rects
sky130_fd_io__top_ground_lvc_wpad: 60000 rects
sky130_fd_io__top_ground_lvc_wpad: 70000 rects
sky130_fd_io__top_ground_lvc_wpad: 80000 rects
sky130_fd_io__top_ground_lvc_wpad: 90000 rects
sky130_fd_io__top_ground_lvc_wpad: 100000 rects
sky130_fd_io__top_ground_lvc_wpad: 110000 rects
sky130_fd_io__top_ground_lvc_wpad: 120000 rects
sky130_fd_io__top_ground_lvc_wpad: 130000 rects
sky130_fd_io__top_ground_lvc_wpad: 140000 rects
sky130_fd_io__top_ground_lvc_wpad: 150000 rects
sky130_fd_io__top_ground_lvc_wpad: 160000 rects
sky130_fd_io__top_ground_lvc_wpad: 170000 rects
sky130_fd_io__top_ground_lvc_wpad: 180000 rects
sky130_fd_io__top_ground_lvc_wpad: 190000 rects
sky130_fd_io__top_ground_lvc_wpad: 200000 rects
sky130_fd_io__top_ground_lvc_wpad: 210000 rects
sky130_fd_io__top_ground_lvc_wpad: 220000 rects
Scaled magic input cell sky130_fd_io__gnd2gnd_tap geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808683 geometry by factor of 2
Scaled magic input cell sky130_fd_io__gnd2gnd_diff geometry by factor of 2
Scaled magic input cell sky130_fd_pr__via_l1m1__example_55959141808684 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__tpl1__example_55959141808685 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__tpl1__example_55959141808686 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__dftpl1s2__example_55959141808702 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__dfl1__example_55959141808682 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__dftpl1s2__example_55959141808694 geometry by factor of 2
Scaled magic input cell sky130_fd_pr__dfl1__example_55959141808681 geometry by factor of 2
Warning: Parent cell lists instance of "sky130_ef_io__vssio_hvc_clamped_pad" at bad file path ../mag/sky130_ef_io__vssio_hvc_clamped_pad.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_io/mag/sky130_ef_io__vssio_hvc_clamped_pad.mag.
The discovered version will be used.
sky130_fd_io__overlay_vssio_hvc: 10000 rects
Warning: Parent cell lists instance of "sky130_ef_io__vdda_hvc_clamped_pad" at bad file path ../mag/sky130_ef_io__vdda_hvc_clamped_pad.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_io/mag/sky130_ef_io__vdda_hvc_clamped_pad.mag.
The discovered version will be used.
sky130_fd_io__hvc_clampv2: 10000 rects
sky130_fd_io__hvc_clampv2: 20000 rects
sky130_fd_io__hvc_clampv2: 30000 rects
sky130_fd_io__hvc_clampv2: 40000 rects
sky130_fd_io__hvc_clampv2: 50000 rects
sky130_fd_io__hvc_clampv2: 60000 rects
sky130_fd_io__hvc_clampv2: 70000 rects
sky130_fd_io__hvc_clampv2: 80000 rects
sky130_fd_io__hvc_clampv2: 90000 rects
sky130_fd_io__hvc_clampv2: 100000 rects
sky130_fd_io__hvc_clampv2: 110000 rects
sky130_fd_io__hvc_clampv2: 120000 rects
sky130_fd_io__hvc_clampv2: 130000 rects
sky130_fd_io__hvc_clampv2: 140000 rects
sky130_fd_io__hvc_clampv2: 150000 rects
sky130_fd_io__hvc_clampv2: 160000 rects
sky130_fd_io__hvc_clampv2: 170000 rects
sky130_fd_io__hvc_clampv2: 180000 rects
sky130_fd_io__hvc_clampv2: 190000 rects
sky130_fd_io__hvc_clampv2: 200000 rects
sky130_fd_io__hvc_clampv2: 210000 rects
sky130_fd_io__hvc_clampv2: 220000 rects
sky130_fd_io__hvc_clampv2: 230000 rects
sky130_fd_io__hvc_clampv2: 240000 rects
sky130_fd_io__hvc_clampv2: 250000 rects
sky130_fd_io__hvc_clampv2: 260000 rects
sky130_fd_io__hvc_clampv2: 270000 rects
sky130_fd_io__hvc_clampv2: 280000 rects
Warning: Parent cell lists instance of "sky130_ef_io__vccd_lvc_clamped_pad" at bad file path ../mag/sky130_ef_io__vccd_lvc_clamped_pad.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_io/mag/sky130_ef_io__vccd_lvc_clamped_pad.mag.
The discovered version will be used.
sky130_fd_io__top_power_lvc_wpad: 10000 rects
sky130_fd_io__top_power_lvc_wpad: 20000 rects
sky130_fd_io__top_power_lvc_wpad: 30000 rects
sky130_fd_io__top_power_lvc_wpad: 40000 rects
sky130_fd_io__top_power_lvc_wpad: 50000 rects
sky130_fd_io__top_power_lvc_wpad: 60000 rects
sky130_fd_io__top_power_lvc_wpad: 70000 rects
sky130_fd_io__top_power_lvc_wpad: 80000 rects
sky130_fd_io__top_power_lvc_wpad: 90000 rects
sky130_fd_io__top_power_lvc_wpad: 100000 rects
sky130_fd_io__top_power_lvc_wpad: 110000 rects
sky130_fd_io__top_power_lvc_wpad: 120000 rects
sky130_fd_io__top_power_lvc_wpad: 130000 rects
sky130_fd_io__top_power_lvc_wpad: 140000 rects
sky130_fd_io__top_power_lvc_wpad: 150000 rects
sky130_fd_io__top_power_lvc_wpad: 160000 rects
sky130_fd_io__top_power_lvc_wpad: 170000 rects
sky130_fd_io__top_power_lvc_wpad: 180000 rects
sky130_fd_io__top_power_lvc_wpad: 190000 rects
sky130_fd_io__top_power_lvc_wpad: 200000 rects
sky130_fd_io__top_power_lvc_wpad: 210000 rects
sky130_fd_io__top_power_lvc_wpad: 220000 rects
sky130_fd_io__top_power_lvc_wpad: 230000 rects
Warning: Parent cell lists instance of "sky130_ef_io__disconnect_vdda_slice_5um" at bad file path ../mag/sky130_ef_io__disconnect_vdda_slice_5um.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_io/mag/sky130_ef_io__disconnect_vdda_slice_5um.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_ef_io__vddio_hvc_clamped_pad" at bad file path ../mag/sky130_ef_io__vddio_hvc_clamped_pad.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_io/mag/sky130_ef_io__vddio_hvc_clamped_pad.mag.
The discovered version will be used.
sky130_fd_io__overlay_vddio_hvc: 10000 rects
Warning: Parent cell lists instance of "sky130_ef_io__vssd_lvc_clamped3_pad" at bad file path ../mag/sky130_ef_io__vssd_lvc_clamped3_pad.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_io/mag/sky130_ef_io__vssd_lvc_clamped3_pad.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_ef_io__vccd_lvc_clamped3_pad" at bad file path ../mag/sky130_ef_io__vccd_lvc_clamped3_pad.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_io/mag/sky130_ef_io__vccd_lvc_clamped3_pad.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "sky130_ef_io__analog_pad" at bad file path ../mag/sky130_ef_io__analog_pad.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_io/mag/sky130_ef_io__analog_pad.mag.
The discovered version will be used.
sky130_fd_io__simple_pad_and_busses: 10000 rects
Warning: Parent cell lists instance of "sky130_ef_io__top_power_hvc" at bad file path ../mag/sky130_ef_io__top_power_hvc.mag.
The cell exists in the search paths at /mnt/shuttles/shuttle/mpw-two/pdks/sky130A/libs.ref/sky130_fd_io/mag/sky130_ef_io__top_power_hvc.mag.
The discovered version will be used.
Warning: Parent cell lists instance of "caravan_power_routing" at bad file path /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/caravan_power_routing.mag.
The cell exists in the search paths at ../mag/caravan_power_routing.mag.
The discovered version will be used.
Processing timestamp mismatches: sky130_ef_io__top_power_hvc, sky130_ef_io__analog_pad, sky130_ef_io__vccd_lvc_clamped3_pad, sky130_ef_io__vssd_lvc_clamped3_pad, sky130_ef_io__vddio_hvc_clamped_pad, sky130_ef_io__disconnect_vdda_slice_5um, sky130_ef_io__vccd_lvc_clamped_pad, sky130_ef_io__vdda_hvc_clamped_pad, sky130_ef_io__vssio_hvc_clamped_pad, sky130_ef_io__vssd_lvc_clamped_pad, sky130_ef_io__gpiov2_pad_wrapped, sky130_fd_io__top_xres4v2, sky130_ef_io__vssa_hvc_clamped_pad, sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um, sky130_ef_io__com_bus_slice_10um, sky130_ef_io__com_bus_slice_1um, sky130_ef_io__com_bus_slice_5um, sky130_ef_io__com_bus_slice_20um, sky130_ef_io__corner_pad, chip_io_alt, sky130_fd_sc_hd__dfbbp_1, sky130_fd_sc_hd__inv_2, sky130_fd_sc_hd__decap_6, sky130_fd_sc_hd__tapvpwrvgnd_1, sky130_fd_sc_hd__decap_3, sky130_fd_sc_hd__decap_4, sky130_fd_sc_hd__decap_12, sky130_fd_sc_hd__fill_1, sky130_fd_sc_hd__conb_1, sky130_fd_sc_hd__nand2_2, sky130_fd_sc_hd__inv_8, sky130_fd_sc_hd__fill_2, sky130_fd_sc_hd__decap_8, sky130_fd_sc_hd__nor2_2, sky130_fd_sc_hd__mux2_2, sky130_fd_sc_hd__inv_16, sky130_fd_sc_hvl__conb_1, sky130_fd_sc_hvl__lsbufhv2lv_1, sky130_fd_sc_hvl__fill_1, sky130_fd_sc_hvl__fill_2, sky130_fd_sc_hd__and2_4, sky130_fd_sc_hd__clkinv_16, sky130_fd_sc_hd__and2b_2, mgmt_protect, sky130_fd_sc_hd__clkbuf_1, sky130_fd_sc_hd__clkbuf_4, sky130_fd_sc_hd__diode_2, sky130_fd_sc_hd__nand2_1, sky130_fd_sc_hd__and2_1, sky130_fd_sc_hd__clkinv_4, sky130_fd_sc_hd__inv_6, sky130_fd_sc_hd__buf_4, sky130_fd_sc_hd__nand2_4, sky130_fd_sc_hd__clkinv_8, sky130_fd_sc_hd__nand2_8, sky130_fd_sc_hd__einvp_8, sky130_fd_sc_hd__clkinv_2, sky130_fd_sc_hd__clkbuf_2, sky130_fd_sc_hd__buf_2, sky130_fd_sc_hd__buf_12, sky130_fd_sc_hd__clkbuf_16, sky130_fd_sc_hd__buf_8, sky130_fd_sc_hd__buf_6, sky130_fd_sc_hd__and2b_1, sky130_fd_sc_hd__inv_4, sky130_fd_sc_hd__inv_12, sky130_fd_sc_hd__dlymetal6s2s_1, sky130_fd_sc_hd__clkbuf_8, sky130_fd_sc_hd__dfbbn_1, gpio_control_block, sky130_fd_sc_hd__clkdlybuf4s25_1, sky130_fd_sc_hd__or2_1, sky130_fd_sc_hd__or2b_1, sky130_fd_sc_hd__buf_1, sky130_fd_sc_hd__dfrtp_1, sky130_fd_sc_hd__mux2_1, sky130_fd_sc_hd__ebufn_8, sky130_fd_sc_hd__a22oi_2, sky130_fd_sc_hd__a21oi_2, sky130_fd_sc_hd__a311o_2, sky130_fd_sc_hd__a2bb2o_2, sky130_fd_sc_hd__einvp_1, sky130_fd_sc_hd__a31o_2, sky130_fd_sc_hd__o41a_2, sky130_fd_sc_hd__o31a_2, sky130_fd_sc_hd__and2_2, sky130_fd_sc_hd__o21a_2, sky130_fd_sc_hd__einvn_4, sky130_fd_sc_hd__einvn_8, sky130_fd_sc_hd__clkinv_1, sky130_fd_sc_hd__einvp_2, digital_pll, sky130_fd_sc_hd__o311a_2, sky130_fd_sc_hd__or2_2, sky130_fd_sc_hd__or3_2, sky130_fd_sc_hd__or4_2, sky130_fd_sc_hd__and3_2, sky130_fd_sc_hd__o21ai_2, sky130_fd_sc_hd__o32a_2, sky130_fd_sc_hd__a32o_2, sky130_fd_sc_hd__a22o_2, sky130_fd_sc_hd__o2bb2a_2, sky130_fd_sc_hd__o211a_2, sky130_fd_sc_hd__a221o_2, sky130_fd_sc_hd__o22a_2, sky130_fd_sc_hd__dfrtp_2, sky130_fd_sc_hd__o221ai_2, sky130_fd_sc_hd__o22ai_2, sky130_fd_sc_hd__o221a_2, sky130_fd_sc_hd__a21bo_2, sky130_fd_sc_hd__a21o_2, sky130_fd_sc_hd__and4_2, sky130_fd_sc_hd__o2111ai_2, sky130_fd_sc_hd__o2bb2ai_2, sky130_fd_sc_hd__a31oi_1, sky130_fd_sc_hd__nor2_8, sky130_fd_sc_hd__o21ai_4, sky130_fd_sc_hd__or3b_4, sky130_fd_sc_hd__o221a_4, sky130_fd_sc_hd__and3b_1, sky130_fd_sc_hd__or4b_4, sky130_fd_sc_hd__nand4_2, sky130_fd_sc_hd__nor3_2, sky130_fd_sc_hd__a2111o_1, sky130_fd_sc_hd__a311oi_2, sky130_fd_sc_hd__nand4b_4, sky130_fd_sc_hd__nand4_4, sky130_fd_sc_hd__o2111a_2, sky130_fd_sc_hd__o211ai_2, sky130_fd_sc_hd__and4bb_1, sky130_fd_sc_hd__and3_4, sky130_fd_sc_hd__a2111o_2, sky130_fd_sc_hd__nor4_2, sky130_fd_sc_hd__o221ai_4, sky130_fd_sc_hd__o2111a_1, sky130_fd_sc_hd__and4_1, sky130_fd_sc_hd__o2111ai_4, sky130_fd_sc_hd__nand3_4, sky130_fd_sc_hd__o211ai_1, sky130_fd_sc_hd__o22a_4, sky130_fd_sc_hd__o31a_1, sky130_fd_sc_hd__o221ai_1, sky130_fd_sc_hd__a211o_4, sky130_fd_sc_hd__o311a_1, sky130_fd_sc_hd__o2111ai_1, sky130_fd_sc_hd__o21ba_1, sky130_fd_sc_hd__a311oi_1, sky130_fd_sc_hd__a41o_2, sky130_fd_sc_hd__o22ai_4, sky130_fd_sc_hd__a41o_1, sky130_fd_sc_hd__mux2_4, sky130_fd_sc_hd__a22oi_1, sky130_fd_sc_hd__or3b_2, sky130_fd_sc_hd__ebufn_2, sky130_fd_sc_hd__a32o_1, sky130_fd_sc_hd__nor4_1, sky130_fd_sc_hd__a31o_1, sky130_fd_sc_hd__nor2_4, sky130_fd_sc_hd__or4b_2, sky130_fd_sc_hd__or4_4, sky130_fd_sc_hd__nor3_4, sky130_fd_sc_hd__o221a_1, sky130_fd_sc_hd__and4b_1, sky130_fd_sc_hd__a311o_1, sky130_fd_sc_hd__clkinvlp_2, sky130_fd_sc_hd__or2b_2, sky130_fd_sc_hd__o31ai_4, sky130_fd_sc_hd__o32a_1, sky130_fd_sc_hd__o22ai_1, sky130_fd_sc_hd__or4bb_4, sky130_fd_sc_hd__or2_4, sky130_fd_sc_hd__a21oi_1, sky130_fd_sc_hd__a211o_1, sky130_fd_sc_hd__and3_1, sky130_fd_sc_hd__a2bb2o_1, sky130_fd_sc_hd__or3b_1, sky130_fd_sc_hd__a22oi_4, sky130_fd_sc_hd__mux2_8, sky130_fd_sc_hd__or3_4, sky130_fd_sc_hd__o2bb2a_1, sky130_fd_sc_hd__o22a_1, sky130_fd_sc_hd__or3_1, sky130_fd_sc_hd__a22o_1, sky130_fd_sc_hd__nand4bb_1, sky130_fd_sc_hd__nand4_1, sky130_fd_sc_hd__or4_1, sky130_fd_sc_hd__or4b_1, sky130_fd_sc_hd__or4bb_1, sky130_fd_sc_hd__a221o_1, sky130_fd_sc_hd__dfstp_1, sky130_fd_sc_hd__dfrtp_4, sky130_fd_sc_hd__dfxtp_1, sky130_fd_sc_hd__o21a_1, sky130_fd_sc_hd__nor2_1, sky130_fd_sc_hd__a21bo_1, sky130_fd_sc_hd__nor3_1, sky130_fd_sc_hd__o21ai_1, sky130_fd_sc_hd__nand3b_1, sky130_fd_sc_hd__o21bai_1, sky130_fd_sc_hd__a21o_1, sky130_fd_sc_hd__o211ai_4, sky130_fd_sc_hd__o211a_1, sky130_fd_sc_hd__dfrtn_1, sky130_fd_sc_hd__dfstp_2, sky130_fd_sc_hd__dfstp_4, sky130_fd_sc_hd__dlygate4sd1_1, sky130_fd_sc_hd__nor3b_1, sky130_fd_sc_hd__xnor2_1, sky130_fd_sc_hd__nor3b_2, sky130_fd_sc_hd__nand3_1, sky130_fd_sc_hd__xor2_1, caravel_clocking, alpha_6, alpha_2, sky130_fd_sc_hvl__decap_4, sky130_fd_sc_hvl__diode_2, sky130_fd_sc_hvl__decap_8.
Generating output for cell sky130_fd_sc_hvl__decap_8
Generating output for cell sky130_fd_sc_hvl__diode_2
Generating output for cell sky130_fd_sc_hvl__decap_4
Generating output for cell sky130_fd_sc_hvl__fill_2
Generating output for cell sky130_fd_sc_hvl__fill_1
Generating output for cell sky130_fd_sc_hvl__lsbufhv2lv_1
Generating output for cell xres_buf
Generating output for cell open_source
Generating output for cell font_22
Generating output for cell font_64
Generating output for cell font_61
Generating output for cell font_6F
Generating output for cell font_52
Generating output for cell font_6E
Generating output for cell font_65
Generating output for cell font_70
Generating output for cell font_4F
Generating output for cell font_68
Generating output for cell font_54
Generating output for cell font_67
Generating output for cell font_69
Generating output for cell font_76
Generating output for cell font_72
Generating output for cell font_44
Generating output for cell caravan_motto
Generating output for cell caravan_logo
Generating output for cell font_73
Generating output for cell font_6C
Generating output for cell font_62
Generating output for cell font_66
Generating output for cell font_29
Generating output for cell font_43
Generating output for cell font_20
Generating output for cell font_28
Generating output for cell font_56
Generating output for cell font_32
Generating output for cell font_2D
Generating output for cell font_4B
Generating output for cell font_50
Generating output for cell font_74
Generating output for cell font_57
Generating output for cell font_6B
Generating output for cell font_79
Generating output for cell font_53
Generating output for cell font_47
Generating output for cell font_31
Generating output for cell font_30
Generating output for cell font_6D
Generating output for cell font_4E
Generating output for cell copyright_block_a
Generating output for cell alpha_2
Generating output for cell alpha_6
Generating output for cell alpha_0
Generating output for cell user_id_textblock
Generating output for cell sky130_fd_sc_hd__xor2_1
Generating output for cell sky130_fd_sc_hd__nand3_1
Generating output for cell sky130_fd_sc_hd__nor3b_2
Generating output for cell sky130_fd_sc_hd__xnor2_1
Generating output for cell sky130_fd_sc_hd__nor3b_1
Generating output for cell sky130_fd_sc_hd__dlygate4sd1_1
Generating output for cell sky130_fd_sc_hd__dfstp_4
Generating output for cell sky130_fd_sc_hd__dfstp_2
Generating output for cell sky130_fd_sc_hd__dfrtn_1
Generating output for cell sky130_fd_sc_hd__o211a_1
Generating output for cell sky130_fd_sc_hd__o211ai_4
Generating output for cell sky130_fd_sc_hd__a21o_1
Generating output for cell sky130_fd_sc_hd__o21bai_1
Generating output for cell sky130_fd_sc_hd__nand3b_1
Generating output for cell sky130_fd_sc_hd__o21ai_1
Generating output for cell sky130_fd_sc_hd__nor3_1
Generating output for cell sky130_fd_sc_hd__a21bo_1
Generating output for cell sky130_fd_sc_hd__nor2_1
Generating output for cell sky130_fd_sc_hd__o21a_1
Generating output for cell sky130_fd_sc_hd__dfxtp_1
Generating output for cell sky130_fd_sc_hd__dfrtp_4
Generating output for cell sky130_fd_sc_hd__dfstp_1
Generating output for cell sky130_fd_sc_hd__o2bb2ai_2
Generating output for cell sky130_fd_sc_hd__dfrtp_2
Generating output for cell sky130_fd_sc_hd__mux2_1
Generating output for cell sky130_fd_sc_hd__dfrtp_1
Generating output for cell sky130_fd_sc_hd__buf_1
Generating output for cell sky130_fd_sc_hd__or2b_1
Generating output for cell sky130_fd_sc_hd__clkdlybuf4s25_1
Generating output for cell sky130_fd_sc_hd__dlymetal6s2s_1
Generating output for cell sky130_fd_sc_hd__inv_4
Generating output for cell sky130_fd_sc_hd__clkbuf_16
Generating output for cell sky130_fd_sc_hd__buf_12
Generating output for cell sky130_fd_sc_hd__buf_2
Generating output for cell sky130_fd_sc_hd__clkbuf_2
Generating output for cell sky130_fd_sc_hd__clkinv_2
Generating output for cell sky130_fd_sc_hd__clkinv_4
Generating output for cell sky130_fd_sc_hd__and2_1
Generating output for cell sky130_fd_sc_hd__nand2_1
Generating output for cell sky130_fd_sc_hd__diode_2
Generating output for cell sky130_fd_sc_hd__clkbuf_4
Generating output for cell sky130_fd_sc_hd__clkbuf_1
Generating output for cell sky130_fd_sc_hd__mux2_2
Generating output for cell sky130_fd_sc_hd__decap_8
Generating output for cell sky130_fd_sc_hd__fill_2
Generating output for cell sky130_fd_sc_hd__nand2_2
Generating output for cell sky130_fd_sc_hd__conb_1
Generating output for cell sky130_fd_sc_hd__fill_1
Generating output for cell sky130_fd_sc_hd__decap_12
Generating output for cell sky130_fd_sc_hd__decap_4
Generating output for cell sky130_fd_sc_hd__decap_3
Generating output for cell sky130_fd_sc_hd__tapvpwrvgnd_1
Generating output for cell sky130_fd_sc_hd__decap_6
Generating output for cell sky130_fd_sc_hd__inv_2
Generating output for cell caravel_clocking
Generating output for cell sky130_fd_sc_hd__o2111ai_2
Generating output for cell sky130_fd_sc_hd__and4_2
Generating output for cell sky130_fd_sc_hd__a21o_2
Generating output for cell sky130_fd_sc_hd__a21bo_2
Generating output for cell sky130_fd_sc_hd__o221a_2
Generating output for cell sky130_fd_sc_hd__o22ai_2
Generating output for cell sky130_fd_sc_hd__o221ai_2
Generating output for cell sky130_fd_sc_hd__o22a_2
Generating output for cell sky130_fd_sc_hd__a221o_2
Generating output for cell sky130_fd_sc_hd__o211a_2
Generating output for cell sky130_fd_sc_hd__o2bb2a_2
Generating output for cell sky130_fd_sc_hd__a22o_2
Generating output for cell sky130_fd_sc_hd__a32o_2
Generating output for cell sky130_fd_sc_hd__o32a_2
Generating output for cell sky130_fd_sc_hd__o21ai_2
Generating output for cell sky130_fd_sc_hd__and3_2
Generating output for cell sky130_fd_sc_hd__or4_2
Generating output for cell sky130_fd_sc_hd__or3_2
Generating output for cell sky130_fd_sc_hd__or2_2
Generating output for cell sky130_fd_sc_hd__o311a_2
Generating output for cell sky130_fd_sc_hd__einvp_2
Generating output for cell sky130_fd_sc_hd__clkinv_1
Generating output for cell sky130_fd_sc_hd__einvn_8
Generating output for cell sky130_fd_sc_hd__einvn_4
Generating output for cell sky130_fd_sc_hd__o21a_2
Generating output for cell sky130_fd_sc_hd__and2_2
Generating output for cell sky130_fd_sc_hd__o31a_2
Generating output for cell sky130_fd_sc_hd__o41a_2
Generating output for cell sky130_fd_sc_hd__a31o_2
Generating output for cell sky130_fd_sc_hd__einvp_1
Generating output for cell sky130_fd_sc_hd__a2bb2o_2
Generating output for cell sky130_fd_sc_hd__a311o_2
Generating output for cell sky130_fd_sc_hd__a21oi_2
Generating output for cell sky130_fd_sc_hd__a22oi_2
Generating output for cell sky130_fd_sc_hd__clkinv_8
Generating output for cell sky130_fd_sc_hd__nor2_2
Generating output for cell digital_pll
Generating output for cell sky130_fd_sc_hd__ebufn_8
Generating output for cell sky130_fd_sc_hd__or2_1
Generating output for cell sky130_fd_sc_hd__dfbbn_1
Generating output for cell sky130_fd_sc_hd__buf_6
Generating output for cell sky130_fd_sc_hd__einvp_8
Generating output for cell gpio_logic_high
Generating output for cell gpio_control_block
Generating output for cell sky130_fd_sc_hd__a221o_1
Generating output for cell sky130_fd_sc_hd__or4bb_1
Generating output for cell sky130_fd_sc_hd__or4b_1
Generating output for cell sky130_fd_sc_hd__or4_1
Generating output for cell sky130_fd_sc_hd__nand4_1
Generating output for cell sky130_fd_sc_hd__nand4bb_1
Generating output for cell sky130_fd_sc_hd__a22o_1
Generating output for cell sky130_fd_sc_hd__or3_1
Generating output for cell sky130_fd_sc_hd__o22a_1
Generating output for cell sky130_fd_sc_hd__o2bb2a_1
Generating output for cell sky130_fd_sc_hd__or3_4
Generating output for cell sky130_fd_sc_hd__mux2_8
Generating output for cell sky130_fd_sc_hd__a22oi_4
Generating output for cell sky130_fd_sc_hd__or3b_1
Generating output for cell sky130_fd_sc_hd__a2bb2o_1
Generating output for cell sky130_fd_sc_hd__and3_1
Generating output for cell sky130_fd_sc_hd__a211o_1
Generating output for cell sky130_fd_sc_hd__a21oi_1
Generating output for cell sky130_fd_sc_hd__or2_4
Generating output for cell sky130_fd_sc_hd__or4bb_4
Generating output for cell sky130_fd_sc_hd__o22ai_1
Generating output for cell sky130_fd_sc_hd__o32a_1
Generating output for cell sky130_fd_sc_hd__o31ai_4
Generating output for cell sky130_fd_sc_hd__or2b_2
Generating output for cell sky130_fd_sc_hd__clkinvlp_2
Generating output for cell sky130_fd_sc_hd__a311o_1
Generating output for cell sky130_fd_sc_hd__and4b_1
Generating output for cell sky130_fd_sc_hd__o221a_1
Generating output for cell sky130_fd_sc_hd__nor3_4
Generating output for cell sky130_fd_sc_hd__or4_4
Generating output for cell sky130_fd_sc_hd__or4b_2
Generating output for cell sky130_fd_sc_hd__nor2_4
Generating output for cell sky130_fd_sc_hd__a31o_1
Generating output for cell sky130_fd_sc_hd__nor4_1
Generating output for cell sky130_fd_sc_hd__a32o_1
Generating output for cell sky130_fd_sc_hd__ebufn_2
Generating output for cell sky130_fd_sc_hd__or3b_2
Generating output for cell sky130_fd_sc_hd__a22oi_1
Generating output for cell sky130_fd_sc_hd__mux2_4
Generating output for cell sky130_fd_sc_hd__a41o_1
Generating output for cell sky130_fd_sc_hd__o22ai_4
Generating output for cell sky130_fd_sc_hd__a41o_2
Generating output for cell sky130_fd_sc_hd__a311oi_1
Generating output for cell sky130_fd_sc_hd__o21ba_1
Generating output for cell sky130_fd_sc_hd__o2111ai_1
Generating output for cell sky130_fd_sc_hd__o311a_1
Generating output for cell sky130_fd_sc_hd__a211o_4
Generating output for cell sky130_fd_sc_hd__o221ai_1
Generating output for cell sky130_fd_sc_hd__o31a_1
Generating output for cell sky130_fd_sc_hd__o22a_4
Generating output for cell sky130_fd_sc_hd__o211ai_1
Generating output for cell sky130_fd_sc_hd__nand3_4
Generating output for cell sky130_fd_sc_hd__o2111ai_4
Generating output for cell sky130_fd_sc_hd__and4_1
Generating output for cell sky130_fd_sc_hd__o2111a_1
Generating output for cell sky130_fd_sc_hd__o221ai_4
Generating output for cell sky130_fd_sc_hd__nor4_2
Generating output for cell sky130_fd_sc_hd__a2111o_2
Generating output for cell sky130_fd_sc_hd__and3_4
Generating output for cell sky130_fd_sc_hd__and4bb_1
Generating output for cell sky130_fd_sc_hd__o211ai_2
Generating output for cell sky130_fd_sc_hd__o2111a_2
Generating output for cell sky130_fd_sc_hd__nand4_4
Generating output for cell sky130_fd_sc_hd__nand4b_4
Generating output for cell sky130_fd_sc_hd__a311oi_2
Generating output for cell sky130_fd_sc_hd__a2111o_1
Generating output for cell sky130_fd_sc_hd__nor3_2
Generating output for cell sky130_fd_sc_hd__nand4_2
Generating output for cell sky130_fd_sc_hd__or4b_4
Generating output for cell sky130_fd_sc_hd__and3b_1
Generating output for cell sky130_fd_sc_hd__o221a_4
Generating output for cell sky130_fd_sc_hd__or3b_4
Generating output for cell sky130_fd_sc_hd__o21ai_4
Generating output for cell sky130_fd_sc_hd__nor2_8
Generating output for cell sky130_fd_sc_hd__a31oi_1
Generating output for cell sky130_fd_sc_hd__clkbuf_8
Generating output for cell sky130_fd_sc_hd__inv_12
Generating output for cell sky130_fd_sc_hd__and2b_1
Generating output for cell sky130_fd_sc_hd__buf_8
Generating output for cell sky130_fd_sc_hd__nand2_8
Generating output for cell sky130_fd_sc_hd__nand2_4
Generating output for cell sky130_fd_sc_hd__buf_4
Generating output for cell sky130_fd_sc_hd__inv_6
Generating output for cell sky130_fd_sc_hd__inv_8
Generating output for cell housekeeping
Generating output for cell user_id_programming
Reading "sky130_fd_sc_hd__decap_3".
Reading "sky130_fd_sc_hd__conb_1".
Reading "sky130_fd_sc_hd__fill_1".
Reading "sky130_fd_sc_hd__decap_8".
Reading "sky130_fd_sc_hd__fill_2".
Reading "sky130_fd_sc_hd__decap_6".
Reading "sky130_fd_sc_hd__tapvpwrvgnd_1".
Reading "sky130_fd_sc_hd__decap_4".
Reading "sky130_fd_sc_hd__decap_12".
Reading "user_id_programming".
Generating output for cell gpio_defaults_block_1803
Generating output for cell simple_por
Reading "sky130_fd_pr__nfet_g5v0d10v5_TGFUGS".
Reading "sky130_fd_pr__nfet_g5v0d10v5_ZK8HQC".
Reading "sky130_fd_pr__pfet_g5v0d10v5_ZEUEFZ".
Reading "sky130_fd_pr__pfet_g5v0d10v5_3YBPVB".
Reading "sky130_fd_pr__nfet_g5v0d10v5_PKVMTM".
Reading "sky130_fd_pr__pfet_g5v0d10v5_YUHPBG".
Reading "sky130_fd_pr__pfet_g5v0d10v5_YEUEBV".
Reading "sky130_fd_pr__pfet_g5v0d10v5_YUHPXE".
Reading "sky130_fd_sc_hvl__schmittbuf_1".
Reading "sky130_fd_sc_hvl__buf_8".
Reading "sky130_fd_sc_hvl__fill_4".
Reading "sky130_fd_sc_hvl__inv_8".
Reading "sky130_fd_pr__res_xhigh_po_0p69_S5N9F3".
Reading "sky130_fd_pr__cap_mim_m3_2_W5U4AW".
Reading "sky130_fd_pr__cap_mim_m3_1_WRT4AW".
Reading "simple_por".
Generating output for cell mgmt_core_wrapper
Reading "sky130_fd_sc_hd__decap_3".
Reading "sky130_fd_sc_hd__tapvpwrvgnd_1".
Reading "sky130_fd_sc_hd__decap_12".
Reading "sky130_fd_sc_hd__decap_6".
Reading "sky130_fd_sc_hd__ebufn_2".
Reading "sky130_fd_sc_hd__decap_4".
Reading "sky130_fd_sc_hd__diode_2".
Reading "sky130_fd_sc_hd__fill_1".
Reading "sky130_fd_sc_hd__fill_2".
Reading "sky130_fd_sc_hd__dfxtp_1".
Reading "sky130_fd_sc_hd__decap_8".
Reading "sky130_fd_sc_hd__mux2_1".
Reading "sky130_fd_sc_hd__mux4_1".
Reading "sky130_fd_sc_hd__inv_1".
Reading "sky130_fd_sc_hd__and2_1".
Reading "sky130_fd_sc_hd__dlclkp_1".
Reading "sky130_fd_sc_hd__conb_1".
Reading "sky130_fd_sc_hd__clkbuf_2".
Reading "sky130_fd_sc_hd__clkbuf_16".
Reading "sky130_fd_sc_hd__and3b_4".
Reading "sky130_fd_sc_hd__and2_2".
Reading "sky130_fd_sc_hd__nor3b_4".
Reading "sky130_fd_sc_hd__clkbuf_1".
Reading "sky130_fd_sc_hd__and4bb_2".
Reading "sky130_fd_sc_hd__nor4b_2".
Reading "sky130_fd_sc_hd__and3_4".
Reading "sky130_fd_sc_hd__and4b_2".
Reading "sky130_fd_sc_hd__and4_2".
Reading "sky130_fd_sc_hd__and2b_2".
Reading "sky130_fd_sc_hd__clkbuf_4".
Reading "DFFRAM".
Reading "sky130_fd_sc_hd__dlygate4sd3_1".
Reading "sky130_fd_sc_hd__buf_8".
Reading "sky130_fd_sc_hd__buf_6".
Reading "sky130_fd_sc_hd__dfxtp_2".
Reading "sky130_fd_sc_hd__a221o_1".
Reading "sky130_fd_sc_hd__buf_2".
Reading "sky130_fd_sc_hd__a22o_1".
Reading "sky130_fd_sc_hd__dfxtp_4".
Reading "sky130_fd_sc_hd__nand3_4".
Reading "sky130_fd_sc_hd__a221o_2".
Reading "sky130_fd_sc_hd__dlymetal6s2s_1".
Reading "sky130_fd_sc_hd__buf_12".
Reading "sky130_fd_sc_hd__a2111o_1".
Reading "sky130_fd_sc_hd__and4_1".
Reading "sky130_fd_sc_hd__a2111o_2".
Reading "sky130_fd_sc_hd__o211a_1".
Reading "sky130_fd_sc_hd__and3_1".
Reading "sky130_fd_sc_hd__inv_2".
Reading "sky130_fd_sc_hd__and2b_1".
Reading "sky130_fd_sc_hd__nand3b_1".
Reading "sky130_fd_sc_hd__a2111oi_2".
Reading "sky130_fd_sc_hd__a22o_2".
Reading "sky130_fd_sc_hd__a221o_4".
Reading "sky130_fd_sc_hd__nor3b_1".
Reading "sky130_fd_sc_hd__nor3_4".
Reading "sky130_fd_sc_hd__clkinv_8".
Reading "sky130_fd_sc_hd__inv_12".
Reading "sky130_fd_sc_hd__and3b_1".
Reading "sky130_fd_sc_hd__nor3b_2".
Reading "sky130_fd_sc_hd__or2_1".
Reading "sky130_fd_sc_hd__buf_4".
Reading "sky130_fd_sc_hd__a211oi_1".
Reading "sky130_fd_sc_hd__inv_6".
Reading "sky130_fd_sc_hd__nand2_1".
Reading "sky130_fd_sc_hd__nand3b_4".
Reading "sky130_fd_sc_hd__nand3_1".
Reading "sky130_fd_sc_hd__inv_16".
Reading "sky130_fd_sc_hd__o2111a_1".
Reading "sky130_fd_sc_hd__a21oi_1".
Reading "sky130_fd_sc_hd__o21ai_4".
Reading "sky130_fd_sc_hd__mux2_2".
Reading "sky130_fd_sc_hd__inv_4".
Reading "sky130_fd_sc_hd__clkinv_2".
Reading "sky130_fd_sc_hd__a21bo_1".
Reading "sky130_fd_sc_hd__o21a_1".
Reading "sky130_fd_sc_hd__a211o_2".
Reading "sky130_fd_sc_hd__or2b_1".
Reading "sky130_fd_sc_hd__a21oi_4".
Reading "sky130_fd_sc_hd__o21ai_1".
Reading "sky130_fd_sc_hd__a21boi_1".
Reading "sky130_fd_sc_hd__nor3_1".
Reading "sky130_fd_sc_hd__nor2_2".
Reading "sky130_fd_sc_hd__a31oi_1".
Reading "sky130_fd_sc_hd__a21o_1".
Reading "sky130_fd_sc_hd__o21a_2".
Reading "sky130_fd_sc_hd__o21bai_1".
Reading "sky130_fd_sc_hd__o211ai_1".
Reading "sky130_fd_sc_hd__o31ai_1".
Reading "sky130_fd_sc_hd__nor2_1".
Reading "sky130_fd_sc_hd__xor2_4".
Reading "sky130_fd_sc_hd__nand3_2".
Reading "sky130_fd_sc_hd__o21ba_1".
Reading "sky130_fd_sc_hd__a41oi_1".
Reading "sky130_fd_sc_hd__nor3_2".
Reading "sky130_fd_sc_hd__o41ai_1".
Reading "sky130_fd_sc_hd__o21bai_2".
Reading "sky130_fd_sc_hd__xnor2_4".
Reading "sky130_fd_sc_hd__clkbuf_8".
Reading "sky130_fd_sc_hd__a41oi_4".
Reading "sky130_fd_sc_hd__xnor2_2".
Reading "sky130_fd_sc_hd__or3b_1".
Reading "sky130_fd_sc_hd__nand2_4".
Reading "sky130_fd_sc_hd__xnor2_1".
Reading "sky130_fd_sc_hd__nand2_8".
Reading "sky130_fd_sc_hd__xor2_1".
Reading "sky130_fd_sc_hd__nor2b_2".
Reading "sky130_fd_sc_hd__o211a_2".
Reading "sky130_fd_sc_hd__o31a_1".
Reading "sky130_fd_sc_hd__mux2_8".
Reading "sky130_fd_sc_hd__mux2_4".
Reading "sky130_fd_sc_hd__a21oi_2".
Reading "sky130_fd_sc_hd__a21o_2".
Reading "sky130_fd_sc_hd__o21bai_4".
Reading "sky130_fd_sc_hd__a211o_1".
Reading "sky130_fd_sc_hd__a311o_1".
Reading "sky130_fd_sc_hd__a22oi_4".
Reading "sky130_fd_sc_hd__o2111a_4".
Reading "sky130_fd_sc_hd__o22a_1".
Reading "sky130_fd_sc_hd__a31o_1".
Reading "sky130_fd_sc_hd__and4_4".
Reading "sky130_fd_sc_hd__a22oi_2".
Reading "sky130_fd_sc_hd__o41a_2".
Reading "sky130_fd_sc_hd__nand2_2".
Reading "sky130_fd_sc_hd__nor2_8".
Reading "sky130_fd_sc_hd__a32oi_2".
Reading "sky130_fd_sc_hd__a221oi_4".
Reading "sky130_fd_sc_hd__nor2b_4".
Reading "sky130_fd_sc_hd__or4_2".
Reading "sky130_fd_sc_hd__a211oi_2".
Reading "sky130_fd_sc_hd__clkinv_4".
Reading "sky130_fd_sc_hd__a2bb2oi_1".
Reading "sky130_fd_sc_hd__a31oi_4".
Reading "sky130_fd_sc_hd__nand3b_2".
Reading "sky130_fd_sc_hd__a21boi_2".
Reading "sky130_fd_sc_hd__nor2_4".
Reading "sky130_fd_sc_hd__o311ai_1".
Reading "sky130_fd_sc_hd__a41oi_2".
Reading "sky130_fd_sc_hd__or3b_4".
Reading "sky130_fd_sc_hd__clkinv_16".
Reading "sky130_fd_sc_hd__o211ai_4".
Reading "sky130_fd_sc_hd__o21ba_4".
Reading "sky130_fd_sc_hd__o2111ai_2".
Reading "sky130_fd_sc_hd__o2111ai_1".
Reading "sky130_fd_sc_hd__a32o_1".
Reading "sky130_fd_sc_hd__a311oi_1".
Reading "sky130_fd_sc_hd__a2111o_4".
Reading "sky130_fd_sc_hd__or4_4".
Reading "sky130_fd_sc_hd__o21ai_2".
Reading "sky130_fd_sc_hd__a2111oi_1".
Reading "sky130_fd_sc_hd__a211oi_4".
Reading "sky130_fd_sc_hd__o311ai_4".
Reading "sky130_fd_sc_hd__a2111oi_4".
Reading "sky130_fd_sc_hd__mux4_2".
Reading "sky130_fd_sc_hd__or2b_4".
Reading "sky130_fd_sc_hd__o21a_4".
Reading "sky130_fd_sc_hd__and4b_4".
Reading "sky130_fd_sc_hd__o2111ai_4".
Reading "sky130_fd_sc_hd__or2_2".
Reading "sky130_fd_sc_hd__o22ai_1".
Reading "sky130_fd_sc_hd__o2bb2ai_1".
Reading "sky130_fd_sc_hd__a32oi_1".
Reading "sky130_fd_sc_hd__inv_8".
Reading "sky130_fd_sc_hd__a21boi_4".
Reading "sky130_fd_sc_hd__or4_1".
Reading "sky130_fd_sc_hd__o32ai_1".
Reading "sky130_fd_sc_hd__a41o_1".
Reading "sky130_fd_sc_hd__xor2_2".
Reading "sky130_fd_sc_hd__o221ai_1".
Reading "sky130_fd_sc_hd__o32a_1".
Reading "sky130_fd_sc_hd__o41a_1".
Reading "sky130_fd_sc_hd__a22oi_1".
Reading "sky130_fd_sc_hd__and3_2".
Reading "sky130_fd_sc_hd__o221a_2".
Reading "sky130_fd_sc_hd__o221a_1".
Reading "sky130_fd_sc_hd__and2_4".
Reading "sky130_fd_sc_hd__or3_1".
Reading "sky130_fd_sc_hd__o22ai_2".
Reading "sky130_fd_sc_hd__a221oi_2".
Reading "sky130_fd_sc_hd__a221oi_1".
Reading "sky130_fd_sc_hd__o211ai_2".
Reading "sky130_fd_sc_hd__o311ai_2".
Reading "sky130_fd_sc_hd__o31ai_4".
Reading "sky130_fd_sc_hd__a311oi_2".
Reading "sky130_fd_sc_hd__a31oi_2".
Reading "sky130_fd_sc_hd__o311a_2".
Reading "sky130_fd_sc_hd__o41ai_4".
Reading "sky130_fd_sc_hd__or3_2".
Reading "sky130_fd_sc_hd__o31a_4".
Reading "sky130_fd_sc_hd__o21ba_2".
Reading "sky130_fd_sc_hd__a311oi_4".
Reading "sky130_fd_sc_hd__and2b_4".
Reading "sky130_fd_sc_hd__o2bb2ai_2".
Reading "sky130_fd_sc_hd__o2bb2ai_4".
Reading "sky130_fd_sc_hd__o22a_2".
Reading "sky130_fd_sc_hd__and4b_1".
Reading "sky130_fd_sc_hd__nand2b_1".
Reading "sky130_fd_sc_hd__o2111a_2".
Reading "sky130_fd_sc_hd__a2bb2oi_4".
Reading "sky130_fd_sc_hd__a2bb2oi_2".
Reading "sky130_fd_sc_hd__dlymetal6s4s_1".
Reading "sky130_fd_sc_hd__or3_4".
Reading "sky130_fd_sc_hd__a22o_4".
Reading "sky130_fd_sc_hd__a32oi_4".
Reading "sky130_fd_sc_hd__o311a_1".
Reading "sky130_fd_sc_hd__a21o_4".
Reading "sky130_fd_sc_hd__or4b_1".
Reading "sky130_fd_sc_hd__a32o_4".
Reading "sky130_fd_sc_hd__and3b_2".
Reading "sky130_fd_sc_hd__o32ai_4".
Reading "sky130_fd_sc_hd__or2b_2".
Reading "sky130_fd_sc_hd__o221ai_2".
Reading "sky130_fd_sc_hd__a31o_4".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_contact_39".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_contact_19".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_contact_14".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_contact_13".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_contact_7".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_contact_38".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_contact_33".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_contact_32".
Reading "sky130_fd_bd_sram__openram_dff".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_row_addr_dff".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_wmask_dff".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_cr_3".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_col_addr_dff".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_data_dff".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_contact_16".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_contact_29".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_contact_28".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_contact_11".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_nmos_m1_w0_360_sli_dli_da_p".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_contact_12".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pmos_m1_w1_120_sli_dli_da_p".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pinv_1".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_nmos_m1_w0_740_sactive_dli".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_nmos_m1_w0_740_sli_dactive".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pmos_m1_w1_120_sli_dli".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pnand2_1".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_nmos_m3_w1_680_sli_dli_da_p".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pmos_m3_w1_680_sli_dli_da_p".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pinv_3".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_nmos_m2_w0_740_sli_dli_da_p".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pmos_m2_w1_260_sli_dli_da_p".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pinv_2".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_dff_buf_0".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_dff_buf_array".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_nmos_m7_w1_680_sli_dli_da_p".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pmos_m7_w2_000_sli_dli_da_p".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pinv_4".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pdriver_1".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pnand2_0".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pand2_1".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_nmos_m24_w2_000_sli_dli_da_p".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pmos_m24_w2_000_sli_dli_da_p".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pinv_14".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_nmos_m8_w2_000_sli_dli_da_p".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pmos_m8_w2_000_sli_dli_da_p".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pinv_13".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_nmos_m3_w2_000_sli_dli_da_p".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pmos_m3_w2_000_sli_dli_da_p".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pinv_12".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pinv_11".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pinv_0".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pdriver_3".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pinv_17".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_delay_chain".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_nmos_m18_w2_000_sli_dli_da_p".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pmos_m18_w2_000_sli_dli_da_p".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pinv_16".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pdriver_5".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_nmos_m1_w0_740_sactive_dactive".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pnand3".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pand3_0".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_nmos_m22_w2_000_sli_dli_da_p".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pmos_m22_w2_000_sli_dli_da_p".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pinv_15".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pdriver_4".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pand3".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_nmos_m42_w2_000_sli_dli_da_p".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pmos_m42_w2_000_sli_dli_da_p".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pinv_10".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_nmos_m15_w2_000_sli_dli_da_p".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pmos_m15_w2_000_sli_dli_da_p".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pinv_9".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_nmos_m5_w2_000_sli_dli_da_p".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pmos_m5_w2_000_sli_dli_da_p".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pinv_8".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_nmos_m2_w1_260_sli_dli_da_p".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pmos_m2_w2_000_sli_dli_da_p".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pinv_7".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pdriver_2".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_control_logic_rw".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_cr_5".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_dff_buf_array_0".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_nmos_m41_w2_000_sli_dli_da_p".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pmos_m41_w2_000_sli_dli_da_p".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pinv_20".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_nmos_m14_w2_000_sli_dli_da_p".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pmos_m14_w2_000_sli_dli_da_p".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pinv_19".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_nmos_m5_w1_680_sli_dli_da_p".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pinv_18".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pdriver_6".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_control_logic_r".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_cr_4".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_contact_20".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_contact_17".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pdriver_0".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pand2_0".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_hierarchical_predecode2x4_0".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_contact_15".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_nmos_m1_w7_000_sli_dli_da_p".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pmos_m1_w7_000_sli_dli_da_p".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pinv_dec_0".
Reading "sky130_fd_bd_sram__openram_dp_nand2_dec".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_and2_dec_0".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_wordline_driver".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_wordline_driver_array".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pinv_dec".
Reading "sky130_fd_bd_sram__openram_dp_nand3_dec".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_and3_dec".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_hierarchical_predecode3x8".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_and2_dec".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_hierarchical_predecode2x4".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_hierarchical_decoder".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_port_address_0".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_port_address".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_contact_27".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_contact_26".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_contact_25".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_contact_24".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_nmos_m1_w2_880_sli_dli".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_column_mux_0".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_column_mux_array_0".
Reading "sky130_fd_bd_sram__openram_sense_amp".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_sense_amp_array".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_contact_23".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_contact_21".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_contact_22".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pmos_m1_w0_550_sli_dli".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_precharge_1".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_precharge_array_0".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_port_data_0".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_column_mux".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_column_mux_array".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pinv".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pdriver".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pnand2".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_pand2".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_write_mask_and_array".
Reading "sky130_fd_bd_sram__openram_write_driver".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_write_driver_array".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_precharge_0".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_precharge_array".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_port_data".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_contact_9".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_contact_8".
Reading "sky130_fd_bd_sram__openram_dp_cell_cap_row".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_row_cap_array_0".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_row_cap_array".
Reading "sky130_fd_bd_sram__openram_dp_cell_cap_col".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_col_cap_array".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_col_cap_array_0".
Reading "sky130_fd_bd_sram__openram_dp_cell_dummy".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_dummy_array".
Reading "sky130_fd_bd_sram__openram_dp_cell_replica".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_replica_column_0".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_replica_column".
Reading "sky130_fd_bd_sram__openram_dp_cell".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_bitcell_array".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_replica_bitcell_array".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8_bank".
Reading "sky130_sram_2kbyte_1rw1r_32x512_8".
Reading "sky130_fd_sc_hd__o32ai_2".
Reading "sky130_fd_sc_hd__a21bo_2".
Reading "sky130_fd_sc_hd__o31ai_2".
Reading "sky130_fd_sc_hd__o41ai_2".
Reading "sky130_fd_sc_hd__o211a_4".
Reading "mgmt_core".
Reading "mgmt_core_wrapper".
Generating output for cell sky130_fd_sc_hd__and2b_2
Generating output for cell sky130_fd_sc_hd__clkinv_16
Generating output for cell sky130_fd_sc_hd__and2_4
Generating output for cell sky130_fd_sc_hd__inv_16
Generating output for cell sky130_fd_sc_hvl__conb_1
Generating output for cell mgmt_protect_hv
Generating output for cell mprj_logic_high
Generating output for cell mprj2_logic_high
Generating output for cell mgmt_protect
Generating output for cell sky130_fd_sc_hd__dfbbp_1
Generating output for cell spare_logic_block
Generating output for cell gpio_defaults_block_0403
Generating output for cell sky130_fd_io__corner_bus_overlay
Generating output for cell sky130_ef_io__corner_pad
Generating output for cell sky130_ef_io__com_bus_slice_20um
Generating output for cell sky130_ef_io__com_bus_slice_5um
Generating output for cell sky130_ef_io__com_bus_slice_1um
Generating output for cell sky130_ef_io__com_bus_slice_10um
Generating output for cell sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um
Generating output for cell sky130_ef_io__hvc_vdda_overlay
Generating output for cell sky130_fd_io__com_bus_slice
Generating output for cell sky130_fd_io__com_bus_hookup
Generating output for cell sky130_fd_io__overlay_vssa_hvc
Generating output for cell sky130_fd_pr__hvdfl1sd__example_5595914180851
Generating output for cell sky130_fd_pr__model__nfet_highvoltage__example_55959141808664
Generating output for cell sky130_fd_io__sio_clamp_pcap_4x5
Generating output for cell sky130_fd_pr__model__nfet_highvoltage__example_55959141808680
Generating output for cell sky130_fd_io__esd_rcclamp_nfetcap
Generating output for cell sky130_fd_pr__dfl1sd__example_55959141808336
Generating output for cell sky130_fd_pr__dfl1sd2__example_55959141808666
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808665
Generating output for cell sky130_fd_pr__dfl1sd__example_55959141808678
Generating output for cell sky130_fd_pr__dfl1sd2__example_55959141808679
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808677
Generating output for cell sky130_fd_pr__via_pol1__example_5595914180839
Generating output for cell sky130_fd_pr__res_bent_po__example_55959141808667
Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808660
Generating output for cell sky130_fd_pr__dfl1__example_55959141808663
Generating output for cell sky130_fd_pr__hvdftpl1s__example_55959141808671
Generating output for cell sky130_fd_pr__hvdftpl1s2__example_55959141808672
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808673
Generating output for cell sky130_fd_pr__res_bent_po__example_55959141808668
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808670
Generating output for cell sky130_fd_pr__dfl1__example_55959141808662
Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808661
Generating output for cell sky130_fd_pr__hvdftpl1s__example_55959141808675
Generating output for cell sky130_fd_pr__hvdftpl1s2__example_55959141808676
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808674
Generating output for cell sky130_fd_pr__via_l1m1__example_559591418084
Generating output for cell sky130_fd_pr__res_bent_po__example_55959141808669
Generating output for cell sky130_fd_pr__gendlring__example_559591418081
Generating output for cell sky130_fd_pr__genrivetdlring__example_559591418082
Generating output for cell sky130_fd_pr__padplhp__example_559591418080
Generating output for cell sky130_fd_io__pad_esd
Generating output for cell sky130_fd_io__com_busses_esd
Generating output for cell sky130_fd_io__top_ground_hvc_wpad
Generating output for cell sky130_ef_io__vssa_hvc_clamped_pad
Generating output for cell sky130_fd_pr__hvdfm1sd2__example_55959141808717
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808716
Generating output for cell sky130_fd_pr__hvdfm1sd2__example_55959141808719
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808718
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808720
Generating output for cell sky130_fd_pr__hvdfm1sd2__example_5595914180890
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808721
Generating output for cell sky130_fd_pr__hvdfm1sd2__example_55959141808243
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808722
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808723
Generating output for cell sky130_fd_io__xres_inv_hysv2
Generating output for cell sky130_fd_pr__res_generic_po__example_5595914180838
Generating output for cell sky130_fd_io__tk_tie_r_out_esd
Generating output for cell sky130_fd_pr__hvdfm1sd2__example_55959141808765
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808764
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808767
Generating output for cell sky130_fd_io__res250_sub_small
Generating output for cell sky130_fd_io__res250only_small
Generating output for cell sky130_fd_io__tk_em1o_cdns_55959141808289
Generating output for cell sky130_fd_pr__via_pol1__example_5595914180833
Generating output for cell sky130_fd_pr__res_bent_po__example_55959141808715
Generating output for cell sky130_fd_pr__res_bent_po__example_5595914180862
Generating output for cell sky130_fd_pr__res_bent_po__example_5595914180863
Generating output for cell sky130_fd_io__tk_em1s_cdns_55959141808288
Generating output for cell sky130_fd_io__tk_em1s_cdns_5595914180859
Generating output for cell sky130_fd_pr__res_generic_po__example_5595914180864
Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808264
Generating output for cell sky130_fd_pr__via_l1m1__example_5595914180858
Generating output for cell sky130_fd_io__com_res_weak_v2
Generating output for cell sky130_fd_pr__dfl1sd__example_5595914180819
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808555
Generating output for cell sky130_fd_pr__via_pol1__example_55959141808147
Generating output for cell sky130_fd_pr__tpl1__example_55959141808148
Generating output for cell sky130_fd_pr__tpl1__example_55959141808149
Generating output for cell sky130_fd_pr__tpl1__example_55959141808150
Generating output for cell sky130_fd_pr__tpl1__example_55959141808151
Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808152
Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808153
Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808154
Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808155
Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808156
Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808157
Generating output for cell sky130_fd_pr__dfl1__example_55959141808158
Generating output for cell sky130_fd_io__signal_5_sym_hv_local_5term
Generating output for cell sky130_fd_pr__via_pol1__example_55959141808274
Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808400
Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808128
Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808372
Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808326
Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808290
Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808127
Generating output for cell sky130_fd_pr__via_l1m1__example_5595914180897
Generating output for cell sky130_fd_pr__via_m1m2__example_55959141808551
Generating output for cell sky130_fd_pr__via_m1m2__example_55959141808552
Generating output for cell sky130_fd_pr__via_m1m2__example_55959141808350
Generating output for cell sky130_fd_pr__via_m1m2__example_55959141808260
Generating output for cell sky130_fd_pr__via_m1m2__example_55959141808402
Generating output for cell sky130_fd_pr__via_m1m2__example_55959141808724
Generating output for cell sky130_fd_pr__via_m1m2__example_55959141808259
Generating output for cell sky130_fd_pr__via_m1m2__example_55959141808261
Generating output for cell sky130_fd_pr__via_m1m2__example_55959141808553
Generating output for cell sky130_fd_pr__via_m1m2__example_55959141808725
Generating output for cell sky130_fd_pr__via_m1m2__example_55959141808554
Generating output for cell sky130_fd_pr__via_m1m2__example_55959141808726
Generating output for cell sky130_fd_pr__via_m1m2__example_55959141808727
Generating output for cell sky130_fd_pr__via_m1m2__example_55959141808728
Generating output for cell sky130_fd_io__gpio_buf_localesdv2
Generating output for cell sky130_fd_pr__hvdfm1sd__example_5595914180848
Generating output for cell sky130_fd_pr__hvdfm1sd2__example_5595914180849
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808766
Generating output for cell sky130_fd_pr__hvdfl1sd__example_55959141808370
Generating output for cell sky130_fd_pr__model__nfet_highvoltage__example_55959141808369
Generating output for cell sky130_fd_pr__hvdfl1sd__example_55959141808137
Generating output for cell sky130_fd_pr__dfl1sd__example_559591418086
Generating output for cell sky130_fd_pr__model__pfet_highvoltage__example_55959141808371
Generating output for cell sky130_fd_pr__via_pol1__example_559591418083
Generating output for cell sky130_fd_io__hvsbt_inv_x1
Generating output for cell sky130_fd_pr__hvdfl1sd2__example_55959141808385
Generating output for cell sky130_fd_pr__dfl1sd__example_559591418088
Generating output for cell sky130_fd_pr__model__nfet_highvoltage__example_55959141808615
Generating output for cell sky130_fd_pr__hvdfl1sd2__example_55959141808316
Generating output for cell sky130_fd_pr__model__pfet_highvoltage__example_55959141808616
Generating output for cell sky130_fd_pr__via_pol1__example_55959141808612
Generating output for cell sky130_fd_io__hvsbt_inv_x4
Generating output for cell sky130_fd_pr__model__nfet_highvoltage__example_55959141808422
Generating output for cell sky130_fd_pr__model__pfet_highvoltage__example_55959141808421
Generating output for cell sky130_fd_io__hvsbt_inv_x2
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808657
Generating output for cell sky130_fd_pr__hvdftpm1s2__example_55959141808659
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808658
Generating output for cell sky130_fd_pr__hvdfl1sd2__example_55959141808202
Generating output for cell sky130_fd_pr__via_l1m1_centered__example_5595914180811
Generating output for cell sky130_fd_pr__via_l1m1_centered__example_5595914180812
Generating output for cell sky130_fd_pr__via_l1m1_centered__example_559591418084
Generating output for cell sky130_fd_pr__via_l1m1_centered__example_559591418086
Generating output for cell sky130_fd_io__pfet_con_diff_wo_abt_270_xres4v2
Generating output for cell sky130_fd_io__tk_em2o_cdns_55959141808653
Generating output for cell sky130_fd_io__tk_em2s_cdns_55959141808652
Generating output for cell sky130_fd_pr__via_pol1_centered__example_559591418081
Generating output for cell sky130_fd_io__gpio_pudrvr_strong_axres4v2
Generating output for cell sky130_fd_pr__via_m2m3__example_55959141808714
Generating output for cell sky130_fd_io__xres_p_em1c_cdns_55959141808753
Generating output for cell sky130_fd_pr__dfl1__example_55959141808187
Generating output for cell sky130_fd_pr__res_generic_nd__example_55959141808754
Generating output for cell sky130_fd_pr__res_generic_nd__example_55959141808755
Generating output for cell sky130_fd_io__xres_tk_p_em1o_cdns_55959141808756
Generating output for cell sky130_fd_io__xres_tk_p_em1o_cdns_55959141808757
Generating output for cell sky130_fd_io__xres_tk_p_em1o_cdns_55959141808758
Generating output for cell sky130_fd_io__xres_tk_p_em1c_cdns_55959141808759
Generating output for cell sky130_fd_io__xres_tk_p_em1c_cdns_55959141808760
Generating output for cell sky130_fd_io__xres_tk_p_em1c_cdns_55959141808761
Generating output for cell sky130_fd_pr__hvdfl1sd__example_55959141808700
Generating output for cell sky130_fd_pr__hvdfl1sd2__example_55959141808559
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808762
Generating output for cell sky130_fd_pr__hvdfl1sd__example_55959141808338
Generating output for cell sky130_fd_pr__hvdfl1sd2__example_55959141808337
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808763
Generating output for cell sky130_fd_pr__dfl1__example_55959141808729
Generating output for cell sky130_fd_pr__via_m1m2__example_55959141808730
Generating output for cell sky130_fd_pr__via_m1m2__example_55959141808731
Generating output for cell sky130_fd_pr__via_m1m2__example_55959141808732
Generating output for cell sky130_fd_pr__via_m1m2__example_55959141808733
Generating output for cell sky130_fd_pr__via_m1m2__example_55959141808734
Generating output for cell sky130_fd_pr__via_m1m2__example_55959141808735
Generating output for cell sky130_fd_pr__via_m1m2__example_55959141808736
Generating output for cell sky130_fd_pr__via_m1m2__example_55959141808737
Generating output for cell sky130_fd_pr__via_m1m2__example_55959141808738
Generating output for cell sky130_fd_pr__via_m1m2__example_55959141808739
Generating output for cell sky130_fd_pr__via_m1m2__example_55959141808740
Generating output for cell sky130_fd_pr__via_pol1__example_55959141808273
Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808741
Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808742
Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808743
Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808744
Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808324
Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808745
Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808746
Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808747
Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808748
Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808749
Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808270
Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808750
Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808751
Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808752
Generating output for cell sky130_fd_io__xres2v2_rcfilter_lpfv2
Generating output for cell sky130_fd_io__tk_em1o_cdns_5595914180860
Generating output for cell sky130_fd_pr__res_bent_po__example_5595914180861
Generating output for cell sky130_fd_io__com_res_weak_bentbigres
Generating output for cell sky130_fd_io__com_res_weak
Generating output for cell sky130_fd_pr__via_l1m1__example_5595914180857
Generating output for cell sky130_fd_pr__hvdftpl1s__example_55959141808646
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808645
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808647
Generating output for cell sky130_fd_pr__hvdftpm1s2__example_55959141808649
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808648
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808650
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808651
Generating output for cell sky130_fd_pr__hvdfl1sd2__example_55959141808378
Generating output for cell sky130_fd_io__nfet_con_diff_wo_abt_270_xres4v2
Generating output for cell sky130_fd_io__gpio_pddrvr_strong_xres4v2
Generating output for cell sky130_fd_io__top_gpio_pad
Generating output for cell sky130_fd_io__com_busses
Generating output for cell sky130_fd_io__tap_1
Generating output for cell sky130_fd_io__inv_1
Generating output for cell sky130_fd_pr__res_bent_po__example_55959141808768
Generating output for cell sky130_fd_pr__res_bent_nd__example_55959141808769
Generating output for cell sky130_fd_pr__pfet_01v8__example_559591418085
Generating output for cell sky130_fd_pr__nfet_01v8__example_559591418087
Generating output for cell sky130_fd_pr__nfet_01v8__example_559591418089
Generating output for cell sky130_fd_io__hvsbt_nand2
Generating output for cell sky130_fd_pr__hvdfm1sd2__example_5595914180827
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808770
Generating output for cell sky130_fd_pr__hvdfm1sd__example_55959141808233
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808771
Generating output for cell sky130_fd_pr__hvdfm1sd__example_55959141808237
Generating output for cell sky130_fd_pr__hvdfm1sd2__example_5595914180829
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808772
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808773
Generating output for cell sky130_fd_pr__hvdfm1sd__example_55959141808242
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808774
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808775
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808776
Generating output for cell sky130_fd_pr__hvdfm1sd__example_5595914180835
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808777
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808778
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808779
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808780
Generating output for cell sky130_fd_pr__hvdfm1sd__example_55959141808782
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808781
Generating output for cell sky130_fd_pr__hvdfm1sd__example_55959141808452
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808783
Generating output for cell sky130_fd_pr__hvdfm1sd2__example_55959141808449
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808784
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808785
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808786
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808787
Generating output for cell sky130_fd_io__xres4v2_in_buf
Generating output for cell sky130_fd_io__top_xres4v2
Generating output for cell sky130_fd_io__com_bus_slice_m4
Generating output for cell sky130_fd_io__overlay_gpiov2_m4
Generating output for cell sky130_fd_io__overlay_gpiov2
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808558
Generating output for cell sky130_fd_pr__hvdfm1sd2__example_55959141808251
Generating output for cell sky130_fd_pr__dfm1sd2__example_55959141808561
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808560
Generating output for cell sky130_fd_pr__hvdfm1sd2__example_55959141808563
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808562
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808564
Generating output for cell sky130_fd_io__amux_switch_1v2b
Generating output for cell sky130_fd_pr__dfl1sd__example_5595914180868
Generating output for cell sky130_fd_pr__dfl1sd2__example_5595914180869
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808565
Generating output for cell sky130_fd_pr__dfl1sd2__example_5595914180875
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808566
Generating output for cell sky130_fd_io__gpiov2_amx_pucsd_inv
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808567
Generating output for cell sky130_fd_pr__hvdfl1sd__example_55959141808122
Generating output for cell sky130_fd_pr__dfl1sd__example_55959141808123
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808477
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808568
Generating output for cell sky130_fd_pr__dfl1sd2__example_5595914180884
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808569
Generating output for cell sky130_fd_pr__hvdfl1sd__example_55959141808100
Generating output for cell sky130_fd_pr__dfl1sd__example_5595914180815
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808570
Generating output for cell sky130_fd_io__gpiov2_amux_drvr_lshv2hv2
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808571
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808441
Generating output for cell sky130_fd_io__gpiov2_amx_inv4
Generating output for cell sky130_fd_io__gpiov2_amux_drvr_lshv2hv
Generating output for cell sky130_fd_pr__dfl1sd__example_5595914180823
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808572
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808573
Generating output for cell sky130_fd_pr__dfl1sd__example_55959141808106
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808574
Generating output for cell sky130_fd_pr__dfl1sd__example_55959141808510
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808575
Generating output for cell sky130_fd_io__amx_inv1
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808576
Generating output for cell sky130_fd_pr__dfl1sd__example_55959141808504
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808577
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808578
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808579
Generating output for cell sky130_fd_pr__hvdfm1sd__example_55959141808581
Generating output for cell sky130_fd_pr__hvdfl1sd__example_55959141808418
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808580
Generating output for cell sky130_fd_pr__hvdfl1sd2__example_55959141808140
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808496
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808497
Generating output for cell sky130_fd_pr__hvdfl1sd__example_55959141808278
Generating output for cell sky130_fd_pr__hvdfl1sd2__example_55959141808462
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808582
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808583
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808498
Generating output for cell sky130_fd_io__gpiov2_amux_drvr_ls
Generating output for cell sky130_fd_io__gpiov2_amux_drvr
Generating output for cell sky130_fd_io__xor2_1
Generating output for cell sky130_fd_io__nand2_1
Generating output for cell sky130_fd_io__nor2_1
Generating output for cell sky130_fd_pr__model__nfet_highvoltage__example_55959141808419
Generating output for cell sky130_fd_pr__model__pfet_highvoltage__example_55959141808420
Generating output for cell sky130_fd_io__hvsbt_nor
Generating output for cell sky130_fd_pr__hvdfl1sd__example_5595914180894
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808584
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808455
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808445
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808447
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808248
Generating output for cell sky130_fd_pr__hvdfm1sd__example_55959141808200
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808457
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808450
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808451
Generating output for cell sky130_fd_io__gpiov2_amux_nand5
Generating output for cell sky130_fd_pr__dfm1sd__example_55959141808258
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808585
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808446
Generating output for cell sky130_fd_io__gpiov2_amux_nand4
Generating output for cell sky130_fd_io__gpiov2_amux_decoder
Generating output for cell sky130_fd_pr__model__nfet_highvoltage__example_55959141808586
Generating output for cell sky130_fd_pr__model__nfet_highvoltage__example_55959141808587
Generating output for cell sky130_fd_pr__hvdfl1sd__example_55959141808476
Generating output for cell sky130_fd_pr__model__pfet_highvoltage__example_55959141808588
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808475
Generating output for cell sky130_fd_pr__hvdfl1sd__example_55959141808115
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808589
Generating output for cell sky130_fd_io__gpiov2_amux_ctl_lshv2hv2
Generating output for cell sky130_fd_io__gpiov2_amux_ctl_lshv2hv
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808460
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808590
Generating output for cell sky130_fd_pr__dfl1sd2__example_5595914180816
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808463
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808464
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808465
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808466
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808467
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808468
Generating output for cell sky130_fd_io__gpiov2_amux_ctl_ls
Generating output for cell sky130_fd_io__gpiov2_amux_ctl_inv_1
Generating output for cell sky130_fd_io__gpiov2_amux_ls
Generating output for cell sky130_fd_io__gpiov2_amux_ctl_logic
Generating output for cell sky130_fd_pr__hvdfl1sd2__example_55959141808178
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808591
Generating output for cell sky130_fd_pr__hvdfl1sd2__example_55959141808488
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808592
Generating output for cell sky130_fd_pr__hvdfl1sd2__example_55959141808306
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808593
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808594
Generating output for cell sky130_fd_io__res75only_small
Generating output for cell sky130_fd_io__gpiov2_amux
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808608
Generating output for cell sky130_fd_pr__dfl1sd__example_55959141808517
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808533
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808230
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808529
Generating output for cell sky130_fd_pr__dfl1sd2__example_55959141808518
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808609
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808604
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808610
Generating output for cell sky130_fd_pr__dfl1sd__example_5595914180811
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808549
Generating output for cell sky130_fd_pr__dfl1sd__example_55959141808190
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808548
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808611
Generating output for cell sky130_fd_pr__dfl1sd2__example_55959141808191
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808189
Generating output for cell sky130_fd_io__gpiov2_in_buf
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808481
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808537
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808600
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808528
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808550
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808607
Generating output for cell sky130_fd_io__gpiov2_ipath_hvls
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808601
Generating output for cell sky130_fd_pr__nfet_01v8__example_5595914180825
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808602
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808603
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808527
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808535
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808540
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808598
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808605
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808596
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808606
Generating output for cell sky130_fd_io__gpiov2_vcchib_in_buf
Generating output for cell sky130_fd_pr__dfl1sd2__example_5595914180812
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808597
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808599
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808546
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808547
Generating output for cell sky130_fd_io__gpiov2_ipath_lvls
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808595
Generating output for cell sky130_fd_io__gpiov2_inbuf_lvinv_x1
Generating output for cell sky130_fd_io__gpiov2_ibuf_se
Generating output for cell sky130_fd_io__gpiov2_buf_localesd
Generating output for cell sky130_fd_io__hvsbt_nand2v2
Generating output for cell sky130_fd_io__gpiov2_ictl_logic
Generating output for cell sky130_fd_io__gpiov2_ipath
Generating output for cell sky130_fd_pr__model__nfet_highvoltage__example_55959141808613
Generating output for cell sky130_fd_pr__model__pfet_highvoltage__example_55959141808614
Generating output for cell sky130_fd_io__hvsbt_inv_x8v2
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808423
Generating output for cell sky130_fd_pr__hvdfl1sd__example_55959141808280
Generating output for cell sky130_fd_pr__hvdfl1sd2__example_55959141808425
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808424
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808426
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808379
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808380
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808382
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808383
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808427
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808428
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808429
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808430
Generating output for cell sky130_fd_pr__hvdfl1sd__example_55959141808102
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808431
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808432
Generating output for cell sky130_fd_pr__hvdfl1sd__example_55959141808434
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808433
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808435
Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808440
Generating output for cell sky130_fd_pr__via_l1m1__example_5595914180878
Generating output for cell sky130_fd_pr__via_pol1__example_55959141808394
Generating output for cell sky130_fd_pr__via_pol1__example_55959141808298
Generating output for cell sky130_fd_io__com_ctl_ls
Generating output for cell sky130_fd_io__hvsbt_inv_x8
Generating output for cell sky130_fd_io__com_ctl_hldv2
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808617
Generating output for cell sky130_fd_io__com_ctl_ls_en_1_v2
Generating output for cell sky130_fd_io__com_ctl_ls_v2
Generating output for cell sky130_fd_io__tk_em2o_cdns_55959141808439
Generating output for cell sky130_fd_io__tk_em2s_cdns_55959141808438
Generating output for cell sky130_fd_io__com_ctl_ls_1v2
Generating output for cell sky130_fd_pr__pfet_01v8__example_5595914180813
Generating output for cell sky130_fd_pr__pfet_01v8__example_5595914180822
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808618
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808619
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808620
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808621
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808622
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808623
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808116
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808624
Generating output for cell sky130_fd_io__com_ctl_lsv2
Generating output for cell sky130_fd_io__tk_em1o_cdns_5595914180880
Generating output for cell sky130_fd_io__tk_em1o_cdns_5595914180879
Generating output for cell sky130_fd_io__tk_em1s_cdns_5595914180882
Generating output for cell sky130_fd_io__tk_em1s_cdns_5595914180881
Generating output for cell sky130_fd_io__gpiov2_ctl_lsbank
Generating output for cell sky130_fd_io__gpiov2_ctl
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808375
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808376
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808377
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808381
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808384
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808386
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808387
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808388
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808389
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808390
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808391
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808392
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808393
Generating output for cell sky130_fd_pr__via_pol1__example_55959141808373
Generating output for cell sky130_fd_pr__via_pol1__example_55959141808272
Generating output for cell sky130_fd_pr__via_m1m2__example_55959141808271
Generating output for cell sky130_fd_pr__tpl1__example_55959141808374
Generating output for cell sky130_fd_io__gpio_dat_ls_1v2
Generating output for cell sky130_fd_io__gpio_dat_lsv2
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808360
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808403
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808362
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808404
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808405
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808406
Generating output for cell sky130_fd_pr__hvdfl1sd__example_55959141808194
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808346
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808407
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808408
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808409
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808410
Generating output for cell sky130_fd_pr__via_pol1__example_55959141808294
Generating output for cell sky130_fd_pr__via_pol1__example_55959141808322
Generating output for cell sky130_fd_pr__via_pol1__example_55959141808395
Generating output for cell sky130_fd_pr__via_pol1__example_55959141808396
Generating output for cell sky130_fd_pr__via_pol1__example_55959141808397
Generating output for cell sky130_fd_pr__via_pol1__example_55959141808295
Generating output for cell sky130_fd_pr__via_pol1__example_55959141808398
Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808399
Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808325
Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808292
Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808269
Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808401
Generating output for cell sky130_fd_io__com_cclat
Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808368
Generating output for cell sky130_fd_pr__tpl1__example_55959141808625
Generating output for cell sky130_fd_io__com_opath_datoev2
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808416
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808417
Generating output for cell sky130_fd_io__hvsbt_xor
Generating output for cell sky130_fd_io__hvsbt_xorv2
Generating output for cell sky130_fd_io__com_ctl_ls_octl
Generating output for cell sky130_fd_io__gpiov2_octl
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808354
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808626
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808627
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808628
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808629
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808630
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808631
Generating output for cell sky130_fd_io__gpiov2_pdpredrvr_strong_nr2
Generating output for cell sky130_fd_pr__dfl1sd2__example_55959141808633
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808632
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808634
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808635
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808636
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808637
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808638
Generating output for cell sky130_fd_io__gpiov2_pdpredrvr_strong_nr3
Generating output for cell sky130_fd_io__tk_em1o_cdns_55959141808327
Generating output for cell sky130_fd_io__tk_em1o_cdns_55959141808328
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808329
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808330
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808304
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808331
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808134
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808332
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808333
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808334
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808343
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808344
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808345
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808347
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808348
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808639
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808640
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808641
Generating output for cell sky130_fd_pr__via_pol1__example_55959141808320
Generating output for cell sky130_fd_pr__via_pol1__example_55959141808321
Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808323
Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808291
Generating output for cell sky130_fd_io__com_pdpredrvr_pbiasv2
Generating output for cell sky130_fd_pr__nfet_01v8__example_5595914180888
Generating output for cell sky130_fd_io__gpiov2_octl_mux
Generating output for cell sky130_fd_pr__model__pfet_highvoltage__example_55959141808642
Generating output for cell sky130_fd_pr__model__pfet_highvoltage__example_55959141808141
Generating output for cell sky130_fd_pr__model__pfet_highvoltage__example_55959141808184
Generating output for cell sky130_fd_pr__model__nfet_highvoltage__example_55959141808643
Generating output for cell sky130_fd_pr__model__nfet_highvoltage__example_55959141808183
Generating output for cell sky130_fd_pr__model__nfet_highvoltage__example_55959141808139
Generating output for cell sky130_fd_io__gpiov2_pdpredrvr_strong
Generating output for cell sky130_fd_pr__hvdfl1sd2__example_55959141808143
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808364
Generating output for cell sky130_fd_io__com_pdpredrvr_strong_slowv2
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808363
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808314
Generating output for cell sky130_fd_pr__via_pol1__example_5595914180854
Generating output for cell sky130_fd_io__com_pupredrvr_strong_slowv2
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808644
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808281
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808282
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808287
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808283
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808284
Generating output for cell sky130_fd_pr__res_generic_po__example_55959141808285
Generating output for cell sky130_fd_pr__res_generic_po__example_55959141808286
Generating output for cell sky130_fd_pr__via_pol1__example_55959141808275
Generating output for cell sky130_fd_pr__via_m1m2__example_55959141808276
Generating output for cell sky130_fd_io__gpiov2_pupredrvr_strong_nd2_a
Generating output for cell sky130_fd_io__gpiov2_pupredrvr_strong_nd2
Generating output for cell sky130_fd_io__tk_em1s_cdns_55959141808301
Generating output for cell sky130_fd_io__tk_em1o_cdns_55959141808302
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808303
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808305
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808307
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808308
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808309
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808310
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808311
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808312
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808313
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808315
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808317
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808318
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808319
Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808293
Generating output for cell sky130_fd_pr__via_pol1__example_55959141808296
Generating output for cell sky130_fd_pr__via_pol1__example_55959141808297
Generating output for cell sky130_fd_pr__tpl1__example_55959141808299
Generating output for cell sky130_fd_pr__tpl1__example_55959141808300
Generating output for cell sky130_fd_io__feascom_pupredrvr_nbiasv2
Generating output for cell sky130_fd_pr__model__nfet_highvoltage__example_55959141808144
Generating output for cell sky130_fd_pr__model__nfet_highvoltage__example_5595914180899
Generating output for cell sky130_fd_pr__model__pfet_highvoltage__example_55959141808142
Generating output for cell sky130_fd_pr__model__pfet_highvoltage__example_55959141808101
Generating output for cell sky130_fd_io__gpio_pupredrvr_strongv2
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808365
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808366
Generating output for cell sky130_fd_io__com_pdpredrvr_weakv2
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808361
Generating output for cell sky130_fd_io__feas_com_pupredrvr_weak
Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808266
Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808267
Generating output for cell sky130_fd_io__gpiov2_obpredrvr
Generating output for cell sky130_fd_io__gpiov2_octl_dat
Generating output for cell sky130_fd_pr__via_l1m1_centered__example_559591418082
Generating output for cell sky130_fd_pr__via_l1m1_centered__example_559591418083
Generating output for cell sky130_fd_io__nfet_con_diff_wo_abt_270v2
Generating output for cell sky130_fd_io__gpiov2_pddrvr_strong
Generating output for cell sky130_fd_pr__hvdfm1sd__example_55959141808655
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808654
Generating output for cell sky130_fd_io__com_pudrvr_strong_slowv2
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808656
Generating output for cell sky130_fd_io__com_pudrvr_weakv2
Generating output for cell sky130_fd_pr__nfet_01v8__example_5595914180850
Generating output for cell sky130_fd_io__gpio_pddrvr_weakv2
Generating output for cell sky130_fd_pr__via_l1m1_centered__example_559591418085
Generating output for cell sky130_fd_io__pfet_con_diff_wo_abt_270v2
Generating output for cell sky130_fd_io__gpio_pudrvr_strongv2
Generating output for cell sky130_fd_io__gpio_pddrvr_strong_slowv2
Generating output for cell sky130_fd_io__tk_em1s_cdns_5595914180852
Generating output for cell sky130_fd_pr__res_generic_po__example_5595914180853
Generating output for cell sky130_fd_pr__res_generic_po__example_5595914180855
Generating output for cell sky130_fd_pr__res_generic_po__example_5595914180856
Generating output for cell sky130_fd_pr__via_l1m1__example_5595914180832
Generating output for cell sky130_fd_pr__via_pol1_centered__example_559591418080
Generating output for cell sky130_fd_io__gpio_odrvr_subv2
Generating output for cell sky130_fd_io__gpio_odrvrv2
Generating output for cell sky130_fd_io__gpio_opathv2
Generating output for cell sky130_fd_io__top_gpiov2
Generating output for cell sky130_ef_io__gpiov2_pad
Generating output for cell sky130_ef_io__gpiov2_pad_wrapped
Generating output for cell sky130_ef_io__lvc_vccdx_overlay
Generating output for cell sky130_fd_io__overlay_vssd_lvc
Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808683
Generating output for cell sky130_fd_io__gnd2gnd_strap
Generating output for cell sky130_fd_io__gnd2gnd_tap
Generating output for cell sky130_fd_io__gnd2gnd_diff
Generating output for cell sky130_fd_pr__via_l1m1__example_55959141808684
Generating output for cell sky130_fd_pr__tpl1__example_55959141808685
Generating output for cell sky130_fd_pr__tpl1__example_55959141808686
Generating output for cell sky130_fd_io__gnd2gnd_sub_dnwl
Generating output for cell sky130_fd_io__gnd2gnd_120x2_lv_isosub
Generating output for cell sky130_fd_pr__pfet_01v8__example_55959141808687
Generating output for cell sky130_fd_pr__res_bent_po__example_55959141808691
Generating output for cell sky130_fd_pr__res_bent_po__example_55959141808690
Generating output for cell sky130_fd_pr__dftpl1s2__example_55959141808702
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808705
Generating output for cell sky130_fd_pr__dfl1__example_55959141808682
Generating output for cell sky130_fd_pr__dftpl1s2__example_55959141808694
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808693
Generating output for cell sky130_fd_pr__dfl1__example_55959141808681
Generating output for cell sky130_fd_pr__res_bent_po__example_55959141808688
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808696
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808697
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808698
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808699
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808695
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808704
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808703
Generating output for cell sky130_fd_pr__nfet_01v8__example_55959141808701
Generating output for cell sky130_fd_pr__res_bent_po__example_55959141808692
Generating output for cell sky130_fd_pr__res_bent_po__example_55959141808689
Generating output for cell sky130_fd_io__top_ground_lvc_wpad
Generating output for cell sky130_ef_io__vssd_lvc_clamped_pad
Generating output for cell sky130_ef_io__hvc_vssio_overlay
Generating output for cell sky130_fd_io__overlay_vssio_hvc
Generating output for cell sky130_ef_io__vssio_hvc_clamped_pad
Generating output for cell sky130_fd_io__overlay_vdda_hvc
Generating output for cell sky130_fd_io__hvc_clampv2
Generating output for cell sky130_fd_io__top_power_hvc_wpadv2
Generating output for cell sky130_ef_io__vdda_hvc_clamped_pad
Generating output for cell sky130_fd_io__overlay_vccd_lvc
Generating output for cell sky130_fd_io__top_power_lvc_wpad
Generating output for cell sky130_ef_io__vccd_lvc_clamped_pad
Generating output for cell sky130_ef_io__disconnect_vdda_slice_5um
Generating output for cell sky130_ef_io__hvc_vddio_overlay
Generating output for cell sky130_fd_io__overlay_vddio_hvc
Generating output for cell sky130_ef_io__vddio_hvc_clamped_pad
Generating output for cell sky130_ef_io__lvc_vccdy_overlay
Generating output for cell sky130_ef_io__vssd_lvc_clamped3_pad
Generating output for cell sky130_ef_io__vccd_lvc_clamped3_pad
Generating output for cell sky130_fd_io__simple_pad_and_busses
Generating output for cell sky130_ef_io__analog_pad
Generating output for cell sky130_ef_io__top_power_hvc
Generating output for cell chip_io_alt
Generating output for cell gpio_control_power_routing_right
Generating output for cell gpio_control_power_routing
Generating output for cell caravan_power_routing
Generating output for cell user_analog_project_wrapper
Reading "char".
Reading "inv".
Reading "ringosc".
Reading "indVCO".
Reading "user_analog_project_wrapper".
Generating output for cell caravan
make[1]: Leaving directory `/mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip'
Make truck completed.
make truck complete: /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip;
-------------------------------------------------------------------------------------------
{{ STEP 2 }} make truck completed for mpw-two, slot-006 : opencryo_testchip
-------------------------------------------------------------------------------------------
okfatal: ambiguous argument 'main': unknown revision or path not in the working tree.
Use '--' to separate paths from revisions, like this:
'git <command> [<revision>...] -- [<file>...]'
USER_ID is set to 00020006
PROJECT is set to caravan
All files are uncompressed!
Running generate_fill in the foreground...
make -f /mnt/shuttles/shuttle/mpw-two/caravel/Makefile __generate_fill
make[1]: Entering directory `/mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip'
python3 /mnt/shuttles/shuttle/mpw-two/caravel/scripts/generate_fill.py 00020006 caravan /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip -dist 2>&1 | tee ./signoff/build/generate_fill.out
This script will generate files caravel_00020006_fill_pattern_x_y.gds
Now generating fill patterns. This may take. . . quite. . . a while.
Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
Starting magic under Tcl interpreter
Using the terminal as the console.
Using NULL graphics device.
site.pre: In custom site.pre...
site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
Processing system .magicrc file
site.def: In custom site.def...
Sourcing design .magicrc for technology sky130A ...
2 Magic internal units = 1 Lambda
Input style sky130(vendor): scaleFactor=2, multiplier=2
Scaled tech values by 2 / 1 to match internal grid scaling
Loading sky130A Device Generator Menu ...
Loading "/mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/generate_fill.tcl" from command line.
Started: 12/27/2021 07:48:08
Warning: Calma reading is not undoable! I hope that's OK.
Library written using GDS-II Release 3.0
Library name: caravan
Reading "sky130_fd_sc_hvl__decap_8".
Reading "sky130_fd_sc_hvl__diode_2".
Reading "sky130_fd_sc_hvl__decap_4".
Reading "sky130_fd_sc_hvl__fill_2".
Reading "sky130_fd_sc_hvl__fill_1".
Reading "sky130_fd_sc_hvl__lsbufhv2lv_1".
Reading "xres_buf".
Reading "open_source".
Reading "font_22".
Reading "font_64".
Reading "font_61".
Reading "font_6F".
Reading "font_52".
Reading "font_6E".
Reading "font_65".
Reading "font_70".
Reading "font_4F".
Reading "font_68".
Reading "font_54".
Reading "font_67".
Reading "font_69".
Reading "font_76".
Reading "font_72".
Reading "font_44".
Reading "caravan_motto".
Reading "caravan_logo".
Reading "font_73".
Reading "font_6C".
Reading "font_62".
Reading "font_66".
Reading "font_29".
Reading "font_43".
Reading "font_20".
Reading "font_28".
Reading "font_56".
Reading "font_32".
Reading "font_2D".
Reading "font_4B".
Reading "font_50".
Reading "font_74".
Reading "font_57".
Reading "font_6B".
Reading "font_79".
Reading "font_53".
Reading "font_47".
Reading "font_31".
Reading "font_30".
Reading "font_6D".
Reading "font_4E".
Reading "copyright_block_a".
Reading "alpha_2".
Reading "alpha_6".
Reading "alpha_0".
Reading "user_id_textblock".
Reading "sky130_fd_sc_hd__xor2_1".
Reading "sky130_fd_sc_hd__nand3_1".
Reading "sky130_fd_sc_hd__nor3b_2".
Reading "sky130_fd_sc_hd__xnor2_1".
Reading "sky130_fd_sc_hd__nor3b_1".
Reading "sky130_fd_sc_hd__dlygate4sd1_1".
Reading "sky130_fd_sc_hd__dfstp_4".
Reading "sky130_fd_sc_hd__dfstp_2".
Reading "sky130_fd_sc_hd__dfrtn_1".
Reading "sky130_fd_sc_hd__o211a_1".
Reading "sky130_fd_sc_hd__o211ai_4".
Reading "sky130_fd_sc_hd__a21o_1".
Reading "sky130_fd_sc_hd__o21bai_1".
Reading "sky130_fd_sc_hd__nand3b_1".
Reading "sky130_fd_sc_hd__o21ai_1".
Reading "sky130_fd_sc_hd__nor3_1".
Reading "sky130_fd_sc_hd__a21bo_1".
Reading "sky130_fd_sc_hd__nor2_1".
Reading "sky130_fd_sc_hd__o21a_1".
Reading "sky130_fd_sc_hd__dfxtp_1".
Reading "sky130_fd_sc_hd__dfrtp_4".
Reading "sky130_fd_sc_hd__dfstp_1".
Reading "sky130_fd_sc_hd__o2bb2ai_2".
Reading "sky130_fd_sc_hd__dfrtp_2".
Reading "sky130_fd_sc_hd__mux2_1".
Reading "sky130_fd_sc_hd__dfrtp_1".
Reading "sky130_fd_sc_hd__buf_1".
Reading "sky130_fd_sc_hd__or2b_1".
Reading "sky130_fd_sc_hd__clkdlybuf4s25_1".
Reading "sky130_fd_sc_hd__dlymetal6s2s_1".
Reading "sky130_fd_sc_hd__inv_4".
Reading "sky130_fd_sc_hd__clkbuf_16".
Reading "sky130_fd_sc_hd__buf_12".
Reading "sky130_fd_sc_hd__buf_2".
Reading "sky130_fd_sc_hd__clkbuf_2".
Reading "sky130_fd_sc_hd__clkinv_2".
Reading "sky130_fd_sc_hd__clkinv_4".
Reading "sky130_fd_sc_hd__and2_1".
Reading "sky130_fd_sc_hd__nand2_1".
Reading "sky130_fd_sc_hd__diode_2".
Reading "sky130_fd_sc_hd__clkbuf_4".
Reading "sky130_fd_sc_hd__clkbuf_1".
Reading "sky130_fd_sc_hd__mux2_2".
Reading "sky130_fd_sc_hd__decap_8".
Reading "sky130_fd_sc_hd__fill_2".
Reading "sky130_fd_sc_hd__nand2_2".
Reading "sky130_fd_sc_hd__conb_1".
Reading "sky130_fd_sc_hd__fill_1".
Reading "sky130_fd_sc_hd__decap_12".
Reading "sky130_fd_sc_hd__decap_4".
Reading "sky130_fd_sc_hd__decap_3".
Reading "sky130_fd_sc_hd__tapvpwrvgnd_1".
Reading "sky130_fd_sc_hd__decap_6".
Reading "sky130_fd_sc_hd__inv_2".
Reading "caravel_clocking".
Reading "sky130_fd_sc_hd__o2111ai_2".
Reading "sky130_fd_sc_hd__and4_2".
Reading "sky130_fd_sc_hd__a21o_2".
Reading "sky130_fd_sc_hd__a21bo_2".
Reading "sky130_fd_sc_hd__o221a_2".
Reading "sky130_fd_sc_hd__o22ai_2".
Reading "sky130_fd_sc_hd__o221ai_2".
Reading "sky130_fd_sc_hd__o22a_2".
Reading "sky130_fd_sc_hd__a221o_2".
Reading "sky130_fd_sc_hd__o211a_2".
Reading "sky130_fd_sc_hd__o2bb2a_2".
Reading "sky130_fd_sc_hd__a22o_2".
Reading "sky130_fd_sc_hd__a32o_2".
Reading "sky130_fd_sc_hd__o32a_2".
Reading "sky130_fd_sc_hd__o21ai_2".
Reading "sky130_fd_sc_hd__and3_2".
Reading "sky130_fd_sc_hd__or4_2".
Reading "sky130_fd_sc_hd__or3_2".
Reading "sky130_fd_sc_hd__or2_2".
Reading "sky130_fd_sc_hd__o311a_2".
Reading "sky130_fd_sc_hd__einvp_2".
Reading "sky130_fd_sc_hd__clkinv_1".
Reading "sky130_fd_sc_hd__einvn_8".
Reading "sky130_fd_sc_hd__einvn_4".
Reading "sky130_fd_sc_hd__o21a_2".
Reading "sky130_fd_sc_hd__and2_2".
Reading "sky130_fd_sc_hd__o31a_2".
Reading "sky130_fd_sc_hd__o41a_2".
Reading "sky130_fd_sc_hd__a31o_2".
Reading "sky130_fd_sc_hd__einvp_1".
Reading "sky130_fd_sc_hd__a2bb2o_2".
Reading "sky130_fd_sc_hd__a311o_2".
Reading "sky130_fd_sc_hd__a21oi_2".
Reading "sky130_fd_sc_hd__a22oi_2".
Reading "sky130_fd_sc_hd__clkinv_8".
Reading "sky130_fd_sc_hd__nor2_2".
Reading "digital_pll".
Reading "sky130_fd_sc_hd__ebufn_8".
Reading "sky130_fd_sc_hd__or2_1".
Reading "sky130_fd_sc_hd__dfbbn_1".
Reading "sky130_fd_sc_hd__buf_6".
Reading "sky130_fd_sc_hd__einvp_8".
Reading "gpio_logic_high".
Reading "gpio_control_block".
Reading "sky130_fd_sc_hd__a221o_1".
Reading "sky130_fd_sc_hd__or4bb_1".
Reading "sky130_fd_sc_hd__or4b_1".
Reading "sky130_fd_sc_hd__or4_1".
Reading "sky130_fd_sc_hd__nand4_1".
Reading "sky130_fd_sc_hd__nand4bb_1".
Reading "sky130_fd_sc_hd__a22o_1".
Reading "sky130_fd_sc_hd__or3_1".
Reading "sky130_fd_sc_hd__o22a_1".
Reading "sky130_fd_sc_hd__o2bb2a_1".
Reading "sky130_fd_sc_hd__or3_4".
Reading "sky130_fd_sc_hd__mux2_8".
Reading "sky130_fd_sc_hd__a22oi_4".
Reading "sky130_fd_sc_hd__or3b_1".
Reading "sky130_fd_sc_hd__a2bb2o_1".
Reading "sky130_fd_sc_hd__and3_1".
Reading "sky130_fd_sc_hd__a211o_1".
Reading "sky130_fd_sc_hd__a21oi_1".
Reading "sky130_fd_sc_hd__or2_4".
Reading "sky130_fd_sc_hd__or4bb_4".
Reading "sky130_fd_sc_hd__o22ai_1".
Reading "sky130_fd_sc_hd__o32a_1".
Reading "sky130_fd_sc_hd__o31ai_4".
Reading "sky130_fd_sc_hd__or2b_2".
Reading "sky130_fd_sc_hd__clkinvlp_2".
Reading "sky130_fd_sc_hd__a311o_1".
Reading "sky130_fd_sc_hd__and4b_1".
Reading "sky130_fd_sc_hd__o221a_1".
Reading "sky130_fd_sc_hd__nor3_4".
Reading "sky130_fd_sc_hd__or4_4".
Reading "sky130_fd_sc_hd__or4b_2".
Reading "sky130_fd_sc_hd__nor2_4".
Reading "sky130_fd_sc_hd__a31o_1".
Reading "sky130_fd_sc_hd__nor4_1".
Reading "sky130_fd_sc_hd__a32o_1".
Reading "sky130_fd_sc_hd__ebufn_2".
Reading "sky130_fd_sc_hd__or3b_2".
Reading "sky130_fd_sc_hd__a22oi_1".
Reading "sky130_fd_sc_hd__mux2_4".
Reading "sky130_fd_sc_hd__a41o_1".
Reading "sky130_fd_sc_hd__o22ai_4".
Reading "sky130_fd_sc_hd__a41o_2".
Reading "sky130_fd_sc_hd__a311oi_1".
Reading "sky130_fd_sc_hd__o21ba_1".
Reading "sky130_fd_sc_hd__o2111ai_1".
Reading "sky130_fd_sc_hd__o311a_1".
Reading "sky130_fd_sc_hd__a211o_4".
Reading "sky130_fd_sc_hd__o221ai_1".
Reading "sky130_fd_sc_hd__o31a_1".
Reading "sky130_fd_sc_hd__o22a_4".
Reading "sky130_fd_sc_hd__o211ai_1".
Reading "sky130_fd_sc_hd__nand3_4".
Reading "sky130_fd_sc_hd__o2111ai_4".
Reading "sky130_fd_sc_hd__and4_1".
Reading "sky130_fd_sc_hd__o2111a_1".
Reading "sky130_fd_sc_hd__o221ai_4".
Reading "sky130_fd_sc_hd__nor4_2".
Reading "sky130_fd_sc_hd__a2111o_2".
Reading "sky130_fd_sc_hd__and3_4".
Reading "sky130_fd_sc_hd__and4bb_1".
Reading "sky130_fd_sc_hd__o211ai_2".
Reading "sky130_fd_sc_hd__o2111a_2".
Reading "sky130_fd_sc_hd__nand4_4".
Reading "sky130_fd_sc_hd__nand4b_4".
Reading "sky130_fd_sc_hd__a311oi_2".
Reading "sky130_fd_sc_hd__a2111o_1".
Reading "sky130_fd_sc_hd__nor3_2".
Reading "sky130_fd_sc_hd__nand4_2".
Reading "sky130_fd_sc_hd__or4b_4".
Reading "sky130_fd_sc_hd__and3b_1".
Reading "sky130_fd_sc_hd__o221a_4".
Reading "sky130_fd_sc_hd__or3b_4".
Reading "sky130_fd_sc_hd__o21ai_4".
Reading "sky130_fd_sc_hd__nor2_8".
Reading "sky130_fd_sc_hd__a31oi_1".
Reading "sky130_fd_sc_hd__clkbuf_8".
Reading "sky130_fd_sc_hd__inv_12".
Reading "sky130_fd_sc_hd__and2b_1".
Reading "sky130_fd_sc_hd__buf_8".
Reading "sky130_fd_sc_hd__nand2_8".
Reading "sky130_fd_sc_hd__nand2_4".
Reading "sky130_fd_sc_hd__buf_4".
Reading "sky130_fd_sc_hd__inv_6".
Reading "sky130_fd_sc_hd__inv_8".
Reading "housekeeping".
5000 uses
10000 uses
15000 uses
Reading "JK_sky130_fd_sc_hd__decap_3".
Reading "JK_sky130_fd_sc_hd__conb_1".
Reading "JK_sky130_fd_sc_hd__fill_1".
Reading "JK_sky130_fd_sc_hd__decap_8".
Reading "JK_sky130_fd_sc_hd__fill_2".
Reading "JK_sky130_fd_sc_hd__decap_6".
Reading "JK_sky130_fd_sc_hd__tapvpwrvgnd_1".
Reading "JK_sky130_fd_sc_hd__decap_4".
Reading "JK_sky130_fd_sc_hd__decap_12".
Reading "user_id_programming".
Reading "gpio_defaults_block_1803".
Reading "YJ_sky130_fd_pr__nfet_g5v0d10v5_TGFUGS".
Reading "YJ_sky130_fd_pr__nfet_g5v0d10v5_ZK8HQC".
Reading "YJ_sky130_fd_pr__pfet_g5v0d10v5_ZEUEFZ".
Reading "YJ_sky130_fd_pr__pfet_g5v0d10v5_3YBPVB".
Reading "YJ_sky130_fd_pr__nfet_g5v0d10v5_PKVMTM".
Reading "YJ_sky130_fd_pr__pfet_g5v0d10v5_YUHPBG".
Reading "YJ_sky130_fd_pr__pfet_g5v0d10v5_YEUEBV".
Reading "YJ_sky130_fd_pr__pfet_g5v0d10v5_YUHPXE".
Reading "YJ_sky130_fd_sc_hvl__schmittbuf_1".
Reading "YJ_sky130_fd_sc_hvl__buf_8".
Reading "YJ_sky130_fd_sc_hvl__fill_4".
Reading "YJ_sky130_fd_sc_hvl__inv_8".
Reading "YJ_sky130_fd_pr__res_xhigh_po_0p69_S5N9F3".
Reading "YJ_sky130_fd_pr__cap_mim_m3_2_W5U4AW".
Reading "YJ_sky130_fd_pr__cap_mim_m3_1_WRT4AW".
Reading "simple_por".
Reading "OA_sky130_fd_sc_hd__decap_3".
Reading "OA_sky130_fd_sc_hd__tapvpwrvgnd_1".
Reading "OA_sky130_fd_sc_hd__decap_12".
Reading "OA_sky130_fd_sc_hd__decap_6".
Reading "OA_sky130_fd_sc_hd__ebufn_2".
Reading "OA_sky130_fd_sc_hd__decap_4".
Reading "OA_sky130_fd_sc_hd__diode_2".
Reading "OA_sky130_fd_sc_hd__fill_1".
Reading "OA_sky130_fd_sc_hd__fill_2".
Reading "OA_sky130_fd_sc_hd__dfxtp_1".
Reading "OA_sky130_fd_sc_hd__decap_8".
Reading "OA_sky130_fd_sc_hd__mux2_1".
Reading "OA_sky130_fd_sc_hd__mux4_1".
Reading "OA_sky130_fd_sc_hd__inv_1".
Reading "OA_sky130_fd_sc_hd__and2_1".
Reading "OA_sky130_fd_sc_hd__dlclkp_1".
Reading "OA_sky130_fd_sc_hd__conb_1".
Reading "OA_sky130_fd_sc_hd__clkbuf_2".
Reading "OA_sky130_fd_sc_hd__clkbuf_16".
Reading "OA_sky130_fd_sc_hd__and3b_4".
Reading "OA_sky130_fd_sc_hd__and2_2".
Reading "OA_sky130_fd_sc_hd__nor3b_4".
Reading "OA_sky130_fd_sc_hd__clkbuf_1".
Reading "OA_sky130_fd_sc_hd__and4bb_2".
Reading "OA_sky130_fd_sc_hd__nor4b_2".
Reading "OA_sky130_fd_sc_hd__and3_4".
Reading "OA_sky130_fd_sc_hd__and4b_2".
Reading "OA_sky130_fd_sc_hd__and4_2".
Reading "OA_sky130_fd_sc_hd__and2b_2".
Reading "OA_sky130_fd_sc_hd__clkbuf_4".
Reading "OA_DFFRAM".
5000 uses
10000 uses
15000 uses
20000 uses
25000 uses
30000 uses
35000 uses
40000 uses
45000 uses
50000 uses
Reading "OA_sky130_fd_sc_hd__dlygate4sd3_1".
Reading "OA_sky130_fd_sc_hd__buf_8".
Reading "OA_sky130_fd_sc_hd__buf_6".
Reading "OA_sky130_fd_sc_hd__dfxtp_2".
Reading "OA_sky130_fd_sc_hd__a221o_1".
Reading "OA_sky130_fd_sc_hd__buf_2".
Reading "OA_sky130_fd_sc_hd__a22o_1".
Reading "OA_sky130_fd_sc_hd__dfxtp_4".
Reading "OA_sky130_fd_sc_hd__nand3_4".
Reading "OA_sky130_fd_sc_hd__a221o_2".
Reading "OA_sky130_fd_sc_hd__dlymetal6s2s_1".
Reading "OA_sky130_fd_sc_hd__buf_12".
Reading "OA_sky130_fd_sc_hd__a2111o_1".
Reading "OA_sky130_fd_sc_hd__and4_1".
Reading "OA_sky130_fd_sc_hd__a2111o_2".
Reading "OA_sky130_fd_sc_hd__o211a_1".
Reading "OA_sky130_fd_sc_hd__and3_1".
Reading "OA_sky130_fd_sc_hd__inv_2".
Reading "OA_sky130_fd_sc_hd__and2b_1".
Reading "OA_sky130_fd_sc_hd__nand3b_1".
Reading "OA_sky130_fd_sc_hd__a2111oi_2".
Reading "OA_sky130_fd_sc_hd__a22o_2".
Reading "OA_sky130_fd_sc_hd__a221o_4".
Reading "OA_sky130_fd_sc_hd__nor3b_1".
Reading "OA_sky130_fd_sc_hd__nor3_4".
Reading "OA_sky130_fd_sc_hd__clkinv_8".
Reading "OA_sky130_fd_sc_hd__inv_12".
Reading "OA_sky130_fd_sc_hd__and3b_1".
Reading "OA_sky130_fd_sc_hd__nor3b_2".
Reading "OA_sky130_fd_sc_hd__or2_1".
Reading "OA_sky130_fd_sc_hd__buf_4".
Reading "OA_sky130_fd_sc_hd__a211oi_1".
Reading "OA_sky130_fd_sc_hd__inv_6".
Reading "OA_sky130_fd_sc_hd__nand2_1".
Reading "OA_sky130_fd_sc_hd__nand3b_4".
Reading "OA_sky130_fd_sc_hd__nand3_1".
Reading "OA_sky130_fd_sc_hd__inv_16".
Reading "OA_sky130_fd_sc_hd__o2111a_1".
Reading "OA_sky130_fd_sc_hd__a21oi_1".
Reading "OA_sky130_fd_sc_hd__o21ai_4".
Reading "OA_sky130_fd_sc_hd__mux2_2".
Reading "OA_sky130_fd_sc_hd__inv_4".
Reading "OA_sky130_fd_sc_hd__clkinv_2".
Reading "OA_sky130_fd_sc_hd__a21bo_1".
Reading "OA_sky130_fd_sc_hd__o21a_1".
Reading "OA_sky130_fd_sc_hd__a211o_2".
Reading "OA_sky130_fd_sc_hd__or2b_1".
Reading "OA_sky130_fd_sc_hd__a21oi_4".
Reading "OA_sky130_fd_sc_hd__o21ai_1".
Reading "OA_sky130_fd_sc_hd__a21boi_1".
Reading "OA_sky130_fd_sc_hd__nor3_1".
Reading "OA_sky130_fd_sc_hd__nor2_2".
Reading "OA_sky130_fd_sc_hd__a31oi_1".
Reading "OA_sky130_fd_sc_hd__a21o_1".
Reading "OA_sky130_fd_sc_hd__o21a_2".
Reading "OA_sky130_fd_sc_hd__o21bai_1".
Reading "OA_sky130_fd_sc_hd__o211ai_1".
Reading "OA_sky130_fd_sc_hd__o31ai_1".
Reading "OA_sky130_fd_sc_hd__nor2_1".
Reading "OA_sky130_fd_sc_hd__xor2_4".
Reading "OA_sky130_fd_sc_hd__nand3_2".
Reading "OA_sky130_fd_sc_hd__o21ba_1".
Reading "OA_sky130_fd_sc_hd__a41oi_1".
Reading "OA_sky130_fd_sc_hd__nor3_2".
Reading "OA_sky130_fd_sc_hd__o41ai_1".
Reading "OA_sky130_fd_sc_hd__o21bai_2".
Reading "OA_sky130_fd_sc_hd__xnor2_4".
Reading "OA_sky130_fd_sc_hd__clkbuf_8".
Reading "OA_sky130_fd_sc_hd__a41oi_4".
Reading "OA_sky130_fd_sc_hd__xnor2_2".
Reading "OA_sky130_fd_sc_hd__or3b_1".
Reading "OA_sky130_fd_sc_hd__nand2_4".
Reading "OA_sky130_fd_sc_hd__xnor2_1".
Reading "OA_sky130_fd_sc_hd__nand2_8".
Reading "OA_sky130_fd_sc_hd__xor2_1".
Reading "OA_sky130_fd_sc_hd__nor2b_2".
Reading "OA_sky130_fd_sc_hd__o211a_2".
Reading "OA_sky130_fd_sc_hd__o31a_1".
Reading "OA_sky130_fd_sc_hd__mux2_8".
Reading "OA_sky130_fd_sc_hd__mux2_4".
Reading "OA_sky130_fd_sc_hd__a21oi_2".
Reading "OA_sky130_fd_sc_hd__a21o_2".
Reading "OA_sky130_fd_sc_hd__o21bai_4".
Reading "OA_sky130_fd_sc_hd__a211o_1".
Reading "OA_sky130_fd_sc_hd__a311o_1".
Reading "OA_sky130_fd_sc_hd__a22oi_4".
Reading "OA_sky130_fd_sc_hd__o2111a_4".
Reading "OA_sky130_fd_sc_hd__o22a_1".
Reading "OA_sky130_fd_sc_hd__a31o_1".
Reading "OA_sky130_fd_sc_hd__and4_4".
Reading "OA_sky130_fd_sc_hd__a22oi_2".
Reading "OA_sky130_fd_sc_hd__o41a_2".
Reading "OA_sky130_fd_sc_hd__nand2_2".
Reading "OA_sky130_fd_sc_hd__nor2_8".
Reading "OA_sky130_fd_sc_hd__a32oi_2".
Reading "OA_sky130_fd_sc_hd__a221oi_4".
Reading "OA_sky130_fd_sc_hd__nor2b_4".
Reading "OA_sky130_fd_sc_hd__or4_2".
Reading "OA_sky130_fd_sc_hd__a211oi_2".
Reading "OA_sky130_fd_sc_hd__clkinv_4".
Reading "OA_sky130_fd_sc_hd__a2bb2oi_1".
Reading "OA_sky130_fd_sc_hd__a31oi_4".
Reading "OA_sky130_fd_sc_hd__nand3b_2".
Reading "OA_sky130_fd_sc_hd__a21boi_2".
Reading "OA_sky130_fd_sc_hd__nor2_4".
Reading "OA_sky130_fd_sc_hd__o311ai_1".
Reading "OA_sky130_fd_sc_hd__a41oi_2".
Reading "OA_sky130_fd_sc_hd__or3b_4".
Reading "OA_sky130_fd_sc_hd__clkinv_16".
Reading "OA_sky130_fd_sc_hd__o211ai_4".
Reading "OA_sky130_fd_sc_hd__o21ba_4".
Reading "OA_sky130_fd_sc_hd__o2111ai_2".
Reading "OA_sky130_fd_sc_hd__o2111ai_1".
Reading "OA_sky130_fd_sc_hd__a32o_1".
Reading "OA_sky130_fd_sc_hd__a311oi_1".
Reading "OA_sky130_fd_sc_hd__a2111o_4".
Reading "OA_sky130_fd_sc_hd__or4_4".
Reading "OA_sky130_fd_sc_hd__o21ai_2".
Reading "OA_sky130_fd_sc_hd__a2111oi_1".
Reading "OA_sky130_fd_sc_hd__a211oi_4".
Reading "OA_sky130_fd_sc_hd__o311ai_4".
Reading "OA_sky130_fd_sc_hd__a2111oi_4".
Reading "OA_sky130_fd_sc_hd__mux4_2".
Reading "OA_sky130_fd_sc_hd__or2b_4".
Reading "OA_sky130_fd_sc_hd__o21a_4".
Reading "OA_sky130_fd_sc_hd__and4b_4".
Reading "OA_sky130_fd_sc_hd__o2111ai_4".
Reading "OA_sky130_fd_sc_hd__or2_2".
Reading "OA_sky130_fd_sc_hd__o22ai_1".
Reading "OA_sky130_fd_sc_hd__o2bb2ai_1".
Reading "OA_sky130_fd_sc_hd__a32oi_1".
Reading "OA_sky130_fd_sc_hd__inv_8".
Reading "OA_sky130_fd_sc_hd__a21boi_4".
Reading "OA_sky130_fd_sc_hd__or4_1".
Reading "OA_sky130_fd_sc_hd__o32ai_1".
Reading "OA_sky130_fd_sc_hd__a41o_1".
Reading "OA_sky130_fd_sc_hd__xor2_2".
Reading "OA_sky130_fd_sc_hd__o221ai_1".
Reading "OA_sky130_fd_sc_hd__o32a_1".
Reading "OA_sky130_fd_sc_hd__o41a_1".
Reading "OA_sky130_fd_sc_hd__a22oi_1".
Reading "OA_sky130_fd_sc_hd__and3_2".
Reading "OA_sky130_fd_sc_hd__o221a_2".
Reading "OA_sky130_fd_sc_hd__o221a_1".
Reading "OA_sky130_fd_sc_hd__and2_4".
Reading "OA_sky130_fd_sc_hd__or3_1".
Reading "OA_sky130_fd_sc_hd__o22ai_2".
Reading "OA_sky130_fd_sc_hd__a221oi_2".
Reading "OA_sky130_fd_sc_hd__a221oi_1".
Reading "OA_sky130_fd_sc_hd__o211ai_2".
Reading "OA_sky130_fd_sc_hd__o311ai_2".
Reading "OA_sky130_fd_sc_hd__o31ai_4".
Reading "OA_sky130_fd_sc_hd__a311oi_2".
Reading "OA_sky130_fd_sc_hd__a31oi_2".
Reading "OA_sky130_fd_sc_hd__o311a_2".
Reading "OA_sky130_fd_sc_hd__o41ai_4".
Reading "OA_sky130_fd_sc_hd__or3_2".
Reading "OA_sky130_fd_sc_hd__o31a_4".
Reading "OA_sky130_fd_sc_hd__o21ba_2".
Reading "OA_sky130_fd_sc_hd__a311oi_4".
Reading "OA_sky130_fd_sc_hd__and2b_4".
Reading "OA_sky130_fd_sc_hd__o2bb2ai_2".
Reading "OA_sky130_fd_sc_hd__o2bb2ai_4".
Reading "OA_sky130_fd_sc_hd__o22a_2".
Reading "OA_sky130_fd_sc_hd__and4b_1".
Reading "OA_sky130_fd_sc_hd__nand2b_1".
Reading "OA_sky130_fd_sc_hd__o2111a_2".
Reading "OA_sky130_fd_sc_hd__a2bb2oi_4".
Reading "OA_sky130_fd_sc_hd__a2bb2oi_2".
Reading "OA_sky130_fd_sc_hd__dlymetal6s4s_1".
Reading "OA_sky130_fd_sc_hd__or3_4".
Reading "OA_sky130_fd_sc_hd__a22o_4".
Reading "OA_sky130_fd_sc_hd__a32oi_4".
Reading "OA_sky130_fd_sc_hd__o311a_1".
Reading "OA_sky130_fd_sc_hd__a21o_4".
Reading "OA_sky130_fd_sc_hd__or4b_1".
Reading "OA_sky130_fd_sc_hd__a32o_4".
Reading "OA_sky130_fd_sc_hd__and3b_2".
Reading "OA_sky130_fd_sc_hd__o32ai_4".
Reading "OA_sky130_fd_sc_hd__or2b_2".
Reading "OA_sky130_fd_sc_hd__o221ai_2".
Reading "OA_sky130_fd_sc_hd__a31o_4".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_contact_39".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_contact_19".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_contact_14".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_contact_13".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_contact_7".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_contact_38".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_contact_33".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_contact_32".
Reading "OA_sky130_fd_bd_sram__openram_dff".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_row_addr_dff".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_wmask_dff".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_cr_3".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_col_addr_dff".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_data_dff".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_contact_16".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_contact_29".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_contact_28".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_contact_11".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_nmos_m1_w0_360_sli_dli_da_p".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_contact_12".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pmos_m1_w1_120_sli_dli_da_p".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pinv_1".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_nmos_m1_w0_740_sactive_dli".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_nmos_m1_w0_740_sli_dactive".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pmos_m1_w1_120_sli_dli".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pnand2_1".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_nmos_m3_w1_680_sli_dli_da_p".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pmos_m3_w1_680_sli_dli_da_p".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pinv_3".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_nmos_m2_w0_740_sli_dli_da_p".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pmos_m2_w1_260_sli_dli_da_p".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pinv_2".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_dff_buf_0".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_dff_buf_array".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_nmos_m7_w1_680_sli_dli_da_p".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pmos_m7_w2_000_sli_dli_da_p".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pinv_4".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pdriver_1".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pnand2_0".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pand2_1".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_nmos_m24_w2_000_sli_dli_da_p".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pmos_m24_w2_000_sli_dli_da_p".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pinv_14".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_nmos_m8_w2_000_sli_dli_da_p".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pmos_m8_w2_000_sli_dli_da_p".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pinv_13".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_nmos_m3_w2_000_sli_dli_da_p".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pmos_m3_w2_000_sli_dli_da_p".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pinv_12".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pinv_11".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pinv_0".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pdriver_3".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pinv_17".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_delay_chain".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_nmos_m18_w2_000_sli_dli_da_p".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pmos_m18_w2_000_sli_dli_da_p".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pinv_16".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pdriver_5".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_nmos_m1_w0_740_sactive_dactive".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pnand3".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pand3_0".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_nmos_m22_w2_000_sli_dli_da_p".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pmos_m22_w2_000_sli_dli_da_p".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pinv_15".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pdriver_4".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pand3".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_nmos_m42_w2_000_sli_dli_da_p".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pmos_m42_w2_000_sli_dli_da_p".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pinv_10".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_nmos_m15_w2_000_sli_dli_da_p".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pmos_m15_w2_000_sli_dli_da_p".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pinv_9".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_nmos_m5_w2_000_sli_dli_da_p".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pmos_m5_w2_000_sli_dli_da_p".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pinv_8".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_nmos_m2_w1_260_sli_dli_da_p".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pmos_m2_w2_000_sli_dli_da_p".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pinv_7".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pdriver_2".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_control_logic_rw".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_cr_5".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_dff_buf_array_0".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_nmos_m41_w2_000_sli_dli_da_p".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pmos_m41_w2_000_sli_dli_da_p".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pinv_20".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_nmos_m14_w2_000_sli_dli_da_p".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pmos_m14_w2_000_sli_dli_da_p".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pinv_19".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_nmos_m5_w1_680_sli_dli_da_p".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pinv_18".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pdriver_6".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_control_logic_r".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_cr_4".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_contact_20".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_contact_17".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pdriver_0".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pand2_0".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_hierarchical_predecode2x4_0".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_contact_15".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_nmos_m1_w7_000_sli_dli_da_p".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pmos_m1_w7_000_sli_dli_da_p".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pinv_dec_0".
Reading "OA_sky130_fd_bd_sram__openram_dp_nand2_dec".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_and2_dec_0".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_wordline_driver".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_wordline_driver_array".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pinv_dec".
Reading "OA_sky130_fd_bd_sram__openram_dp_nand3_dec".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_and3_dec".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_hierarchical_predecode3x8".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_and2_dec".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_hierarchical_predecode2x4".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_hierarchical_decoder".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_port_address_0".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_port_address".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_contact_27".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_contact_26".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_contact_25".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_contact_24".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_nmos_m1_w2_880_sli_dli".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_column_mux_0".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_column_mux_array_0".
Reading "OA_sky130_fd_bd_sram__openram_sense_amp".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_sense_amp_array".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_contact_23".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_contact_21".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_contact_22".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pmos_m1_w0_550_sli_dli".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_precharge_1".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_precharge_array_0".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_port_data_0".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_column_mux".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_column_mux_array".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pinv".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pdriver".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pnand2".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pand2".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_write_mask_and_array".
Reading "OA_sky130_fd_bd_sram__openram_write_driver".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_write_driver_array".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_precharge_0".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_precharge_array".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_port_data".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_contact_9".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_contact_8".
Reading "OA_sky130_fd_bd_sram__openram_dp_cell_cap_row".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_row_cap_array_0".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_row_cap_array".
Reading "OA_sky130_fd_bd_sram__openram_dp_cell_cap_col".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_col_cap_array".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_col_cap_array_0".
Reading "OA_sky130_fd_bd_sram__openram_dp_cell_dummy".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_dummy_array".
Reading "OA_sky130_fd_bd_sram__openram_dp_cell_replica".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_replica_column_0".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_replica_column".
Reading "OA_sky130_fd_bd_sram__openram_dp_cell".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_bitcell_array".
5000 uses
10000 uses
15000 uses
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_replica_bitcell_array".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_bank".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8".
5000 uses
Reading "OA_sky130_fd_sc_hd__o32ai_2".
Reading "OA_sky130_fd_sc_hd__a21bo_2".
Reading "OA_sky130_fd_sc_hd__o31ai_2".
Reading "OA_sky130_fd_sc_hd__o41ai_2".
Reading "OA_sky130_fd_sc_hd__o211a_4".
Reading "OA_mgmt_core".
5000 uses
10000 uses
15000 uses
20000 uses
25000 uses
30000 uses
35000 uses
40000 uses
45000 uses
50000 uses
55000 uses
60000 uses
65000 uses
70000 uses
75000 uses
80000 uses
85000 uses
90000 uses
95000 uses
100000 uses
105000 uses
110000 uses
115000 uses
120000 uses
125000 uses
130000 uses
135000 uses
140000 uses
145000 uses
Reading "mgmt_core_wrapper".
Reading "sky130_fd_sc_hd__and2b_2".
Reading "sky130_fd_sc_hd__clkinv_16".
Reading "sky130_fd_sc_hd__and2_4".
Reading "sky130_fd_sc_hd__inv_16".
Reading "sky130_fd_sc_hvl__conb_1".
Reading "mgmt_protect_hv".
Reading "mprj_logic_high".
Reading "mprj2_logic_high".
Reading "mgmt_protect".
5000 uses
10000 uses
15000 uses
Reading "sky130_fd_sc_hd__dfbbp_1".
Reading "spare_logic_block".
Reading "gpio_defaults_block_0403".
Reading "sky130_fd_io__corner_bus_overlay".
Reading "sky130_ef_io__corner_pad".
Reading "sky130_ef_io__com_bus_slice_20um".
Reading "sky130_ef_io__com_bus_slice_5um".
Reading "sky130_ef_io__com_bus_slice_1um".
Reading "sky130_ef_io__com_bus_slice_10um".
Reading "sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um".
Reading "sky130_ef_io__hvc_vdda_overlay".
Reading "sky130_fd_io__com_bus_slice".
Reading "sky130_fd_io__com_bus_hookup".
Reading "sky130_fd_io__overlay_vssa_hvc".
Reading "sky130_fd_pr__hvdfl1sd__example_5595914180851".
Reading "sky130_fd_pr__model__nfet_highvoltage__example_55959141808664".
Reading "sky130_fd_io__sio_clamp_pcap_4x5".
Reading "sky130_fd_pr__model__nfet_highvoltage__example_55959141808680".
Reading "sky130_fd_io__esd_rcclamp_nfetcap".
Reading "sky130_fd_pr__dfl1sd__example_55959141808336".
Reading "sky130_fd_pr__dfl1sd2__example_55959141808666".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808665".
Reading "sky130_fd_pr__dfl1sd__example_55959141808678".
Reading "sky130_fd_pr__dfl1sd2__example_55959141808679".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808677".
Reading "sky130_fd_pr__via_pol1__example_5595914180839".
Reading "sky130_fd_pr__res_bent_po__example_55959141808667".
Reading "sky130_fd_pr__via_l1m1__example_55959141808660".
Reading "sky130_fd_pr__dfl1__example_55959141808663".
Reading "sky130_fd_pr__hvdftpl1s__example_55959141808671".
Reading "sky130_fd_pr__hvdftpl1s2__example_55959141808672".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808673".
Reading "sky130_fd_pr__res_bent_po__example_55959141808668".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808670".
Reading "sky130_fd_pr__dfl1__example_55959141808662".
Reading "sky130_fd_pr__via_l1m1__example_55959141808661".
Reading "sky130_fd_pr__hvdftpl1s__example_55959141808675".
Reading "sky130_fd_pr__hvdftpl1s2__example_55959141808676".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808674".
Reading "sky130_fd_pr__via_l1m1__example_559591418084".
Reading "sky130_fd_pr__res_bent_po__example_55959141808669".
Reading "sky130_fd_pr__gendlring__example_559591418081".
Reading "sky130_fd_pr__genrivetdlring__example_559591418082".
Reading "sky130_fd_pr__padplhp__example_559591418080".
Reading "sky130_fd_io__pad_esd".
Reading "sky130_fd_io__com_busses_esd".
Reading "sky130_fd_io__top_ground_hvc_wpad".
Reading "sky130_ef_io__vssa_hvc_clamped_pad".
Reading "sky130_fd_pr__hvdfm1sd2__example_55959141808717".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808716".
Reading "sky130_fd_pr__hvdfm1sd2__example_55959141808719".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808718".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808720".
Reading "sky130_fd_pr__hvdfm1sd2__example_5595914180890".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808721".
Reading "sky130_fd_pr__hvdfm1sd2__example_55959141808243".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808722".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808723".
Reading "sky130_fd_io__xres_inv_hysv2".
Reading "sky130_fd_pr__res_generic_po__example_5595914180838".
Reading "sky130_fd_io__tk_tie_r_out_esd".
Reading "sky130_fd_pr__hvdfm1sd2__example_55959141808765".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808764".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808767".
Reading "sky130_fd_io__res250_sub_small".
Reading "sky130_fd_io__res250only_small".
Reading "sky130_fd_io__tk_em1o_cdns_55959141808289".
Reading "sky130_fd_pr__via_pol1__example_5595914180833".
Reading "sky130_fd_pr__res_bent_po__example_55959141808715".
Reading "sky130_fd_pr__res_bent_po__example_5595914180862".
Reading "sky130_fd_pr__res_bent_po__example_5595914180863".
Reading "sky130_fd_io__tk_em1s_cdns_55959141808288".
Reading "sky130_fd_io__tk_em1s_cdns_5595914180859".
Reading "sky130_fd_pr__res_generic_po__example_5595914180864".
Reading "sky130_fd_pr__via_l1m1__example_55959141808264".
Reading "sky130_fd_pr__via_l1m1__example_5595914180858".
Reading "sky130_fd_io__com_res_weak_v2".
Reading "sky130_fd_pr__dfl1sd__example_5595914180819".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808555".
Reading "sky130_fd_pr__via_pol1__example_55959141808147".
Reading "sky130_fd_pr__tpl1__example_55959141808148".
Reading "sky130_fd_pr__tpl1__example_55959141808149".
Reading "sky130_fd_pr__tpl1__example_55959141808150".
Reading "sky130_fd_pr__tpl1__example_55959141808151".
Reading "sky130_fd_pr__via_l1m1__example_55959141808152".
Reading "sky130_fd_pr__via_l1m1__example_55959141808153".
Reading "sky130_fd_pr__via_l1m1__example_55959141808154".
Reading "sky130_fd_pr__via_l1m1__example_55959141808155".
Reading "sky130_fd_pr__via_l1m1__example_55959141808156".
Reading "sky130_fd_pr__via_l1m1__example_55959141808157".
Reading "sky130_fd_pr__dfl1__example_55959141808158".
Reading "sky130_fd_io__signal_5_sym_hv_local_5term".
Reading "sky130_fd_pr__via_pol1__example_55959141808274".
Reading "sky130_fd_pr__via_l1m1__example_55959141808400".
Reading "sky130_fd_pr__via_l1m1__example_55959141808128".
Reading "sky130_fd_pr__via_l1m1__example_55959141808372".
Reading "sky130_fd_pr__via_l1m1__example_55959141808326".
Reading "sky130_fd_pr__via_l1m1__example_55959141808290".
Reading "sky130_fd_pr__via_l1m1__example_55959141808127".
Reading "sky130_fd_pr__via_l1m1__example_5595914180897".
Reading "sky130_fd_pr__via_m1m2__example_55959141808551".
Reading "sky130_fd_pr__via_m1m2__example_55959141808552".
Reading "sky130_fd_pr__via_m1m2__example_55959141808350".
Reading "sky130_fd_pr__via_m1m2__example_55959141808260".
Reading "sky130_fd_pr__via_m1m2__example_55959141808402".
Reading "sky130_fd_pr__via_m1m2__example_55959141808724".
Reading "sky130_fd_pr__via_m1m2__example_55959141808259".
Reading "sky130_fd_pr__via_m1m2__example_55959141808261".
Reading "sky130_fd_pr__via_m1m2__example_55959141808553".
Reading "sky130_fd_pr__via_m1m2__example_55959141808725".
Reading "sky130_fd_pr__via_m1m2__example_55959141808554".
Reading "sky130_fd_pr__via_m1m2__example_55959141808726".
Reading "sky130_fd_pr__via_m1m2__example_55959141808727".
Reading "sky130_fd_pr__via_m1m2__example_55959141808728".
Reading "sky130_fd_io__gpio_buf_localesdv2".
Reading "sky130_fd_pr__hvdfm1sd__example_5595914180848".
Reading "sky130_fd_pr__hvdfm1sd2__example_5595914180849".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808766".
Reading "sky130_fd_pr__hvdfl1sd__example_55959141808370".
Reading "sky130_fd_pr__model__nfet_highvoltage__example_55959141808369".
Reading "sky130_fd_pr__hvdfl1sd__example_55959141808137".
Reading "sky130_fd_pr__dfl1sd__example_559591418086".
Reading "sky130_fd_pr__model__pfet_highvoltage__example_55959141808371".
Reading "sky130_fd_pr__via_pol1__example_559591418083".
Reading "sky130_fd_io__hvsbt_inv_x1".
Reading "sky130_fd_pr__hvdfl1sd2__example_55959141808385".
Reading "sky130_fd_pr__dfl1sd__example_559591418088".
Reading "sky130_fd_pr__model__nfet_highvoltage__example_55959141808615".
Reading "sky130_fd_pr__hvdfl1sd2__example_55959141808316".
Reading "sky130_fd_pr__model__pfet_highvoltage__example_55959141808616".
Reading "sky130_fd_pr__via_pol1__example_55959141808612".
Reading "sky130_fd_io__hvsbt_inv_x4".
Reading "sky130_fd_pr__model__nfet_highvoltage__example_55959141808422".
Reading "sky130_fd_pr__model__pfet_highvoltage__example_55959141808421".
Reading "sky130_fd_io__hvsbt_inv_x2".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808657".
Reading "sky130_fd_pr__hvdftpm1s2__example_55959141808659".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808658".
Reading "sky130_fd_pr__hvdfl1sd2__example_55959141808202".
Reading "sky130_fd_pr__via_l1m1_centered__example_5595914180811".
Reading "sky130_fd_pr__via_l1m1_centered__example_5595914180812".
Reading "sky130_fd_pr__via_l1m1_centered__example_559591418084".
Reading "sky130_fd_pr__via_l1m1_centered__example_559591418086".
Reading "sky130_fd_io__pfet_con_diff_wo_abt_270_xres4v2".
Reading "sky130_fd_io__tk_em2o_cdns_55959141808653".
Reading "sky130_fd_io__tk_em2s_cdns_55959141808652".
Reading "sky130_fd_pr__via_pol1_centered__example_559591418081".
Reading "sky130_fd_io__gpio_pudrvr_strong_axres4v2".
Reading "sky130_fd_pr__via_m2m3__example_55959141808714".
Reading "sky130_fd_io__xres_p_em1c_cdns_55959141808753".
Reading "sky130_fd_pr__dfl1__example_55959141808187".
Reading "sky130_fd_pr__res_generic_nd__example_55959141808754".
Reading "sky130_fd_pr__res_generic_nd__example_55959141808755".
Reading "sky130_fd_io__xres_tk_p_em1o_cdns_55959141808756".
Reading "sky130_fd_io__xres_tk_p_em1o_cdns_55959141808757".
Reading "sky130_fd_io__xres_tk_p_em1o_cdns_55959141808758".
Reading "sky130_fd_io__xres_tk_p_em1c_cdns_55959141808759".
Reading "sky130_fd_io__xres_tk_p_em1c_cdns_55959141808760".
Reading "sky130_fd_io__xres_tk_p_em1c_cdns_55959141808761".
Reading "sky130_fd_pr__hvdfl1sd__example_55959141808700".
Reading "sky130_fd_pr__hvdfl1sd2__example_55959141808559".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808762".
Reading "sky130_fd_pr__hvdfl1sd__example_55959141808338".
Reading "sky130_fd_pr__hvdfl1sd2__example_55959141808337".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808763".
Reading "sky130_fd_pr__dfl1__example_55959141808729".
Reading "sky130_fd_pr__via_m1m2__example_55959141808730".
Reading "sky130_fd_pr__via_m1m2__example_55959141808731".
Reading "sky130_fd_pr__via_m1m2__example_55959141808732".
Reading "sky130_fd_pr__via_m1m2__example_55959141808733".
Reading "sky130_fd_pr__via_m1m2__example_55959141808734".
Reading "sky130_fd_pr__via_m1m2__example_55959141808735".
Reading "sky130_fd_pr__via_m1m2__example_55959141808736".
Reading "sky130_fd_pr__via_m1m2__example_55959141808737".
Reading "sky130_fd_pr__via_m1m2__example_55959141808738".
Reading "sky130_fd_pr__via_m1m2__example_55959141808739".
Reading "sky130_fd_pr__via_m1m2__example_55959141808740".
Reading "sky130_fd_pr__via_pol1__example_55959141808273".
Reading "sky130_fd_pr__via_l1m1__example_55959141808741".
Reading "sky130_fd_pr__via_l1m1__example_55959141808742".
Reading "sky130_fd_pr__via_l1m1__example_55959141808743".
Reading "sky130_fd_pr__via_l1m1__example_55959141808744".
Reading "sky130_fd_pr__via_l1m1__example_55959141808324".
Reading "sky130_fd_pr__via_l1m1__example_55959141808745".
Reading "sky130_fd_pr__via_l1m1__example_55959141808746".
Reading "sky130_fd_pr__via_l1m1__example_55959141808747".
Reading "sky130_fd_pr__via_l1m1__example_55959141808748".
Reading "sky130_fd_pr__via_l1m1__example_55959141808749".
Reading "sky130_fd_pr__via_l1m1__example_55959141808270".
Reading "sky130_fd_pr__via_l1m1__example_55959141808750".
Reading "sky130_fd_pr__via_l1m1__example_55959141808751".
Reading "sky130_fd_pr__via_l1m1__example_55959141808752".
Reading "sky130_fd_io__xres2v2_rcfilter_lpfv2".
Reading "sky130_fd_io__tk_em1o_cdns_5595914180860".
Reading "sky130_fd_pr__res_bent_po__example_5595914180861".
Reading "sky130_fd_io__com_res_weak_bentbigres".
Reading "sky130_fd_io__com_res_weak".
Reading "sky130_fd_pr__via_l1m1__example_5595914180857".
Reading "sky130_fd_pr__hvdftpl1s__example_55959141808646".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808645".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808647".
Reading "sky130_fd_pr__hvdftpm1s2__example_55959141808649".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808648".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808650".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808651".
Reading "sky130_fd_pr__hvdfl1sd2__example_55959141808378".
Reading "sky130_fd_io__nfet_con_diff_wo_abt_270_xres4v2".
Reading "sky130_fd_io__gpio_pddrvr_strong_xres4v2".
Reading "sky130_fd_io__top_gpio_pad".
Reading "sky130_fd_io__com_busses".
Reading "sky130_fd_io__tap_1".
Reading "sky130_fd_io__inv_1".
Reading "sky130_fd_pr__res_bent_po__example_55959141808768".
Reading "sky130_fd_pr__res_bent_nd__example_55959141808769".
Reading "sky130_fd_pr__pfet_01v8__example_559591418085".
Reading "sky130_fd_pr__nfet_01v8__example_559591418087".
Reading "sky130_fd_pr__nfet_01v8__example_559591418089".
Reading "sky130_fd_io__hvsbt_nand2".
Reading "sky130_fd_pr__hvdfm1sd2__example_5595914180827".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808770".
Reading "sky130_fd_pr__hvdfm1sd__example_55959141808233".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808771".
Reading "sky130_fd_pr__hvdfm1sd__example_55959141808237".
Reading "sky130_fd_pr__hvdfm1sd2__example_5595914180829".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808772".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808773".
Reading "sky130_fd_pr__hvdfm1sd__example_55959141808242".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808774".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808775".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808776".
Reading "sky130_fd_pr__hvdfm1sd__example_5595914180835".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808777".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808778".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808779".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808780".
Reading "sky130_fd_pr__hvdfm1sd__example_55959141808782".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808781".
Reading "sky130_fd_pr__hvdfm1sd__example_55959141808452".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808783".
Reading "sky130_fd_pr__hvdfm1sd2__example_55959141808449".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808784".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808785".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808786".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808787".
Reading "sky130_fd_io__xres4v2_in_buf".
Reading "sky130_fd_io__top_xres4v2".
Reading "sky130_fd_io__com_bus_slice_m4".
Reading "sky130_fd_io__overlay_gpiov2_m4".
Reading "sky130_fd_io__overlay_gpiov2".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808558".
Reading "sky130_fd_pr__hvdfm1sd2__example_55959141808251".
Reading "sky130_fd_pr__dfm1sd2__example_55959141808561".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808560".
Reading "sky130_fd_pr__hvdfm1sd2__example_55959141808563".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808562".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808564".
Reading "sky130_fd_io__amux_switch_1v2b".
Reading "sky130_fd_pr__dfl1sd__example_5595914180868".
Reading "sky130_fd_pr__dfl1sd2__example_5595914180869".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808565".
Reading "sky130_fd_pr__dfl1sd2__example_5595914180875".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808566".
Reading "sky130_fd_io__gpiov2_amx_pucsd_inv".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808567".
Reading "sky130_fd_pr__hvdfl1sd__example_55959141808122".
Reading "sky130_fd_pr__dfl1sd__example_55959141808123".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808477".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808568".
Reading "sky130_fd_pr__dfl1sd2__example_5595914180884".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808569".
Reading "sky130_fd_pr__hvdfl1sd__example_55959141808100".
Reading "sky130_fd_pr__dfl1sd__example_5595914180815".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808570".
Reading "sky130_fd_io__gpiov2_amux_drvr_lshv2hv2".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808571".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808441".
Reading "sky130_fd_io__gpiov2_amx_inv4".
Reading "sky130_fd_io__gpiov2_amux_drvr_lshv2hv".
Reading "sky130_fd_pr__dfl1sd__example_5595914180823".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808572".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808573".
Reading "sky130_fd_pr__dfl1sd__example_55959141808106".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808574".
Reading "sky130_fd_pr__dfl1sd__example_55959141808510".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808575".
Reading "sky130_fd_io__amx_inv1".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808576".
Reading "sky130_fd_pr__dfl1sd__example_55959141808504".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808577".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808578".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808579".
Reading "sky130_fd_pr__hvdfm1sd__example_55959141808581".
Reading "sky130_fd_pr__hvdfl1sd__example_55959141808418".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808580".
Reading "sky130_fd_pr__hvdfl1sd2__example_55959141808140".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808496".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808497".
Reading "sky130_fd_pr__hvdfl1sd__example_55959141808278".
Reading "sky130_fd_pr__hvdfl1sd2__example_55959141808462".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808582".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808583".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808498".
Reading "sky130_fd_io__gpiov2_amux_drvr_ls".
Reading "sky130_fd_io__gpiov2_amux_drvr".
Reading "sky130_fd_io__xor2_1".
Reading "sky130_fd_io__nand2_1".
Reading "sky130_fd_io__nor2_1".
Reading "sky130_fd_pr__model__nfet_highvoltage__example_55959141808419".
Reading "sky130_fd_pr__model__pfet_highvoltage__example_55959141808420".
Reading "sky130_fd_io__hvsbt_nor".
Reading "sky130_fd_pr__hvdfl1sd__example_5595914180894".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808584".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808455".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808445".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808447".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808248".
Reading "sky130_fd_pr__hvdfm1sd__example_55959141808200".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808457".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808450".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808451".
Reading "sky130_fd_io__gpiov2_amux_nand5".
Reading "sky130_fd_pr__dfm1sd__example_55959141808258".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808585".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808446".
Reading "sky130_fd_io__gpiov2_amux_nand4".
Reading "sky130_fd_io__gpiov2_amux_decoder".
Reading "sky130_fd_pr__model__nfet_highvoltage__example_55959141808586".
Reading "sky130_fd_pr__model__nfet_highvoltage__example_55959141808587".
Reading "sky130_fd_pr__hvdfl1sd__example_55959141808476".
Reading "sky130_fd_pr__model__pfet_highvoltage__example_55959141808588".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808475".
Reading "sky130_fd_pr__hvdfl1sd__example_55959141808115".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808589".
Reading "sky130_fd_io__gpiov2_amux_ctl_lshv2hv2".
Reading "sky130_fd_io__gpiov2_amux_ctl_lshv2hv".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808460".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808590".
Reading "sky130_fd_pr__dfl1sd2__example_5595914180816".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808463".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808464".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808465".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808466".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808467".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808468".
Reading "sky130_fd_io__gpiov2_amux_ctl_ls".
Reading "sky130_fd_io__gpiov2_amux_ctl_inv_1".
Reading "sky130_fd_io__gpiov2_amux_ls".
Reading "sky130_fd_io__gpiov2_amux_ctl_logic".
Reading "sky130_fd_pr__hvdfl1sd2__example_55959141808178".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808591".
Reading "sky130_fd_pr__hvdfl1sd2__example_55959141808488".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808592".
Reading "sky130_fd_pr__hvdfl1sd2__example_55959141808306".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808593".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808594".
Reading "sky130_fd_io__res75only_small".
Reading "sky130_fd_io__gpiov2_amux".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808608".
Reading "sky130_fd_pr__dfl1sd__example_55959141808517".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808533".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808230".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808529".
Reading "sky130_fd_pr__dfl1sd2__example_55959141808518".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808609".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808604".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808610".
Reading "sky130_fd_pr__dfl1sd__example_5595914180811".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808549".
Reading "sky130_fd_pr__dfl1sd__example_55959141808190".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808548".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808611".
Reading "sky130_fd_pr__dfl1sd2__example_55959141808191".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808189".
Reading "sky130_fd_io__gpiov2_in_buf".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808481".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808537".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808600".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808528".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808550".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808607".
Reading "sky130_fd_io__gpiov2_ipath_hvls".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808601".
Reading "sky130_fd_pr__nfet_01v8__example_5595914180825".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808602".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808603".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808527".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808535".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808540".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808598".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808605".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808596".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808606".
Reading "sky130_fd_io__gpiov2_vcchib_in_buf".
Reading "sky130_fd_pr__dfl1sd2__example_5595914180812".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808597".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808599".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808546".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808547".
Reading "sky130_fd_io__gpiov2_ipath_lvls".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808595".
Reading "sky130_fd_io__gpiov2_inbuf_lvinv_x1".
Reading "sky130_fd_io__gpiov2_ibuf_se".
Reading "sky130_fd_io__gpiov2_buf_localesd".
Reading "sky130_fd_io__hvsbt_nand2v2".
Reading "sky130_fd_io__gpiov2_ictl_logic".
Reading "sky130_fd_io__gpiov2_ipath".
Reading "sky130_fd_pr__model__nfet_highvoltage__example_55959141808613".
Reading "sky130_fd_pr__model__pfet_highvoltage__example_55959141808614".
Reading "sky130_fd_io__hvsbt_inv_x8v2".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808423".
Reading "sky130_fd_pr__hvdfl1sd__example_55959141808280".
Reading "sky130_fd_pr__hvdfl1sd2__example_55959141808425".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808424".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808426".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808379".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808380".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808382".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808383".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808427".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808428".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808429".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808430".
Reading "sky130_fd_pr__hvdfl1sd__example_55959141808102".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808431".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808432".
Reading "sky130_fd_pr__hvdfl1sd__example_55959141808434".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808433".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808435".
Reading "sky130_fd_pr__via_l1m1__example_55959141808440".
Reading "sky130_fd_pr__via_l1m1__example_5595914180878".
Reading "sky130_fd_pr__via_pol1__example_55959141808394".
Reading "sky130_fd_pr__via_pol1__example_55959141808298".
Reading "sky130_fd_io__com_ctl_ls".
Reading "sky130_fd_io__hvsbt_inv_x8".
Reading "sky130_fd_io__com_ctl_hldv2".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808617".
Reading "sky130_fd_io__com_ctl_ls_en_1_v2".
Reading "sky130_fd_io__com_ctl_ls_v2".
Reading "sky130_fd_io__tk_em2o_cdns_55959141808439".
Reading "sky130_fd_io__tk_em2s_cdns_55959141808438".
Reading "sky130_fd_io__com_ctl_ls_1v2".
Reading "sky130_fd_pr__pfet_01v8__example_5595914180813".
Reading "sky130_fd_pr__pfet_01v8__example_5595914180822".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808618".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808619".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808620".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808621".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808622".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808623".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808116".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808624".
Reading "sky130_fd_io__com_ctl_lsv2".
Reading "sky130_fd_io__tk_em1o_cdns_5595914180880".
Reading "sky130_fd_io__tk_em1o_cdns_5595914180879".
Reading "sky130_fd_io__tk_em1s_cdns_5595914180882".
Reading "sky130_fd_io__tk_em1s_cdns_5595914180881".
Reading "sky130_fd_io__gpiov2_ctl_lsbank".
Reading "sky130_fd_io__gpiov2_ctl".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808375".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808376".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808377".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808381".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808384".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808386".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808387".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808388".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808389".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808390".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808391".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808392".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808393".
Reading "sky130_fd_pr__via_pol1__example_55959141808373".
Reading "sky130_fd_pr__via_pol1__example_55959141808272".
Reading "sky130_fd_pr__via_m1m2__example_55959141808271".
Reading "sky130_fd_pr__tpl1__example_55959141808374".
Reading "sky130_fd_io__gpio_dat_ls_1v2".
Reading "sky130_fd_io__gpio_dat_lsv2".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808360".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808403".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808362".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808404".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808405".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808406".
Reading "sky130_fd_pr__hvdfl1sd__example_55959141808194".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808346".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808407".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808408".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808409".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808410".
Reading "sky130_fd_pr__via_pol1__example_55959141808294".
Reading "sky130_fd_pr__via_pol1__example_55959141808322".
Reading "sky130_fd_pr__via_pol1__example_55959141808395".
Reading "sky130_fd_pr__via_pol1__example_55959141808396".
Reading "sky130_fd_pr__via_pol1__example_55959141808397".
Reading "sky130_fd_pr__via_pol1__example_55959141808295".
Reading "sky130_fd_pr__via_pol1__example_55959141808398".
Reading "sky130_fd_pr__via_l1m1__example_55959141808399".
Reading "sky130_fd_pr__via_l1m1__example_55959141808325".
Reading "sky130_fd_pr__via_l1m1__example_55959141808292".
Reading "sky130_fd_pr__via_l1m1__example_55959141808269".
Reading "sky130_fd_pr__via_l1m1__example_55959141808401".
Reading "sky130_fd_io__com_cclat".
Reading "sky130_fd_pr__via_l1m1__example_55959141808368".
Reading "sky130_fd_pr__tpl1__example_55959141808625".
Reading "sky130_fd_io__com_opath_datoev2".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808416".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808417".
Reading "sky130_fd_io__hvsbt_xor".
Reading "sky130_fd_io__hvsbt_xorv2".
Reading "sky130_fd_io__com_ctl_ls_octl".
Reading "sky130_fd_io__gpiov2_octl".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808354".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808626".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808627".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808628".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808629".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808630".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808631".
Reading "sky130_fd_io__gpiov2_pdpredrvr_strong_nr2".
Reading "sky130_fd_pr__dfl1sd2__example_55959141808633".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808632".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808634".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808635".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808636".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808637".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808638".
Reading "sky130_fd_io__gpiov2_pdpredrvr_strong_nr3".
Reading "sky130_fd_io__tk_em1o_cdns_55959141808327".
Reading "sky130_fd_io__tk_em1o_cdns_55959141808328".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808329".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808330".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808304".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808331".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808134".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808332".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808333".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808334".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808343".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808344".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808345".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808347".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808348".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808639".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808640".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808641".
Reading "sky130_fd_pr__via_pol1__example_55959141808320".
Reading "sky130_fd_pr__via_pol1__example_55959141808321".
Reading "sky130_fd_pr__via_l1m1__example_55959141808323".
Reading "sky130_fd_pr__via_l1m1__example_55959141808291".
Reading "sky130_fd_io__com_pdpredrvr_pbiasv2".
Reading "sky130_fd_pr__nfet_01v8__example_5595914180888".
Reading "sky130_fd_io__gpiov2_octl_mux".
Reading "sky130_fd_pr__model__pfet_highvoltage__example_55959141808642".
Reading "sky130_fd_pr__model__pfet_highvoltage__example_55959141808141".
Reading "sky130_fd_pr__model__pfet_highvoltage__example_55959141808184".
Reading "sky130_fd_pr__model__nfet_highvoltage__example_55959141808643".
Reading "sky130_fd_pr__model__nfet_highvoltage__example_55959141808183".
Reading "sky130_fd_pr__model__nfet_highvoltage__example_55959141808139".
Reading "sky130_fd_io__gpiov2_pdpredrvr_strong".
Reading "sky130_fd_pr__hvdfl1sd2__example_55959141808143".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808364".
Reading "sky130_fd_io__com_pdpredrvr_strong_slowv2".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808363".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808314".
Reading "sky130_fd_pr__via_pol1__example_5595914180854".
Reading "sky130_fd_io__com_pupredrvr_strong_slowv2".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808644".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808281".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808282".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808287".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808283".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808284".
Reading "sky130_fd_pr__res_generic_po__example_55959141808285".
Reading "sky130_fd_pr__res_generic_po__example_55959141808286".
Reading "sky130_fd_pr__via_pol1__example_55959141808275".
Reading "sky130_fd_pr__via_m1m2__example_55959141808276".
Reading "sky130_fd_io__gpiov2_pupredrvr_strong_nd2_a".
Reading "sky130_fd_io__gpiov2_pupredrvr_strong_nd2".
Reading "sky130_fd_io__tk_em1s_cdns_55959141808301".
Reading "sky130_fd_io__tk_em1o_cdns_55959141808302".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808303".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808305".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808307".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808308".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808309".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808310".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808311".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808312".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808313".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808315".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808317".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808318".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808319".
Reading "sky130_fd_pr__via_l1m1__example_55959141808293".
Reading "sky130_fd_pr__via_pol1__example_55959141808296".
Reading "sky130_fd_pr__via_pol1__example_55959141808297".
Reading "sky130_fd_pr__tpl1__example_55959141808299".
Reading "sky130_fd_pr__tpl1__example_55959141808300".
Reading "sky130_fd_io__feascom_pupredrvr_nbiasv2".
Reading "sky130_fd_pr__model__nfet_highvoltage__example_55959141808144".
Reading "sky130_fd_pr__model__nfet_highvoltage__example_5595914180899".
Reading "sky130_fd_pr__model__pfet_highvoltage__example_55959141808142".
Reading "sky130_fd_pr__model__pfet_highvoltage__example_55959141808101".
Reading "sky130_fd_io__gpio_pupredrvr_strongv2".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808365".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808366".
Reading "sky130_fd_io__com_pdpredrvr_weakv2".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808361".
Reading "sky130_fd_io__feas_com_pupredrvr_weak".
Reading "sky130_fd_pr__via_l1m1__example_55959141808266".
Reading "sky130_fd_pr__via_l1m1__example_55959141808267".
Reading "sky130_fd_io__gpiov2_obpredrvr".
Reading "sky130_fd_io__gpiov2_octl_dat".
Reading "sky130_fd_pr__via_l1m1_centered__example_559591418082".
Reading "sky130_fd_pr__via_l1m1_centered__example_559591418083".
Reading "sky130_fd_io__nfet_con_diff_wo_abt_270v2".
Reading "sky130_fd_io__gpiov2_pddrvr_strong".
Reading "sky130_fd_pr__hvdfm1sd__example_55959141808655".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808654".
Reading "sky130_fd_io__com_pudrvr_strong_slowv2".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808656".
Reading "sky130_fd_io__com_pudrvr_weakv2".
Reading "sky130_fd_pr__nfet_01v8__example_5595914180850".
Reading "sky130_fd_io__gpio_pddrvr_weakv2".
Reading "sky130_fd_pr__via_l1m1_centered__example_559591418085".
Reading "sky130_fd_io__pfet_con_diff_wo_abt_270v2".
Reading "sky130_fd_io__gpio_pudrvr_strongv2".
Reading "sky130_fd_io__gpio_pddrvr_strong_slowv2".
Reading "sky130_fd_io__tk_em1s_cdns_5595914180852".
Reading "sky130_fd_pr__res_generic_po__example_5595914180853".
Reading "sky130_fd_pr__res_generic_po__example_5595914180855".
Reading "sky130_fd_pr__res_generic_po__example_5595914180856".
Reading "sky130_fd_pr__via_l1m1__example_5595914180832".
Reading "sky130_fd_pr__via_pol1_centered__example_559591418080".
Reading "sky130_fd_io__gpio_odrvr_subv2".
Reading "sky130_fd_io__gpio_odrvrv2".
Reading "sky130_fd_io__gpio_opathv2".
Reading "sky130_fd_io__top_gpiov2".
Reading "sky130_ef_io__gpiov2_pad".
Reading "sky130_ef_io__gpiov2_pad_wrapped".
Reading "sky130_ef_io__lvc_vccdx_overlay".
Reading "sky130_fd_io__overlay_vssd_lvc".
Reading "sky130_fd_pr__via_l1m1__example_55959141808683".
Reading "sky130_fd_io__gnd2gnd_strap".
Reading "sky130_fd_io__gnd2gnd_tap".
Reading "sky130_fd_io__gnd2gnd_diff".
Reading "sky130_fd_pr__via_l1m1__example_55959141808684".
Reading "sky130_fd_pr__tpl1__example_55959141808685".
Reading "sky130_fd_pr__tpl1__example_55959141808686".
Reading "sky130_fd_io__gnd2gnd_sub_dnwl".
Reading "sky130_fd_io__gnd2gnd_120x2_lv_isosub".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808687".
Reading "sky130_fd_pr__res_bent_po__example_55959141808691".
Reading "sky130_fd_pr__res_bent_po__example_55959141808690".
Reading "sky130_fd_pr__dftpl1s2__example_55959141808702".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808705".
Reading "sky130_fd_pr__dfl1__example_55959141808682".
Reading "sky130_fd_pr__dftpl1s2__example_55959141808694".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808693".
Reading "sky130_fd_pr__dfl1__example_55959141808681".
Reading "sky130_fd_pr__res_bent_po__example_55959141808688".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808696".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808697".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808698".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808699".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808695".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808704".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808703".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808701".
Reading "sky130_fd_pr__res_bent_po__example_55959141808692".
Reading "sky130_fd_pr__res_bent_po__example_55959141808689".
Reading "sky130_fd_io__top_ground_lvc_wpad".
Reading "sky130_ef_io__vssd_lvc_clamped_pad".
Reading "sky130_ef_io__hvc_vssio_overlay".
Reading "sky130_fd_io__overlay_vssio_hvc".
Reading "sky130_ef_io__vssio_hvc_clamped_pad".
Reading "sky130_fd_io__overlay_vdda_hvc".
Reading "sky130_fd_io__hvc_clampv2".
Reading "sky130_fd_io__top_power_hvc_wpadv2".
Reading "sky130_ef_io__vdda_hvc_clamped_pad".
Reading "sky130_fd_io__overlay_vccd_lvc".
Reading "sky130_fd_io__top_power_lvc_wpad".
Reading "sky130_ef_io__vccd_lvc_clamped_pad".
Reading "sky130_ef_io__disconnect_vdda_slice_5um".
Reading "sky130_ef_io__hvc_vddio_overlay".
Reading "sky130_fd_io__overlay_vddio_hvc".
Reading "sky130_ef_io__vddio_hvc_clamped_pad".
Reading "sky130_ef_io__lvc_vccdy_overlay".
Reading "sky130_ef_io__vssd_lvc_clamped3_pad".
Reading "sky130_ef_io__vccd_lvc_clamped3_pad".
Reading "sky130_fd_io__simple_pad_and_busses".
Reading "sky130_ef_io__analog_pad".
Reading "sky130_ef_io__top_power_hvc".
Reading "chip_io_alt".
Reading "gpio_control_power_routing_right".
Reading "gpio_control_power_routing".
Reading "caravan_power_routing".
Reading "TM_char".
Reading "TM_inv".
Reading "TM_ringosc".
Reading "TM_indVCO".
Reading "user_analog_project_wrapper".
Reading "caravan".
Flattening layout of tile x=0 y=0. . .
Flattening layout of tile x=1 y=0. . .
Flattening layout of tile x=2 y=0. . .
Flattening layout of tile x=3 y=0. . .
Flattening layout of tile x=4 y=0. . .
Flattening layout of tile x=5 y=0. . .
Flattening layout of tile x=0 y=1. . .
Flattening layout of tile x=1 y=1. . .
Flattening layout of tile x=2 y=1. . .
Flattening layout of tile x=3 y=1. . .
Flattening layout of tile x=4 y=1. . .
Flattening layout of tile x=5 y=1. . .
Flattening layout of tile x=0 y=2. . .
Flattening layout of tile x=1 y=2. . .
Flattening layout of tile x=2 y=2. . .
Flattening layout of tile x=3 y=2. . .
Flattening layout of tile x=4 y=2. . .
Flattening layout of tile x=5 y=2. . .
Flattening layout of tile x=0 y=3. . .
Flattening layout of tile x=1 y=3. . .
Flattening layout of tile x=2 y=3. . .
Flattening layout of tile x=3 y=3. . .
Flattening layout of tile x=4 y=3. . .
Flattening layout of tile x=5 y=3. . .
Flattening layout of tile x=0 y=4. . .
Flattening layout of tile x=1 y=4. . .
Flattening layout of tile x=2 y=4. . .
Flattening layout of tile x=3 y=4. . .
Flattening layout of tile x=4 y=4. . .
Flattening layout of tile x=5 y=4. . .
Flattening layout of tile x=0 y=5. . .
Flattening layout of tile x=1 y=5. . .
Flattening layout of tile x=2 y=5. . .
Flattening layout of tile x=3 y=5. . .
Flattening layout of tile x=4 y=5. . .
Flattening layout of tile x=5 y=5. . .
Flattening layout of tile x=0 y=6. . .
Flattening layout of tile x=1 y=6. . .
Flattening layout of tile x=2 y=6. . .
Flattening layout of tile x=3 y=6. . .
Flattening layout of tile x=4 y=6. . .
Flattening layout of tile x=5 y=6. . .
Flattening layout of tile x=0 y=7. . .
Flattening layout of tile x=1 y=7. . .
Flattening layout of tile x=2 y=7. . .
Flattening layout of tile x=3 y=7. . .
Flattening layout of tile x=4 y=7. . .
Flattening layout of tile x=5 y=7. . .
Error message output from magic:
CIF file read warning: CIF style sky130(vendor): units rescaled by factor of 5 / 1
CIF file read warning: Input off lambda grid by 2/5; snapped to grid.
Error while reading cell "sky130_fd_io__res250_sub_small" (byte position 223371302): NODE elements not supported: skipping.
Error while reading cell "sky130_fd_io__res250_sub_small" (byte position 223371334): NODE elements not supported: skipping.
Error while reading cell "sky130_fd_io__res250_sub_small" (byte position 223373926): NODE elements not supported: skipping.
Error while reading cell "sky130_fd_io__res250_sub_small" (byte position 223373958): NODE elements not supported: skipping.
Error while reading cell "sky130_fd_io__res250_sub_small" (byte position 223373990): NODE elements not supported: skipping.
Error while reading cell "sky130_fd_io__res250_sub_small" (byte position 223374022): NODE elements not supported: skipping.
Error while reading cell "sky130_fd_io__res250_sub_small" (byte position 223374054): NODE elements not supported: skipping.
Error while reading cell "sky130_fd_io__res250_sub_small" (byte position 223374150): NODE elements not supported: skipping.
Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
Starting magic under Tcl interpreter
Using the terminal as the console.
Using NULL graphics device.
site.pre: In custom site.pre...
site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
Processing system .magicrc file
site.def: In custom site.def...
Sourcing design .magicrc for technology sky130A ...
2 Magic internal units = 1 Lambda
Input style sky130(vendor): scaleFactor=2, multiplier=2
Scaled tech values by 2 / 1 to match internal grid scaling
Loading sky130A Device Generator Menu ...
Loading "/mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/generate_fill_dist.tcl" from command line.
caravel_00020006_fill_pattern_0_4: 10000 rects
caravel_00020006_fill_pattern_0_4: 20000 rects
caravel_00020006_fill_pattern_0_4: 30000 rects
caravel_00020006_fill_pattern_0_4: 40000 rects
caravel_00020006_fill_pattern_0_4: 50000 rects
caravel_00020006_fill_pattern_0_4: 60000 rects
caravel_00020006_fill_pattern_0_4: 70000 rects
caravel_00020006_fill_pattern_0_4: 80000 rects
caravel_00020006_fill_pattern_0_4: 90000 rects
caravel_00020006_fill_pattern_0_4: 100000 rects
caravel_00020006_fill_pattern_0_4: 110000 rects
caravel_00020006_fill_pattern_0_4: 120000 rects
caravel_00020006_fill_pattern_0_4: 130000 rects
caravel_00020006_fill_pattern_0_4: 140000 rects
caravel_00020006_fill_pattern_0_4: 150000 rects
caravel_00020006_fill_pattern_0_4: 160000 rects
caravel_00020006_fill_pattern_0_4: 170000 rects
caravel_00020006_fill_pattern_0_4: 180000 rects
caravel_00020006_fill_pattern_0_4: 190000 rects
caravel_00020006_fill_pattern_0_4: 200000 rects
caravel_00020006_fill_pattern_0_4: 210000 rects
caravel_00020006_fill_pattern_0_4: 220000 rects
caravel_00020006_fill_pattern_0_4: 230000 rects
caravel_00020006_fill_pattern_0_4: 240000 rects
caravel_00020006_fill_pattern_0_4: 250000 rects
caravel_00020006_fill_pattern_0_4: 260000 rects
caravel_00020006_fill_pattern_0_4: 270000 rects
caravel_00020006_fill_pattern_0_4: 280000 rects
caravel_00020006_fill_pattern_0_4: 290000 rects
caravel_00020006_fill_pattern_0_4: 300000 rects
caravel_00020006_fill_pattern_0_4: 310000 rects
caravel_00020006_fill_pattern_0_4: 320000 rects
caravel_00020006_fill_pattern_0_4: 330000 rects
caravel_00020006_fill_pattern_0_4: 340000 rects
caravel_00020006_fill_pattern_0_4: 350000 rects
caravel_00020006_fill_pattern_0_4: 360000 rects
caravel_00020006_fill_pattern_0_4: 370000 rects
caravel_00020006_fill_pattern_0_4: 380000 rects
caravel_00020006_fill_pattern_0_4: 390000 rects
caravel_00020006_fill_pattern_0_4: 400000 rects
caravel_00020006_fill_pattern_0_4: 410000 rects
caravel_00020006_fill_pattern_0_4: 420000 rects
caravel_00020006_fill_pattern_0_4: 430000 rects
caravel_00020006_fill_pattern_0_4: 440000 rects
caravel_00020006_fill_pattern_0_4: 450000 rects
caravel_00020006_fill_pattern_0_4: 460000 rects
caravel_00020006_fill_pattern_0_4: 470000 rects
caravel_00020006_fill_pattern_0_4: 480000 rects
caravel_00020006_fill_pattern_0_4: 490000 rects
caravel_00020006_fill_pattern_0_4: 500000 rects
caravel_00020006_fill_pattern_0_4: 510000 rects
caravel_00020006_fill_pattern_0_4: 520000 rects
caravel_00020006_fill_pattern_0_4: 530000 rects
caravel_00020006_fill_pattern_0_4: 540000 rects
caravel_00020006_fill_pattern_0_4: 550000 rects
caravel_00020006_fill_pattern_0_4: 560000 rects
caravel_00020006_fill_pattern_0_4: 570000 rects
caravel_00020006_fill_pattern_0_4: 580000 rects
caravel_00020006_fill_pattern_0_4: 590000 rects
caravel_00020006_fill_pattern_0_4: 600000 rects
caravel_00020006_fill_pattern_0_4: 610000 rects
caravel_00020006_fill_pattern_0_4: 620000 rects
caravel_00020006_fill_pattern_0_4: 630000 rects
caravel_00020006_fill_pattern_0_4: 640000 rects
caravel_00020006_fill_pattern_0_4: 650000 rects
caravel_00020006_fill_pattern_0_4: 660000 rects
caravel_00020006_fill_pattern_0_4: 670000 rects
caravel_00020006_fill_pattern_0_4: 680000 rects
caravel_00020006_fill_pattern_0_4: 690000 rects
caravel_00020006_fill_pattern_0_4: 700000 rects
caravel_00020006_fill_pattern_0_4: 710000 rects
caravel_00020006_fill_pattern_0_4: 720000 rects
caravel_00020006_fill_pattern_0_4: 730000 rects
caravel_00020006_fill_pattern_0_4: 740000 rects
caravel_00020006_fill_pattern_0_4: 750000 rects
caravel_00020006_fill_pattern_0_4: 760000 rects
caravel_00020006_fill_pattern_0_4: 770000 rects
caravel_00020006_fill_pattern_0_4: 780000 rects
caravel_00020006_fill_pattern_0_4: 790000 rects
caravel_00020006_fill_pattern_0_4: 800000 rects
caravel_00020006_fill_pattern_0_4: 810000 rects
caravel_00020006_fill_pattern_0_4: 820000 rects
caravel_00020006_fill_pattern_0_4: 830000 rects
caravel_00020006_fill_pattern_0_4: 840000 rects
caravel_00020006_fill_pattern_0_4: 850000 rects
caravel_00020006_fill_pattern_0_4: 860000 rects
caravel_00020006_fill_pattern_0_4: 870000 rects
caravel_00020006_fill_pattern_0_4: 880000 rects
caravel_00020006_fill_pattern_0_4: 890000 rects
caravel_00020006_fill_pattern_0_4: 900000 rects
caravel_00020006_fill_pattern_0_4: 910000 rects
caravel_00020006_fill_pattern_0_4: 920000 rects
caravel_00020006_fill_pattern_0_4: 930000 rects
caravel_00020006_fill_pattern_0_4: 940000 rects
caravel_00020006_fill_pattern_0_4: 950000 rects
caravel_00020006_fill_pattern_0_4: 960000 rects
caravel_00020006_fill_pattern_0_4: 970000 rects
caravel_00020006_fill_pattern_0_4: 980000 rects
caravel_00020006_fill_pattern_0_4: 990000 rects
caravel_00020006_fill_pattern_0_4: 1000000 rects
caravel_00020006_fill_pattern_0_4: 1010000 rects
caravel_00020006_fill_pattern_0_4: 1020000 rects
caravel_00020006_fill_pattern_0_4: 1030000 rects
caravel_00020006_fill_pattern_0_4: 1040000 rects
caravel_00020006_fill_pattern_0_4: 1050000 rects
caravel_00020006_fill_pattern_0_4: 1060000 rects
caravel_00020006_fill_pattern_0_4: 1070000 rects
caravel_00020006_fill_pattern_0_4: 1080000 rects
caravel_00020006_fill_pattern_0_4: 1090000 rects
caravel_00020006_fill_pattern_0_4: 1100000 rects
caravel_00020006_fill_pattern_0_4: 1110000 rects
caravel_00020006_fill_pattern_0_4: 1120000 rects
caravel_00020006_fill_pattern_0_4: 1130000 rects
caravel_00020006_fill_pattern_0_4: 1140000 rects
caravel_00020006_fill_pattern_0_4: 1150000 rects
caravel_00020006_fill_pattern_0_4: 1160000 rects
caravel_00020006_fill_pattern_0_4: 1170000 rects
caravel_00020006_fill_pattern_0_4: 1180000 rects
caravel_00020006_fill_pattern_0_4: 1190000 rects
caravel_00020006_fill_pattern_0_4: 1200000 rects
caravel_00020006_fill_pattern_0_4: 1210000 rects
caravel_00020006_fill_pattern_0_4: 1220000 rects
caravel_00020006_fill_pattern_0_4: 1230000 rects
caravel_00020006_fill_pattern_0_4: 1240000 rects
caravel_00020006_fill_pattern_0_4: 1250000 rects
caravel_00020006_fill_pattern_0_4: 1260000 rects
caravel_00020006_fill_pattern_0_4: 1270000 rects
caravel_00020006_fill_pattern_0_4: 1280000 rects
caravel_00020006_fill_pattern_0_4: 1290000 rects
caravel_00020006_fill_pattern_0_4: 1300000 rects
caravel_00020006_fill_pattern_0_4: 1310000 rects
caravel_00020006_fill_pattern_0_4: 1320000 rects
caravel_00020006_fill_pattern_0_4: 1330000 rects
caravel_00020006_fill_pattern_0_4: 1340000 rects
caravel_00020006_fill_pattern_0_4: 1350000 rects
caravel_00020006_fill_pattern_0_4: 1360000 rects
caravel_00020006_fill_pattern_0_4: 1370000 rects
caravel_00020006_fill_pattern_0_4: 1380000 rects
caravel_00020006_fill_pattern_0_4: 1390000 rects
caravel_00020006_fill_pattern_0_4: 1400000 rects
caravel_00020006_fill_pattern_0_4: 1410000 rects
caravel_00020006_fill_pattern_0_4: 1420000 rects
caravel_00020006_fill_pattern_0_4: 1430000 rects
caravel_00020006_fill_pattern_0_4: 1440000 rects
caravel_00020006_fill_pattern_0_4: 1450000 rects
caravel_00020006_fill_pattern_0_4: 1460000 rects
caravel_00020006_fill_pattern_0_4: 1470000 rects
caravel_00020006_fill_pattern_0_4: 1480000 rects
caravel_00020006_fill_pattern_0_4: 1490000 rects
caravel_00020006_fill_pattern_0_4: 1500000 rects
caravel_00020006_fill_pattern_0_4: 1510000 rects
caravel_00020006_fill_pattern_0_4: 1520000 rects
caravel_00020006_fill_pattern_0_4: 1530000 rects
caravel_00020006_fill_pattern_0_4: 1540000 rects
caravel_00020006_fill_pattern_0_4: 1550000 rects
Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
Starting magic under Tcl interpreter
Using the terminal as the console.
Using NULL graphics device.
site.pre: In custom site.pre...
site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
Processing system .magicrc file
site.def: In custom site.def...
Sourcing design .magicrc for technology sky130A ...
2 Magic internal units = 1 Lambda
Input style sky130(vendor): scaleFactor=2, multiplier=2
Scaled tech values by 2 / 1 to match internal grid scaling
Loading sky130A Device Generator Menu ...
Loading "/mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/generate_fill_dist.tcl" from command line.
caravel_00020006_fill_pattern_0_2: 10000 rects
caravel_00020006_fill_pattern_0_2: 20000 rects
caravel_00020006_fill_pattern_0_2: 30000 rects
caravel_00020006_fill_pattern_0_2: 40000 rects
caravel_00020006_fill_pattern_0_2: 50000 rects
caravel_00020006_fill_pattern_0_2: 60000 rects
caravel_00020006_fill_pattern_0_2: 70000 rects
caravel_00020006_fill_pattern_0_2: 80000 rects
caravel_00020006_fill_pattern_0_2: 90000 rects
caravel_00020006_fill_pattern_0_2: 100000 rects
caravel_00020006_fill_pattern_0_2: 110000 rects
caravel_00020006_fill_pattern_0_2: 120000 rects
caravel_00020006_fill_pattern_0_2: 130000 rects
caravel_00020006_fill_pattern_0_2: 140000 rects
caravel_00020006_fill_pattern_0_2: 150000 rects
caravel_00020006_fill_pattern_0_2: 160000 rects
caravel_00020006_fill_pattern_0_2: 170000 rects
caravel_00020006_fill_pattern_0_2: 180000 rects
caravel_00020006_fill_pattern_0_2: 190000 rects
caravel_00020006_fill_pattern_0_2: 200000 rects
caravel_00020006_fill_pattern_0_2: 210000 rects
caravel_00020006_fill_pattern_0_2: 220000 rects
caravel_00020006_fill_pattern_0_2: 230000 rects
caravel_00020006_fill_pattern_0_2: 240000 rects
caravel_00020006_fill_pattern_0_2: 250000 rects
caravel_00020006_fill_pattern_0_2: 260000 rects
caravel_00020006_fill_pattern_0_2: 270000 rects
caravel_00020006_fill_pattern_0_2: 280000 rects
caravel_00020006_fill_pattern_0_2: 290000 rects
caravel_00020006_fill_pattern_0_2: 300000 rects
caravel_00020006_fill_pattern_0_2: 310000 rects
caravel_00020006_fill_pattern_0_2: 320000 rects
caravel_00020006_fill_pattern_0_2: 330000 rects
caravel_00020006_fill_pattern_0_2: 340000 rects
caravel_00020006_fill_pattern_0_2: 350000 rects
caravel_00020006_fill_pattern_0_2: 360000 rects
caravel_00020006_fill_pattern_0_2: 370000 rects
caravel_00020006_fill_pattern_0_2: 380000 rects
caravel_00020006_fill_pattern_0_2: 390000 rects
caravel_00020006_fill_pattern_0_2: 400000 rects
caravel_00020006_fill_pattern_0_2: 410000 rects
caravel_00020006_fill_pattern_0_2: 420000 rects
caravel_00020006_fill_pattern_0_2: 430000 rects
caravel_00020006_fill_pattern_0_2: 440000 rects
caravel_00020006_fill_pattern_0_2: 450000 rects
caravel_00020006_fill_pattern_0_2: 460000 rects
caravel_00020006_fill_pattern_0_2: 470000 rects
caravel_00020006_fill_pattern_0_2: 480000 rects
caravel_00020006_fill_pattern_0_2: 490000 rects
caravel_00020006_fill_pattern_0_2: 500000 rects
caravel_00020006_fill_pattern_0_2: 510000 rects
caravel_00020006_fill_pattern_0_2: 520000 rects
caravel_00020006_fill_pattern_0_2: 530000 rects
caravel_00020006_fill_pattern_0_2: 540000 rects
caravel_00020006_fill_pattern_0_2: 550000 rects
caravel_00020006_fill_pattern_0_2: 560000 rects
caravel_00020006_fill_pattern_0_2: 570000 rects
caravel_00020006_fill_pattern_0_2: 580000 rects
caravel_00020006_fill_pattern_0_2: 590000 rects
caravel_00020006_fill_pattern_0_2: 600000 rects
caravel_00020006_fill_pattern_0_2: 610000 rects
caravel_00020006_fill_pattern_0_2: 620000 rects
caravel_00020006_fill_pattern_0_2: 630000 rects
caravel_00020006_fill_pattern_0_2: 640000 rects
caravel_00020006_fill_pattern_0_2: 650000 rects
caravel_00020006_fill_pattern_0_2: 660000 rects
caravel_00020006_fill_pattern_0_2: 670000 rects
caravel_00020006_fill_pattern_0_2: 680000 rects
caravel_00020006_fill_pattern_0_2: 690000 rects
caravel_00020006_fill_pattern_0_2: 700000 rects
caravel_00020006_fill_pattern_0_2: 710000 rects
caravel_00020006_fill_pattern_0_2: 720000 rects
caravel_00020006_fill_pattern_0_2: 730000 rects
caravel_00020006_fill_pattern_0_2: 740000 rects
caravel_00020006_fill_pattern_0_2: 750000 rects
caravel_00020006_fill_pattern_0_2: 760000 rects
caravel_00020006_fill_pattern_0_2: 770000 rects
caravel_00020006_fill_pattern_0_2: 780000 rects
caravel_00020006_fill_pattern_0_2: 790000 rects
caravel_00020006_fill_pattern_0_2: 800000 rects
caravel_00020006_fill_pattern_0_2: 810000 rects
caravel_00020006_fill_pattern_0_2: 820000 rects
caravel_00020006_fill_pattern_0_2: 830000 rects
caravel_00020006_fill_pattern_0_2: 840000 rects
caravel_00020006_fill_pattern_0_2: 850000 rects
caravel_00020006_fill_pattern_0_2: 860000 rects
caravel_00020006_fill_pattern_0_2: 870000 rects
caravel_00020006_fill_pattern_0_2: 880000 rects
caravel_00020006_fill_pattern_0_2: 890000 rects
caravel_00020006_fill_pattern_0_2: 900000 rects
caravel_00020006_fill_pattern_0_2: 910000 rects
caravel_00020006_fill_pattern_0_2: 920000 rects
caravel_00020006_fill_pattern_0_2: 930000 rects
caravel_00020006_fill_pattern_0_2: 940000 rects
caravel_00020006_fill_pattern_0_2: 950000 rects
caravel_00020006_fill_pattern_0_2: 960000 rects
caravel_00020006_fill_pattern_0_2: 970000 rects
caravel_00020006_fill_pattern_0_2: 980000 rects
caravel_00020006_fill_pattern_0_2: 990000 rects
caravel_00020006_fill_pattern_0_2: 1000000 rects
caravel_00020006_fill_pattern_0_2: 1010000 rects
caravel_00020006_fill_pattern_0_2: 1020000 rects
caravel_00020006_fill_pattern_0_2: 1030000 rects
caravel_00020006_fill_pattern_0_2: 1040000 rects
caravel_00020006_fill_pattern_0_2: 1050000 rects
caravel_00020006_fill_pattern_0_2: 1060000 rects
caravel_00020006_fill_pattern_0_2: 1070000 rects
caravel_00020006_fill_pattern_0_2: 1080000 rects
caravel_00020006_fill_pattern_0_2: 1090000 rects
caravel_00020006_fill_pattern_0_2: 1100000 rects
caravel_00020006_fill_pattern_0_2: 1110000 rects
caravel_00020006_fill_pattern_0_2: 1120000 rects
caravel_00020006_fill_pattern_0_2: 1130000 rects
caravel_00020006_fill_pattern_0_2: 1140000 rects
caravel_00020006_fill_pattern_0_2: 1150000 rects
caravel_00020006_fill_pattern_0_2: 1160000 rects
caravel_00020006_fill_pattern_0_2: 1170000 rects
caravel_00020006_fill_pattern_0_2: 1180000 rects
caravel_00020006_fill_pattern_0_2: 1190000 rects
caravel_00020006_fill_pattern_0_2: 1200000 rects
caravel_00020006_fill_pattern_0_2: 1210000 rects
caravel_00020006_fill_pattern_0_2: 1220000 rects
caravel_00020006_fill_pattern_0_2: 1230000 rects
caravel_00020006_fill_pattern_0_2: 1240000 rects
caravel_00020006_fill_pattern_0_2: 1250000 rects
caravel_00020006_fill_pattern_0_2: 1260000 rects
caravel_00020006_fill_pattern_0_2: 1270000 rects
caravel_00020006_fill_pattern_0_2: 1280000 rects
caravel_00020006_fill_pattern_0_2: 1290000 rects
caravel_00020006_fill_pattern_0_2: 1300000 rects
caravel_00020006_fill_pattern_0_2: 1310000 rects
caravel_00020006_fill_pattern_0_2: 1320000 rects
caravel_00020006_fill_pattern_0_2: 1330000 rects
caravel_00020006_fill_pattern_0_2: 1340000 rects
caravel_00020006_fill_pattern_0_2: 1350000 rects
caravel_00020006_fill_pattern_0_2: 1360000 rects
caravel_00020006_fill_pattern_0_2: 1370000 rects
caravel_00020006_fill_pattern_0_2: 1380000 rects
caravel_00020006_fill_pattern_0_2: 1390000 rects
caravel_00020006_fill_pattern_0_2: 1400000 rects
caravel_00020006_fill_pattern_0_2: 1410000 rects
caravel_00020006_fill_pattern_0_2: 1420000 rects
caravel_00020006_fill_pattern_0_2: 1430000 rects
caravel_00020006_fill_pattern_0_2: 1440000 rects
caravel_00020006_fill_pattern_0_2: 1450000 rects
caravel_00020006_fill_pattern_0_2: 1460000 rects
caravel_00020006_fill_pattern_0_2: 1470000 rects
caravel_00020006_fill_pattern_0_2: 1480000 rects
caravel_00020006_fill_pattern_0_2: 1490000 rects
caravel_00020006_fill_pattern_0_2: 1500000 rects
caravel_00020006_fill_pattern_0_2: 1510000 rects
caravel_00020006_fill_pattern_0_2: 1520000 rects
caravel_00020006_fill_pattern_0_2: 1530000 rects
caravel_00020006_fill_pattern_0_2: 1540000 rects
caravel_00020006_fill_pattern_0_2: 1550000 rects
Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
Starting magic under Tcl interpreter
Using the terminal as the console.
Using NULL graphics device.
site.pre: In custom site.pre...
site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
Processing system .magicrc file
site.def: In custom site.def...
Sourcing design .magicrc for technology sky130A ...
2 Magic internal units = 1 Lambda
Input style sky130(vendor): scaleFactor=2, multiplier=2
Scaled tech values by 2 / 1 to match internal grid scaling
Loading sky130A Device Generator Menu ...
Loading "/mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/generate_fill_dist.tcl" from command line.
caravel_00020006_fill_pattern_0_5: 10000 rects
caravel_00020006_fill_pattern_0_5: 20000 rects
caravel_00020006_fill_pattern_0_5: 30000 rects
caravel_00020006_fill_pattern_0_5: 40000 rects
caravel_00020006_fill_pattern_0_5: 50000 rects
caravel_00020006_fill_pattern_0_5: 60000 rects
caravel_00020006_fill_pattern_0_5: 70000 rects
caravel_00020006_fill_pattern_0_5: 80000 rects
caravel_00020006_fill_pattern_0_5: 90000 rects
caravel_00020006_fill_pattern_0_5: 100000 rects
caravel_00020006_fill_pattern_0_5: 110000 rects
caravel_00020006_fill_pattern_0_5: 120000 rects
caravel_00020006_fill_pattern_0_5: 130000 rects
caravel_00020006_fill_pattern_0_5: 140000 rects
caravel_00020006_fill_pattern_0_5: 150000 rects
caravel_00020006_fill_pattern_0_5: 160000 rects
caravel_00020006_fill_pattern_0_5: 170000 rects
caravel_00020006_fill_pattern_0_5: 180000 rects
caravel_00020006_fill_pattern_0_5: 190000 rects
caravel_00020006_fill_pattern_0_5: 200000 rects
caravel_00020006_fill_pattern_0_5: 210000 rects
caravel_00020006_fill_pattern_0_5: 220000 rects
caravel_00020006_fill_pattern_0_5: 230000 rects
caravel_00020006_fill_pattern_0_5: 240000 rects
caravel_00020006_fill_pattern_0_5: 250000 rects
caravel_00020006_fill_pattern_0_5: 260000 rects
caravel_00020006_fill_pattern_0_5: 270000 rects
caravel_00020006_fill_pattern_0_5: 280000 rects
caravel_00020006_fill_pattern_0_5: 290000 rects
caravel_00020006_fill_pattern_0_5: 300000 rects
caravel_00020006_fill_pattern_0_5: 310000 rects
caravel_00020006_fill_pattern_0_5: 320000 rects
caravel_00020006_fill_pattern_0_5: 330000 rects
caravel_00020006_fill_pattern_0_5: 340000 rects
caravel_00020006_fill_pattern_0_5: 350000 rects
caravel_00020006_fill_pattern_0_5: 360000 rects
caravel_00020006_fill_pattern_0_5: 370000 rects
caravel_00020006_fill_pattern_0_5: 380000 rects
caravel_00020006_fill_pattern_0_5: 390000 rects
caravel_00020006_fill_pattern_0_5: 400000 rects
caravel_00020006_fill_pattern_0_5: 410000 rects
caravel_00020006_fill_pattern_0_5: 420000 rects
caravel_00020006_fill_pattern_0_5: 430000 rects
caravel_00020006_fill_pattern_0_5: 440000 rects
caravel_00020006_fill_pattern_0_5: 450000 rects
caravel_00020006_fill_pattern_0_5: 460000 rects
caravel_00020006_fill_pattern_0_5: 470000 rects
caravel_00020006_fill_pattern_0_5: 480000 rects
caravel_00020006_fill_pattern_0_5: 490000 rects
caravel_00020006_fill_pattern_0_5: 500000 rects
caravel_00020006_fill_pattern_0_5: 510000 rects
caravel_00020006_fill_pattern_0_5: 520000 rects
caravel_00020006_fill_pattern_0_5: 530000 rects
caravel_00020006_fill_pattern_0_5: 540000 rects
caravel_00020006_fill_pattern_0_5: 550000 rects
caravel_00020006_fill_pattern_0_5: 560000 rects
caravel_00020006_fill_pattern_0_5: 570000 rects
caravel_00020006_fill_pattern_0_5: 580000 rects
caravel_00020006_fill_pattern_0_5: 590000 rects
caravel_00020006_fill_pattern_0_5: 600000 rects
caravel_00020006_fill_pattern_0_5: 610000 rects
caravel_00020006_fill_pattern_0_5: 620000 rects
caravel_00020006_fill_pattern_0_5: 630000 rects
caravel_00020006_fill_pattern_0_5: 640000 rects
caravel_00020006_fill_pattern_0_5: 650000 rects
caravel_00020006_fill_pattern_0_5: 660000 rects
caravel_00020006_fill_pattern_0_5: 670000 rects
caravel_00020006_fill_pattern_0_5: 680000 rects
caravel_00020006_fill_pattern_0_5: 690000 rects
caravel_00020006_fill_pattern_0_5: 700000 rects
caravel_00020006_fill_pattern_0_5: 710000 rects
caravel_00020006_fill_pattern_0_5: 720000 rects
caravel_00020006_fill_pattern_0_5: 730000 rects
caravel_00020006_fill_pattern_0_5: 740000 rects
caravel_00020006_fill_pattern_0_5: 750000 rects
caravel_00020006_fill_pattern_0_5: 760000 rects
caravel_00020006_fill_pattern_0_5: 770000 rects
caravel_00020006_fill_pattern_0_5: 780000 rects
caravel_00020006_fill_pattern_0_5: 790000 rects
caravel_00020006_fill_pattern_0_5: 800000 rects
caravel_00020006_fill_pattern_0_5: 810000 rects
caravel_00020006_fill_pattern_0_5: 820000 rects
caravel_00020006_fill_pattern_0_5: 830000 rects
caravel_00020006_fill_pattern_0_5: 840000 rects
caravel_00020006_fill_pattern_0_5: 850000 rects
caravel_00020006_fill_pattern_0_5: 860000 rects
caravel_00020006_fill_pattern_0_5: 870000 rects
caravel_00020006_fill_pattern_0_5: 880000 rects
caravel_00020006_fill_pattern_0_5: 890000 rects
caravel_00020006_fill_pattern_0_5: 900000 rects
caravel_00020006_fill_pattern_0_5: 910000 rects
caravel_00020006_fill_pattern_0_5: 920000 rects
caravel_00020006_fill_pattern_0_5: 930000 rects
caravel_00020006_fill_pattern_0_5: 940000 rects
caravel_00020006_fill_pattern_0_5: 950000 rects
caravel_00020006_fill_pattern_0_5: 960000 rects
caravel_00020006_fill_pattern_0_5: 970000 rects
caravel_00020006_fill_pattern_0_5: 980000 rects
caravel_00020006_fill_pattern_0_5: 990000 rects
caravel_00020006_fill_pattern_0_5: 1000000 rects
caravel_00020006_fill_pattern_0_5: 1010000 rects
caravel_00020006_fill_pattern_0_5: 1020000 rects
caravel_00020006_fill_pattern_0_5: 1030000 rects
caravel_00020006_fill_pattern_0_5: 1040000 rects
caravel_00020006_fill_pattern_0_5: 1050000 rects
caravel_00020006_fill_pattern_0_5: 1060000 rects
caravel_00020006_fill_pattern_0_5: 1070000 rects
caravel_00020006_fill_pattern_0_5: 1080000 rects
caravel_00020006_fill_pattern_0_5: 1090000 rects
caravel_00020006_fill_pattern_0_5: 1100000 rects
caravel_00020006_fill_pattern_0_5: 1110000 rects
caravel_00020006_fill_pattern_0_5: 1120000 rects
caravel_00020006_fill_pattern_0_5: 1130000 rects
caravel_00020006_fill_pattern_0_5: 1140000 rects
caravel_00020006_fill_pattern_0_5: 1150000 rects
caravel_00020006_fill_pattern_0_5: 1160000 rects
caravel_00020006_fill_pattern_0_5: 1170000 rects
caravel_00020006_fill_pattern_0_5: 1180000 rects
caravel_00020006_fill_pattern_0_5: 1190000 rects
caravel_00020006_fill_pattern_0_5: 1200000 rects
caravel_00020006_fill_pattern_0_5: 1210000 rects
caravel_00020006_fill_pattern_0_5: 1220000 rects
caravel_00020006_fill_pattern_0_5: 1230000 rects
caravel_00020006_fill_pattern_0_5: 1240000 rects
caravel_00020006_fill_pattern_0_5: 1250000 rects
caravel_00020006_fill_pattern_0_5: 1260000 rects
caravel_00020006_fill_pattern_0_5: 1270000 rects
caravel_00020006_fill_pattern_0_5: 1280000 rects
caravel_00020006_fill_pattern_0_5: 1290000 rects
caravel_00020006_fill_pattern_0_5: 1300000 rects
caravel_00020006_fill_pattern_0_5: 1310000 rects
caravel_00020006_fill_pattern_0_5: 1320000 rects
caravel_00020006_fill_pattern_0_5: 1330000 rects
caravel_00020006_fill_pattern_0_5: 1340000 rects
caravel_00020006_fill_pattern_0_5: 1350000 rects
caravel_00020006_fill_pattern_0_5: 1360000 rects
caravel_00020006_fill_pattern_0_5: 1370000 rects
caravel_00020006_fill_pattern_0_5: 1380000 rects
caravel_00020006_fill_pattern_0_5: 1390000 rects
caravel_00020006_fill_pattern_0_5: 1400000 rects
caravel_00020006_fill_pattern_0_5: 1410000 rects
caravel_00020006_fill_pattern_0_5: 1420000 rects
caravel_00020006_fill_pattern_0_5: 1430000 rects
caravel_00020006_fill_pattern_0_5: 1440000 rects
caravel_00020006_fill_pattern_0_5: 1450000 rects
caravel_00020006_fill_pattern_0_5: 1460000 rects
caravel_00020006_fill_pattern_0_5: 1470000 rects
caravel_00020006_fill_pattern_0_5: 1480000 rects
caravel_00020006_fill_pattern_0_5: 1490000 rects
caravel_00020006_fill_pattern_0_5: 1500000 rects
caravel_00020006_fill_pattern_0_5: 1510000 rects
caravel_00020006_fill_pattern_0_5: 1520000 rects
caravel_00020006_fill_pattern_0_5: 1530000 rects
caravel_00020006_fill_pattern_0_5: 1540000 rects
caravel_00020006_fill_pattern_0_5: 1550000 rects
Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
Starting magic under Tcl interpreter
Using the terminal as the console.
Using NULL graphics device.
site.pre: In custom site.pre...
site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
Processing system .magicrc file
site.def: In custom site.def...
Sourcing design .magicrc for technology sky130A ...
2 Magic internal units = 1 Lambda
Input style sky130(vendor): scaleFactor=2, multiplier=2
Scaled tech values by 2 / 1 to match internal grid scaling
Loading sky130A Device Generator Menu ...
Loading "/mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/generate_fill_dist.tcl" from command line.
caravel_00020006_fill_pattern_4_0: 10000 rects
caravel_00020006_fill_pattern_4_0: 20000 rects
caravel_00020006_fill_pattern_4_0: 30000 rects
caravel_00020006_fill_pattern_4_0: 40000 rects
caravel_00020006_fill_pattern_4_0: 50000 rects
caravel_00020006_fill_pattern_4_0: 60000 rects
caravel_00020006_fill_pattern_4_0: 70000 rects
caravel_00020006_fill_pattern_4_0: 80000 rects
caravel_00020006_fill_pattern_4_0: 90000 rects
caravel_00020006_fill_pattern_4_0: 100000 rects
caravel_00020006_fill_pattern_4_0: 110000 rects
caravel_00020006_fill_pattern_4_0: 120000 rects
caravel_00020006_fill_pattern_4_0: 130000 rects
caravel_00020006_fill_pattern_4_0: 140000 rects
caravel_00020006_fill_pattern_4_0: 150000 rects
caravel_00020006_fill_pattern_4_0: 160000 rects
caravel_00020006_fill_pattern_4_0: 170000 rects
caravel_00020006_fill_pattern_4_0: 180000 rects
caravel_00020006_fill_pattern_4_0: 190000 rects
caravel_00020006_fill_pattern_4_0: 200000 rects
caravel_00020006_fill_pattern_4_0: 210000 rects
caravel_00020006_fill_pattern_4_0: 220000 rects
caravel_00020006_fill_pattern_4_0: 230000 rects
caravel_00020006_fill_pattern_4_0: 240000 rects
caravel_00020006_fill_pattern_4_0: 250000 rects
caravel_00020006_fill_pattern_4_0: 260000 rects
caravel_00020006_fill_pattern_4_0: 270000 rects
caravel_00020006_fill_pattern_4_0: 280000 rects
caravel_00020006_fill_pattern_4_0: 290000 rects
caravel_00020006_fill_pattern_4_0: 300000 rects
caravel_00020006_fill_pattern_4_0: 310000 rects
caravel_00020006_fill_pattern_4_0: 320000 rects
caravel_00020006_fill_pattern_4_0: 330000 rects
caravel_00020006_fill_pattern_4_0: 340000 rects
caravel_00020006_fill_pattern_4_0: 350000 rects
caravel_00020006_fill_pattern_4_0: 360000 rects
caravel_00020006_fill_pattern_4_0: 370000 rects
caravel_00020006_fill_pattern_4_0: 380000 rects
caravel_00020006_fill_pattern_4_0: 390000 rects
caravel_00020006_fill_pattern_4_0: 400000 rects
caravel_00020006_fill_pattern_4_0: 410000 rects
caravel_00020006_fill_pattern_4_0: 420000 rects
caravel_00020006_fill_pattern_4_0: 430000 rects
caravel_00020006_fill_pattern_4_0: 440000 rects
caravel_00020006_fill_pattern_4_0: 450000 rects
caravel_00020006_fill_pattern_4_0: 460000 rects
caravel_00020006_fill_pattern_4_0: 470000 rects
caravel_00020006_fill_pattern_4_0: 480000 rects
caravel_00020006_fill_pattern_4_0: 490000 rects
caravel_00020006_fill_pattern_4_0: 500000 rects
caravel_00020006_fill_pattern_4_0: 510000 rects
caravel_00020006_fill_pattern_4_0: 520000 rects
caravel_00020006_fill_pattern_4_0: 530000 rects
caravel_00020006_fill_pattern_4_0: 540000 rects
caravel_00020006_fill_pattern_4_0: 550000 rects
caravel_00020006_fill_pattern_4_0: 560000 rects
caravel_00020006_fill_pattern_4_0: 570000 rects
caravel_00020006_fill_pattern_4_0: 580000 rects
caravel_00020006_fill_pattern_4_0: 590000 rects
caravel_00020006_fill_pattern_4_0: 600000 rects
caravel_00020006_fill_pattern_4_0: 610000 rects
caravel_00020006_fill_pattern_4_0: 620000 rects
caravel_00020006_fill_pattern_4_0: 630000 rects
caravel_00020006_fill_pattern_4_0: 640000 rects
caravel_00020006_fill_pattern_4_0: 650000 rects
caravel_00020006_fill_pattern_4_0: 660000 rects
caravel_00020006_fill_pattern_4_0: 670000 rects
caravel_00020006_fill_pattern_4_0: 680000 rects
caravel_00020006_fill_pattern_4_0: 690000 rects
caravel_00020006_fill_pattern_4_0: 700000 rects
caravel_00020006_fill_pattern_4_0: 710000 rects
caravel_00020006_fill_pattern_4_0: 720000 rects
caravel_00020006_fill_pattern_4_0: 730000 rects
caravel_00020006_fill_pattern_4_0: 740000 rects
caravel_00020006_fill_pattern_4_0: 750000 rects
caravel_00020006_fill_pattern_4_0: 760000 rects
caravel_00020006_fill_pattern_4_0: 770000 rects
caravel_00020006_fill_pattern_4_0: 780000 rects
caravel_00020006_fill_pattern_4_0: 790000 rects
caravel_00020006_fill_pattern_4_0: 800000 rects
caravel_00020006_fill_pattern_4_0: 810000 rects
caravel_00020006_fill_pattern_4_0: 820000 rects
caravel_00020006_fill_pattern_4_0: 830000 rects
caravel_00020006_fill_pattern_4_0: 840000 rects
caravel_00020006_fill_pattern_4_0: 850000 rects
caravel_00020006_fill_pattern_4_0: 860000 rects
caravel_00020006_fill_pattern_4_0: 870000 rects
caravel_00020006_fill_pattern_4_0: 880000 rects
caravel_00020006_fill_pattern_4_0: 890000 rects
caravel_00020006_fill_pattern_4_0: 900000 rects
caravel_00020006_fill_pattern_4_0: 910000 rects
caravel_00020006_fill_pattern_4_0: 920000 rects
caravel_00020006_fill_pattern_4_0: 930000 rects
caravel_00020006_fill_pattern_4_0: 940000 rects
caravel_00020006_fill_pattern_4_0: 950000 rects
caravel_00020006_fill_pattern_4_0: 960000 rects
caravel_00020006_fill_pattern_4_0: 970000 rects
caravel_00020006_fill_pattern_4_0: 980000 rects
caravel_00020006_fill_pattern_4_0: 990000 rects
caravel_00020006_fill_pattern_4_0: 1000000 rects
caravel_00020006_fill_pattern_4_0: 1010000 rects
caravel_00020006_fill_pattern_4_0: 1020000 rects
caravel_00020006_fill_pattern_4_0: 1030000 rects
caravel_00020006_fill_pattern_4_0: 1040000 rects
caravel_00020006_fill_pattern_4_0: 1050000 rects
caravel_00020006_fill_pattern_4_0: 1060000 rects
caravel_00020006_fill_pattern_4_0: 1070000 rects
caravel_00020006_fill_pattern_4_0: 1080000 rects
caravel_00020006_fill_pattern_4_0: 1090000 rects
caravel_00020006_fill_pattern_4_0: 1100000 rects
caravel_00020006_fill_pattern_4_0: 1110000 rects
caravel_00020006_fill_pattern_4_0: 1120000 rects
caravel_00020006_fill_pattern_4_0: 1130000 rects
caravel_00020006_fill_pattern_4_0: 1140000 rects
caravel_00020006_fill_pattern_4_0: 1150000 rects
caravel_00020006_fill_pattern_4_0: 1160000 rects
caravel_00020006_fill_pattern_4_0: 1170000 rects
caravel_00020006_fill_pattern_4_0: 1180000 rects
caravel_00020006_fill_pattern_4_0: 1190000 rects
caravel_00020006_fill_pattern_4_0: 1200000 rects
caravel_00020006_fill_pattern_4_0: 1210000 rects
caravel_00020006_fill_pattern_4_0: 1220000 rects
caravel_00020006_fill_pattern_4_0: 1230000 rects
caravel_00020006_fill_pattern_4_0: 1240000 rects
caravel_00020006_fill_pattern_4_0: 1250000 rects
caravel_00020006_fill_pattern_4_0: 1260000 rects
caravel_00020006_fill_pattern_4_0: 1270000 rects
caravel_00020006_fill_pattern_4_0: 1280000 rects
caravel_00020006_fill_pattern_4_0: 1290000 rects
caravel_00020006_fill_pattern_4_0: 1300000 rects
caravel_00020006_fill_pattern_4_0: 1310000 rects
caravel_00020006_fill_pattern_4_0: 1320000 rects
caravel_00020006_fill_pattern_4_0: 1330000 rects
caravel_00020006_fill_pattern_4_0: 1340000 rects
caravel_00020006_fill_pattern_4_0: 1350000 rects
caravel_00020006_fill_pattern_4_0: 1360000 rects
caravel_00020006_fill_pattern_4_0: 1370000 rects
caravel_00020006_fill_pattern_4_0: 1380000 rects
caravel_00020006_fill_pattern_4_0: 1390000 rects
caravel_00020006_fill_pattern_4_0: 1400000 rects
caravel_00020006_fill_pattern_4_0: 1410000 rects
caravel_00020006_fill_pattern_4_0: 1420000 rects
caravel_00020006_fill_pattern_4_0: 1430000 rects
caravel_00020006_fill_pattern_4_0: 1440000 rects
caravel_00020006_fill_pattern_4_0: 1450000 rects
caravel_00020006_fill_pattern_4_0: 1460000 rects
caravel_00020006_fill_pattern_4_0: 1470000 rects
caravel_00020006_fill_pattern_4_0: 1480000 rects
caravel_00020006_fill_pattern_4_0: 1490000 rects
caravel_00020006_fill_pattern_4_0: 1500000 rects
caravel_00020006_fill_pattern_4_0: 1510000 rects
caravel_00020006_fill_pattern_4_0: 1520000 rects
caravel_00020006_fill_pattern_4_0: 1530000 rects
caravel_00020006_fill_pattern_4_0: 1540000 rects
caravel_00020006_fill_pattern_4_0: 1550000 rects
Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
Starting magic under Tcl interpreter
Using the terminal as the console.
Using NULL graphics device.
site.pre: In custom site.pre...
site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
Processing system .magicrc file
site.def: In custom site.def...
Sourcing design .magicrc for technology sky130A ...
2 Magic internal units = 1 Lambda
Input style sky130(vendor): scaleFactor=2, multiplier=2
Scaled tech values by 2 / 1 to match internal grid scaling
Loading sky130A Device Generator Menu ...
Loading "/mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/generate_fill_dist.tcl" from command line.
caravel_00020006_fill_pattern_4_1: 10000 rects
caravel_00020006_fill_pattern_4_1: 20000 rects
caravel_00020006_fill_pattern_4_1: 30000 rects
caravel_00020006_fill_pattern_4_1: 40000 rects
caravel_00020006_fill_pattern_4_1: 50000 rects
caravel_00020006_fill_pattern_4_1: 60000 rects
caravel_00020006_fill_pattern_4_1: 70000 rects
caravel_00020006_fill_pattern_4_1: 80000 rects
caravel_00020006_fill_pattern_4_1: 90000 rects
caravel_00020006_fill_pattern_4_1: 100000 rects
caravel_00020006_fill_pattern_4_1: 110000 rects
caravel_00020006_fill_pattern_4_1: 120000 rects
caravel_00020006_fill_pattern_4_1: 130000 rects
caravel_00020006_fill_pattern_4_1: 140000 rects
caravel_00020006_fill_pattern_4_1: 150000 rects
caravel_00020006_fill_pattern_4_1: 160000 rects
caravel_00020006_fill_pattern_4_1: 170000 rects
caravel_00020006_fill_pattern_4_1: 180000 rects
caravel_00020006_fill_pattern_4_1: 190000 rects
caravel_00020006_fill_pattern_4_1: 200000 rects
caravel_00020006_fill_pattern_4_1: 210000 rects
caravel_00020006_fill_pattern_4_1: 220000 rects
caravel_00020006_fill_pattern_4_1: 230000 rects
caravel_00020006_fill_pattern_4_1: 240000 rects
caravel_00020006_fill_pattern_4_1: 250000 rects
caravel_00020006_fill_pattern_4_1: 260000 rects
caravel_00020006_fill_pattern_4_1: 270000 rects
caravel_00020006_fill_pattern_4_1: 280000 rects
caravel_00020006_fill_pattern_4_1: 290000 rects
caravel_00020006_fill_pattern_4_1: 300000 rects
caravel_00020006_fill_pattern_4_1: 310000 rects
caravel_00020006_fill_pattern_4_1: 320000 rects
caravel_00020006_fill_pattern_4_1: 330000 rects
caravel_00020006_fill_pattern_4_1: 340000 rects
caravel_00020006_fill_pattern_4_1: 350000 rects
caravel_00020006_fill_pattern_4_1: 360000 rects
caravel_00020006_fill_pattern_4_1: 370000 rects
caravel_00020006_fill_pattern_4_1: 380000 rects
caravel_00020006_fill_pattern_4_1: 390000 rects
caravel_00020006_fill_pattern_4_1: 400000 rects
caravel_00020006_fill_pattern_4_1: 410000 rects
caravel_00020006_fill_pattern_4_1: 420000 rects
caravel_00020006_fill_pattern_4_1: 430000 rects
caravel_00020006_fill_pattern_4_1: 440000 rects
caravel_00020006_fill_pattern_4_1: 450000 rects
caravel_00020006_fill_pattern_4_1: 460000 rects
caravel_00020006_fill_pattern_4_1: 470000 rects
caravel_00020006_fill_pattern_4_1: 480000 rects
caravel_00020006_fill_pattern_4_1: 490000 rects
caravel_00020006_fill_pattern_4_1: 500000 rects
caravel_00020006_fill_pattern_4_1: 510000 rects
caravel_00020006_fill_pattern_4_1: 520000 rects
caravel_00020006_fill_pattern_4_1: 530000 rects
caravel_00020006_fill_pattern_4_1: 540000 rects
caravel_00020006_fill_pattern_4_1: 550000 rects
caravel_00020006_fill_pattern_4_1: 560000 rects
caravel_00020006_fill_pattern_4_1: 570000 rects
caravel_00020006_fill_pattern_4_1: 580000 rects
caravel_00020006_fill_pattern_4_1: 590000 rects
caravel_00020006_fill_pattern_4_1: 600000 rects
caravel_00020006_fill_pattern_4_1: 610000 rects
caravel_00020006_fill_pattern_4_1: 620000 rects
caravel_00020006_fill_pattern_4_1: 630000 rects
caravel_00020006_fill_pattern_4_1: 640000 rects
caravel_00020006_fill_pattern_4_1: 650000 rects
caravel_00020006_fill_pattern_4_1: 660000 rects
caravel_00020006_fill_pattern_4_1: 670000 rects
caravel_00020006_fill_pattern_4_1: 680000 rects
caravel_00020006_fill_pattern_4_1: 690000 rects
caravel_00020006_fill_pattern_4_1: 700000 rects
caravel_00020006_fill_pattern_4_1: 710000 rects
caravel_00020006_fill_pattern_4_1: 720000 rects
caravel_00020006_fill_pattern_4_1: 730000 rects
caravel_00020006_fill_pattern_4_1: 740000 rects
caravel_00020006_fill_pattern_4_1: 750000 rects
caravel_00020006_fill_pattern_4_1: 760000 rects
caravel_00020006_fill_pattern_4_1: 770000 rects
caravel_00020006_fill_pattern_4_1: 780000 rects
caravel_00020006_fill_pattern_4_1: 790000 rects
caravel_00020006_fill_pattern_4_1: 800000 rects
caravel_00020006_fill_pattern_4_1: 810000 rects
caravel_00020006_fill_pattern_4_1: 820000 rects
caravel_00020006_fill_pattern_4_1: 830000 rects
caravel_00020006_fill_pattern_4_1: 840000 rects
caravel_00020006_fill_pattern_4_1: 850000 rects
caravel_00020006_fill_pattern_4_1: 860000 rects
caravel_00020006_fill_pattern_4_1: 870000 rects
caravel_00020006_fill_pattern_4_1: 880000 rects
caravel_00020006_fill_pattern_4_1: 890000 rects
caravel_00020006_fill_pattern_4_1: 900000 rects
caravel_00020006_fill_pattern_4_1: 910000 rects
caravel_00020006_fill_pattern_4_1: 920000 rects
caravel_00020006_fill_pattern_4_1: 930000 rects
caravel_00020006_fill_pattern_4_1: 940000 rects
caravel_00020006_fill_pattern_4_1: 950000 rects
caravel_00020006_fill_pattern_4_1: 960000 rects
caravel_00020006_fill_pattern_4_1: 970000 rects
caravel_00020006_fill_pattern_4_1: 980000 rects
caravel_00020006_fill_pattern_4_1: 990000 rects
caravel_00020006_fill_pattern_4_1: 1000000 rects
caravel_00020006_fill_pattern_4_1: 1010000 rects
caravel_00020006_fill_pattern_4_1: 1020000 rects
caravel_00020006_fill_pattern_4_1: 1030000 rects
caravel_00020006_fill_pattern_4_1: 1040000 rects
caravel_00020006_fill_pattern_4_1: 1050000 rects
caravel_00020006_fill_pattern_4_1: 1060000 rects
caravel_00020006_fill_pattern_4_1: 1070000 rects
caravel_00020006_fill_pattern_4_1: 1080000 rects
caravel_00020006_fill_pattern_4_1: 1090000 rects
caravel_00020006_fill_pattern_4_1: 1100000 rects
caravel_00020006_fill_pattern_4_1: 1110000 rects
caravel_00020006_fill_pattern_4_1: 1120000 rects
caravel_00020006_fill_pattern_4_1: 1130000 rects
caravel_00020006_fill_pattern_4_1: 1140000 rects
caravel_00020006_fill_pattern_4_1: 1150000 rects
caravel_00020006_fill_pattern_4_1: 1160000 rects
caravel_00020006_fill_pattern_4_1: 1170000 rects
caravel_00020006_fill_pattern_4_1: 1180000 rects
caravel_00020006_fill_pattern_4_1: 1190000 rects
caravel_00020006_fill_pattern_4_1: 1200000 rects
caravel_00020006_fill_pattern_4_1: 1210000 rects
caravel_00020006_fill_pattern_4_1: 1220000 rects
caravel_00020006_fill_pattern_4_1: 1230000 rects
caravel_00020006_fill_pattern_4_1: 1240000 rects
caravel_00020006_fill_pattern_4_1: 1250000 rects
caravel_00020006_fill_pattern_4_1: 1260000 rects
caravel_00020006_fill_pattern_4_1: 1270000 rects
caravel_00020006_fill_pattern_4_1: 1280000 rects
caravel_00020006_fill_pattern_4_1: 1290000 rects
caravel_00020006_fill_pattern_4_1: 1300000 rects
caravel_00020006_fill_pattern_4_1: 1310000 rects
caravel_00020006_fill_pattern_4_1: 1320000 rects
caravel_00020006_fill_pattern_4_1: 1330000 rects
caravel_00020006_fill_pattern_4_1: 1340000 rects
caravel_00020006_fill_pattern_4_1: 1350000 rects
caravel_00020006_fill_pattern_4_1: 1360000 rects
caravel_00020006_fill_pattern_4_1: 1370000 rects
caravel_00020006_fill_pattern_4_1: 1380000 rects
caravel_00020006_fill_pattern_4_1: 1390000 rects
caravel_00020006_fill_pattern_4_1: 1400000 rects
caravel_00020006_fill_pattern_4_1: 1410000 rects
caravel_00020006_fill_pattern_4_1: 1420000 rects
caravel_00020006_fill_pattern_4_1: 1430000 rects
caravel_00020006_fill_pattern_4_1: 1440000 rects
caravel_00020006_fill_pattern_4_1: 1450000 rects
caravel_00020006_fill_pattern_4_1: 1460000 rects
caravel_00020006_fill_pattern_4_1: 1470000 rects
caravel_00020006_fill_pattern_4_1: 1480000 rects
caravel_00020006_fill_pattern_4_1: 1490000 rects
caravel_00020006_fill_pattern_4_1: 1500000 rects
caravel_00020006_fill_pattern_4_1: 1510000 rects
caravel_00020006_fill_pattern_4_1: 1520000 rects
caravel_00020006_fill_pattern_4_1: 1530000 rects
caravel_00020006_fill_pattern_4_1: 1540000 rects
caravel_00020006_fill_pattern_4_1: 1550000 rects
Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
Starting magic under Tcl interpreter
Using the terminal as the console.
Using NULL graphics device.
site.pre: In custom site.pre...
site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
Processing system .magicrc file
site.def: In custom site.def...
Sourcing design .magicrc for technology sky130A ...
2 Magic internal units = 1 Lambda
Input style sky130(vendor): scaleFactor=2, multiplier=2
Scaled tech values by 2 / 1 to match internal grid scaling
Loading sky130A Device Generator Menu ...
Loading "/mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/generate_fill_dist.tcl" from command line.
caravel_00020006_fill_pattern_0_1: 10000 rects
caravel_00020006_fill_pattern_0_1: 20000 rects
caravel_00020006_fill_pattern_0_1: 30000 rects
caravel_00020006_fill_pattern_0_1: 40000 rects
caravel_00020006_fill_pattern_0_1: 50000 rects
caravel_00020006_fill_pattern_0_1: 60000 rects
caravel_00020006_fill_pattern_0_1: 70000 rects
caravel_00020006_fill_pattern_0_1: 80000 rects
caravel_00020006_fill_pattern_0_1: 90000 rects
caravel_00020006_fill_pattern_0_1: 100000 rects
caravel_00020006_fill_pattern_0_1: 110000 rects
caravel_00020006_fill_pattern_0_1: 120000 rects
caravel_00020006_fill_pattern_0_1: 130000 rects
caravel_00020006_fill_pattern_0_1: 140000 rects
caravel_00020006_fill_pattern_0_1: 150000 rects
caravel_00020006_fill_pattern_0_1: 160000 rects
caravel_00020006_fill_pattern_0_1: 170000 rects
caravel_00020006_fill_pattern_0_1: 180000 rects
caravel_00020006_fill_pattern_0_1: 190000 rects
caravel_00020006_fill_pattern_0_1: 200000 rects
caravel_00020006_fill_pattern_0_1: 210000 rects
caravel_00020006_fill_pattern_0_1: 220000 rects
caravel_00020006_fill_pattern_0_1: 230000 rects
caravel_00020006_fill_pattern_0_1: 240000 rects
caravel_00020006_fill_pattern_0_1: 250000 rects
caravel_00020006_fill_pattern_0_1: 260000 rects
caravel_00020006_fill_pattern_0_1: 270000 rects
caravel_00020006_fill_pattern_0_1: 280000 rects
caravel_00020006_fill_pattern_0_1: 290000 rects
caravel_00020006_fill_pattern_0_1: 300000 rects
caravel_00020006_fill_pattern_0_1: 310000 rects
caravel_00020006_fill_pattern_0_1: 320000 rects
caravel_00020006_fill_pattern_0_1: 330000 rects
caravel_00020006_fill_pattern_0_1: 340000 rects
caravel_00020006_fill_pattern_0_1: 350000 rects
caravel_00020006_fill_pattern_0_1: 360000 rects
caravel_00020006_fill_pattern_0_1: 370000 rects
caravel_00020006_fill_pattern_0_1: 380000 rects
caravel_00020006_fill_pattern_0_1: 390000 rects
caravel_00020006_fill_pattern_0_1: 400000 rects
caravel_00020006_fill_pattern_0_1: 410000 rects
caravel_00020006_fill_pattern_0_1: 420000 rects
caravel_00020006_fill_pattern_0_1: 430000 rects
caravel_00020006_fill_pattern_0_1: 440000 rects
caravel_00020006_fill_pattern_0_1: 450000 rects
caravel_00020006_fill_pattern_0_1: 460000 rects
caravel_00020006_fill_pattern_0_1: 470000 rects
caravel_00020006_fill_pattern_0_1: 480000 rects
caravel_00020006_fill_pattern_0_1: 490000 rects
caravel_00020006_fill_pattern_0_1: 500000 rects
caravel_00020006_fill_pattern_0_1: 510000 rects
caravel_00020006_fill_pattern_0_1: 520000 rects
caravel_00020006_fill_pattern_0_1: 530000 rects
caravel_00020006_fill_pattern_0_1: 540000 rects
caravel_00020006_fill_pattern_0_1: 550000 rects
caravel_00020006_fill_pattern_0_1: 560000 rects
caravel_00020006_fill_pattern_0_1: 570000 rects
caravel_00020006_fill_pattern_0_1: 580000 rects
caravel_00020006_fill_pattern_0_1: 590000 rects
caravel_00020006_fill_pattern_0_1: 600000 rects
caravel_00020006_fill_pattern_0_1: 610000 rects
caravel_00020006_fill_pattern_0_1: 620000 rects
caravel_00020006_fill_pattern_0_1: 630000 rects
caravel_00020006_fill_pattern_0_1: 640000 rects
caravel_00020006_fill_pattern_0_1: 650000 rects
caravel_00020006_fill_pattern_0_1: 660000 rects
caravel_00020006_fill_pattern_0_1: 670000 rects
caravel_00020006_fill_pattern_0_1: 680000 rects
caravel_00020006_fill_pattern_0_1: 690000 rects
caravel_00020006_fill_pattern_0_1: 700000 rects
caravel_00020006_fill_pattern_0_1: 710000 rects
caravel_00020006_fill_pattern_0_1: 720000 rects
caravel_00020006_fill_pattern_0_1: 730000 rects
caravel_00020006_fill_pattern_0_1: 740000 rects
caravel_00020006_fill_pattern_0_1: 750000 rects
caravel_00020006_fill_pattern_0_1: 760000 rects
caravel_00020006_fill_pattern_0_1: 770000 rects
caravel_00020006_fill_pattern_0_1: 780000 rects
caravel_00020006_fill_pattern_0_1: 790000 rects
caravel_00020006_fill_pattern_0_1: 800000 rects
caravel_00020006_fill_pattern_0_1: 810000 rects
caravel_00020006_fill_pattern_0_1: 820000 rects
caravel_00020006_fill_pattern_0_1: 830000 rects
caravel_00020006_fill_pattern_0_1: 840000 rects
caravel_00020006_fill_pattern_0_1: 850000 rects
caravel_00020006_fill_pattern_0_1: 860000 rects
caravel_00020006_fill_pattern_0_1: 870000 rects
caravel_00020006_fill_pattern_0_1: 880000 rects
caravel_00020006_fill_pattern_0_1: 890000 rects
caravel_00020006_fill_pattern_0_1: 900000 rects
caravel_00020006_fill_pattern_0_1: 910000 rects
caravel_00020006_fill_pattern_0_1: 920000 rects
caravel_00020006_fill_pattern_0_1: 930000 rects
caravel_00020006_fill_pattern_0_1: 940000 rects
caravel_00020006_fill_pattern_0_1: 950000 rects
caravel_00020006_fill_pattern_0_1: 960000 rects
caravel_00020006_fill_pattern_0_1: 970000 rects
caravel_00020006_fill_pattern_0_1: 980000 rects
caravel_00020006_fill_pattern_0_1: 990000 rects
caravel_00020006_fill_pattern_0_1: 1000000 rects
caravel_00020006_fill_pattern_0_1: 1010000 rects
caravel_00020006_fill_pattern_0_1: 1020000 rects
caravel_00020006_fill_pattern_0_1: 1030000 rects
caravel_00020006_fill_pattern_0_1: 1040000 rects
caravel_00020006_fill_pattern_0_1: 1050000 rects
caravel_00020006_fill_pattern_0_1: 1060000 rects
caravel_00020006_fill_pattern_0_1: 1070000 rects
caravel_00020006_fill_pattern_0_1: 1080000 rects
caravel_00020006_fill_pattern_0_1: 1090000 rects
caravel_00020006_fill_pattern_0_1: 1100000 rects
caravel_00020006_fill_pattern_0_1: 1110000 rects
caravel_00020006_fill_pattern_0_1: 1120000 rects
caravel_00020006_fill_pattern_0_1: 1130000 rects
caravel_00020006_fill_pattern_0_1: 1140000 rects
caravel_00020006_fill_pattern_0_1: 1150000 rects
caravel_00020006_fill_pattern_0_1: 1160000 rects
caravel_00020006_fill_pattern_0_1: 1170000 rects
caravel_00020006_fill_pattern_0_1: 1180000 rects
caravel_00020006_fill_pattern_0_1: 1190000 rects
caravel_00020006_fill_pattern_0_1: 1200000 rects
caravel_00020006_fill_pattern_0_1: 1210000 rects
caravel_00020006_fill_pattern_0_1: 1220000 rects
caravel_00020006_fill_pattern_0_1: 1230000 rects
caravel_00020006_fill_pattern_0_1: 1240000 rects
caravel_00020006_fill_pattern_0_1: 1250000 rects
caravel_00020006_fill_pattern_0_1: 1260000 rects
caravel_00020006_fill_pattern_0_1: 1270000 rects
caravel_00020006_fill_pattern_0_1: 1280000 rects
caravel_00020006_fill_pattern_0_1: 1290000 rects
caravel_00020006_fill_pattern_0_1: 1300000 rects
caravel_00020006_fill_pattern_0_1: 1310000 rects
caravel_00020006_fill_pattern_0_1: 1320000 rects
caravel_00020006_fill_pattern_0_1: 1330000 rects
caravel_00020006_fill_pattern_0_1: 1340000 rects
caravel_00020006_fill_pattern_0_1: 1350000 rects
caravel_00020006_fill_pattern_0_1: 1360000 rects
caravel_00020006_fill_pattern_0_1: 1370000 rects
caravel_00020006_fill_pattern_0_1: 1380000 rects
caravel_00020006_fill_pattern_0_1: 1390000 rects
caravel_00020006_fill_pattern_0_1: 1400000 rects
caravel_00020006_fill_pattern_0_1: 1410000 rects
caravel_00020006_fill_pattern_0_1: 1420000 rects
caravel_00020006_fill_pattern_0_1: 1430000 rects
caravel_00020006_fill_pattern_0_1: 1440000 rects
caravel_00020006_fill_pattern_0_1: 1450000 rects
caravel_00020006_fill_pattern_0_1: 1460000 rects
caravel_00020006_fill_pattern_0_1: 1470000 rects
caravel_00020006_fill_pattern_0_1: 1480000 rects
caravel_00020006_fill_pattern_0_1: 1490000 rects
caravel_00020006_fill_pattern_0_1: 1500000 rects
caravel_00020006_fill_pattern_0_1: 1510000 rects
caravel_00020006_fill_pattern_0_1: 1520000 rects
caravel_00020006_fill_pattern_0_1: 1530000 rects
caravel_00020006_fill_pattern_0_1: 1540000 rects
caravel_00020006_fill_pattern_0_1: 1550000 rects
caravel_00020006_fill_pattern_0_1: 1560000 rects
caravel_00020006_fill_pattern_0_1: 1570000 rects
caravel_00020006_fill_pattern_0_1: 1580000 rects
caravel_00020006_fill_pattern_0_1: 1590000 rects
caravel_00020006_fill_pattern_0_1: 1600000 rects
caravel_00020006_fill_pattern_0_1: 1610000 rects
caravel_00020006_fill_pattern_0_1: 1620000 rects
caravel_00020006_fill_pattern_0_1: 1630000 rects
caravel_00020006_fill_pattern_0_1: 1640000 rects
caravel_00020006_fill_pattern_0_1: 1650000 rects
caravel_00020006_fill_pattern_0_1: 1660000 rects
caravel_00020006_fill_pattern_0_1: 1670000 rects
caravel_00020006_fill_pattern_0_1: 1680000 rects
caravel_00020006_fill_pattern_0_1: 1690000 rects
caravel_00020006_fill_pattern_0_1: 1700000 rects
caravel_00020006_fill_pattern_0_1: 1710000 rects
caravel_00020006_fill_pattern_0_1: 1720000 rects
caravel_00020006_fill_pattern_0_1: 1730000 rects
caravel_00020006_fill_pattern_0_1: 1740000 rects
caravel_00020006_fill_pattern_0_1: 1750000 rects
caravel_00020006_fill_pattern_0_1: 1760000 rects
caravel_00020006_fill_pattern_0_1: 1770000 rects
caravel_00020006_fill_pattern_0_1: 1780000 rects
caravel_00020006_fill_pattern_0_1: 1790000 rects
caravel_00020006_fill_pattern_0_1: 1800000 rects
caravel_00020006_fill_pattern_0_1: 1810000 rects
caravel_00020006_fill_pattern_0_1: 1820000 rects
caravel_00020006_fill_pattern_0_1: 1830000 rects
caravel_00020006_fill_pattern_0_1: 1840000 rects
caravel_00020006_fill_pattern_0_1: 1850000 rects
caravel_00020006_fill_pattern_0_1: 1860000 rects
caravel_00020006_fill_pattern_0_1: 1870000 rects
caravel_00020006_fill_pattern_0_1: 1880000 rects
caravel_00020006_fill_pattern_0_1: 1890000 rects
caravel_00020006_fill_pattern_0_1: 1900000 rects
caravel_00020006_fill_pattern_0_1: 1910000 rects
caravel_00020006_fill_pattern_0_1: 1920000 rects
caravel_00020006_fill_pattern_0_1: 1930000 rects
caravel_00020006_fill_pattern_0_1: 1940000 rects
caravel_00020006_fill_pattern_0_1: 1950000 rects
caravel_00020006_fill_pattern_0_1: 1960000 rects
caravel_00020006_fill_pattern_0_1: 1970000 rects
caravel_00020006_fill_pattern_0_1: 1980000 rects
caravel_00020006_fill_pattern_0_1: 1990000 rects
caravel_00020006_fill_pattern_0_1: 2000000 rects
caravel_00020006_fill_pattern_0_1: 2010000 rects
caravel_00020006_fill_pattern_0_1: 2020000 rects
caravel_00020006_fill_pattern_0_1: 2030000 rects
caravel_00020006_fill_pattern_0_1: 2040000 rects
caravel_00020006_fill_pattern_0_1: 2050000 rects
caravel_00020006_fill_pattern_0_1: 2060000 rects
caravel_00020006_fill_pattern_0_1: 2070000 rects
caravel_00020006_fill_pattern_0_1: 2080000 rects
caravel_00020006_fill_pattern_0_1: 2090000 rects
caravel_00020006_fill_pattern_0_1: 2100000 rects
caravel_00020006_fill_pattern_0_1: 2110000 rects
caravel_00020006_fill_pattern_0_1: 2120000 rects
caravel_00020006_fill_pattern_0_1: 2130000 rects
caravel_00020006_fill_pattern_0_1: 2140000 rects
caravel_00020006_fill_pattern_0_1: 2150000 rects
caravel_00020006_fill_pattern_0_1: 2160000 rects
caravel_00020006_fill_pattern_0_1: 2170000 rects
caravel_00020006_fill_pattern_0_1: 2180000 rects
caravel_00020006_fill_pattern_0_1: 2190000 rects
caravel_00020006_fill_pattern_0_1: 2200000 rects
caravel_00020006_fill_pattern_0_1: 2210000 rects
caravel_00020006_fill_pattern_0_1: 2220000 rects
caravel_00020006_fill_pattern_0_1: 2230000 rects
caravel_00020006_fill_pattern_0_1: 2240000 rects
caravel_00020006_fill_pattern_0_1: 2250000 rects
caravel_00020006_fill_pattern_0_1: 2260000 rects
caravel_00020006_fill_pattern_0_1: 2270000 rects
caravel_00020006_fill_pattern_0_1: 2280000 rects
caravel_00020006_fill_pattern_0_1: 2290000 rects
caravel_00020006_fill_pattern_0_1: 2300000 rects
caravel_00020006_fill_pattern_0_1: 2310000 rects
caravel_00020006_fill_pattern_0_1: 2320000 rects
caravel_00020006_fill_pattern_0_1: 2330000 rects
caravel_00020006_fill_pattern_0_1: 2340000 rects
caravel_00020006_fill_pattern_0_1: 2350000 rects
caravel_00020006_fill_pattern_0_1: 2360000 rects
caravel_00020006_fill_pattern_0_1: 2370000 rects
caravel_00020006_fill_pattern_0_1: 2380000 rects
caravel_00020006_fill_pattern_0_1: 2390000 rects
caravel_00020006_fill_pattern_0_1: 2400000 rects
caravel_00020006_fill_pattern_0_1: 2410000 rects
caravel_00020006_fill_pattern_0_1: 2420000 rects
caravel_00020006_fill_pattern_0_1: 2430000 rects
caravel_00020006_fill_pattern_0_1: 2440000 rects
caravel_00020006_fill_pattern_0_1: 2450000 rects
caravel_00020006_fill_pattern_0_1: 2460000 rects
caravel_00020006_fill_pattern_0_1: 2470000 rects
caravel_00020006_fill_pattern_0_1: 2480000 rects
caravel_00020006_fill_pattern_0_1: 2490000 rects
caravel_00020006_fill_pattern_0_1: 2500000 rects
caravel_00020006_fill_pattern_0_1: 2510000 rects
caravel_00020006_fill_pattern_0_1: 2520000 rects
caravel_00020006_fill_pattern_0_1: 2530000 rects
caravel_00020006_fill_pattern_0_1: 2540000 rects
caravel_00020006_fill_pattern_0_1: 2550000 rects
caravel_00020006_fill_pattern_0_1: 2560000 rects
caravel_00020006_fill_pattern_0_1: 2570000 rects
caravel_00020006_fill_pattern_0_1: 2580000 rects
caravel_00020006_fill_pattern_0_1: 2590000 rects
caravel_00020006_fill_pattern_0_1: 2600000 rects
caravel_00020006_fill_pattern_0_1: 2610000 rects
caravel_00020006_fill_pattern_0_1: 2620000 rects
caravel_00020006_fill_pattern_0_1: 2630000 rects
caravel_00020006_fill_pattern_0_1: 2640000 rects
caravel_00020006_fill_pattern_0_1: 2650000 rects
caravel_00020006_fill_pattern_0_1: 2660000 rects
caravel_00020006_fill_pattern_0_1: 2670000 rects
caravel_00020006_fill_pattern_0_1: 2680000 rects
caravel_00020006_fill_pattern_0_1: 2690000 rects
caravel_00020006_fill_pattern_0_1: 2700000 rects
caravel_00020006_fill_pattern_0_1: 2710000 rects
caravel_00020006_fill_pattern_0_1: 2720000 rects
caravel_00020006_fill_pattern_0_1: 2730000 rects
caravel_00020006_fill_pattern_0_1: 2740000 rects
caravel_00020006_fill_pattern_0_1: 2750000 rects
caravel_00020006_fill_pattern_0_1: 2760000 rects
caravel_00020006_fill_pattern_0_1: 2770000 rects
caravel_00020006_fill_pattern_0_1: 2780000 rects
caravel_00020006_fill_pattern_0_1: 2790000 rects
caravel_00020006_fill_pattern_0_1: 2800000 rects
caravel_00020006_fill_pattern_0_1: 2810000 rects
caravel_00020006_fill_pattern_0_1: 2820000 rects
caravel_00020006_fill_pattern_0_1: 2830000 rects
caravel_00020006_fill_pattern_0_1: 2840000 rects
caravel_00020006_fill_pattern_0_1: 2850000 rects
caravel_00020006_fill_pattern_0_1: 2860000 rects
caravel_00020006_fill_pattern_0_1: 2870000 rects
caravel_00020006_fill_pattern_0_1: 2880000 rects
caravel_00020006_fill_pattern_0_1: 2890000 rects
caravel_00020006_fill_pattern_0_1: 2900000 rects
caravel_00020006_fill_pattern_0_1: 2910000 rects
caravel_00020006_fill_pattern_0_1: 2920000 rects
caravel_00020006_fill_pattern_0_1: 2930000 rects
caravel_00020006_fill_pattern_0_1: 2940000 rects
caravel_00020006_fill_pattern_0_1: 2950000 rects
caravel_00020006_fill_pattern_0_1: 2960000 rects
caravel_00020006_fill_pattern_0_1: 2970000 rects
caravel_00020006_fill_pattern_0_1: 2980000 rects
caravel_00020006_fill_pattern_0_1: 2990000 rects
caravel_00020006_fill_pattern_0_1: 3000000 rects
caravel_00020006_fill_pattern_0_1: 3010000 rects
caravel_00020006_fill_pattern_0_1: 3020000 rects
caravel_00020006_fill_pattern_0_1: 3030000 rects
caravel_00020006_fill_pattern_0_1: 3040000 rects
caravel_00020006_fill_pattern_0_1: 3050000 rects
caravel_00020006_fill_pattern_0_1: 3060000 rects
caravel_00020006_fill_pattern_0_1: 3070000 rects
caravel_00020006_fill_pattern_0_1: 3080000 rects
caravel_00020006_fill_pattern_0_1: 3090000 rects
caravel_00020006_fill_pattern_0_1: 3100000 rects
caravel_00020006_fill_pattern_0_1: 3110000 rects
caravel_00020006_fill_pattern_0_1: 3120000 rects
caravel_00020006_fill_pattern_0_1: 3130000 rects
caravel_00020006_fill_pattern_0_1: 3140000 rects
caravel_00020006_fill_pattern_0_1: 3150000 rects
caravel_00020006_fill_pattern_0_1: 3160000 rects
caravel_00020006_fill_pattern_0_1: 3170000 rects
caravel_00020006_fill_pattern_0_1: 3180000 rects
caravel_00020006_fill_pattern_0_1: 3190000 rects
caravel_00020006_fill_pattern_0_1: 3200000 rects
caravel_00020006_fill_pattern_0_1: 3210000 rects
caravel_00020006_fill_pattern_0_1: 3220000 rects
caravel_00020006_fill_pattern_0_1: 3230000 rects
caravel_00020006_fill_pattern_0_1: 3240000 rects
caravel_00020006_fill_pattern_0_1: 3250000 rects
caravel_00020006_fill_pattern_0_1: 3260000 rects
caravel_00020006_fill_pattern_0_1: 3270000 rects
caravel_00020006_fill_pattern_0_1: 3280000 rects
caravel_00020006_fill_pattern_0_1: 3290000 rects
caravel_00020006_fill_pattern_0_1: 3300000 rects
caravel_00020006_fill_pattern_0_1: 3310000 rects
caravel_00020006_fill_pattern_0_1: 3320000 rects
caravel_00020006_fill_pattern_0_1: 3330000 rects
caravel_00020006_fill_pattern_0_1: 3340000 rects
caravel_00020006_fill_pattern_0_1: 3350000 rects
caravel_00020006_fill_pattern_0_1: 3360000 rects
caravel_00020006_fill_pattern_0_1: 3370000 rects
caravel_00020006_fill_pattern_0_1: 3380000 rects
caravel_00020006_fill_pattern_0_1: 3390000 rects
caravel_00020006_fill_pattern_0_1: 3400000 rects
caravel_00020006_fill_pattern_0_1: 3410000 rects
caravel_00020006_fill_pattern_0_1: 3420000 rects
caravel_00020006_fill_pattern_0_1: 3430000 rects
caravel_00020006_fill_pattern_0_1: 3440000 rects
caravel_00020006_fill_pattern_0_1: 3450000 rects
caravel_00020006_fill_pattern_0_1: 3460000 rects
caravel_00020006_fill_pattern_0_1: 3470000 rects
caravel_00020006_fill_pattern_0_1: 3480000 rects
caravel_00020006_fill_pattern_0_1: 3490000 rects
caravel_00020006_fill_pattern_0_1: 3500000 rects
caravel_00020006_fill_pattern_0_1: 3510000 rects
caravel_00020006_fill_pattern_0_1: 3520000 rects
caravel_00020006_fill_pattern_0_1: 3530000 rects
caravel_00020006_fill_pattern_0_1: 3540000 rects
caravel_00020006_fill_pattern_0_1: 3550000 rects
caravel_00020006_fill_pattern_0_1: 3560000 rects
caravel_00020006_fill_pattern_0_1: 3570000 rects
caravel_00020006_fill_pattern_0_1: 3580000 rects
caravel_00020006_fill_pattern_0_1: 3590000 rects
caravel_00020006_fill_pattern_0_1: 3600000 rects
caravel_00020006_fill_pattern_0_1: 3610000 rects
caravel_00020006_fill_pattern_0_1: 3620000 rects
caravel_00020006_fill_pattern_0_1: 3630000 rects
caravel_00020006_fill_pattern_0_1: 3640000 rects
caravel_00020006_fill_pattern_0_1: 3650000 rects
caravel_00020006_fill_pattern_0_1: 3660000 rects
caravel_00020006_fill_pattern_0_1: 3670000 rects
caravel_00020006_fill_pattern_0_1: 3680000 rects
caravel_00020006_fill_pattern_0_1: 3690000 rects
caravel_00020006_fill_pattern_0_1: 3700000 rects
caravel_00020006_fill_pattern_0_1: 3710000 rects
caravel_00020006_fill_pattern_0_1: 3720000 rects
caravel_00020006_fill_pattern_0_1: 3730000 rects
caravel_00020006_fill_pattern_0_1: 3740000 rects
caravel_00020006_fill_pattern_0_1: 3750000 rects
caravel_00020006_fill_pattern_0_1: 3760000 rects
caravel_00020006_fill_pattern_0_1: 3770000 rects
caravel_00020006_fill_pattern_0_1: 3780000 rects
caravel_00020006_fill_pattern_0_1: 3790000 rects
caravel_00020006_fill_pattern_0_1: 3800000 rects
caravel_00020006_fill_pattern_0_1: 3810000 rects
caravel_00020006_fill_pattern_0_1: 3820000 rects
caravel_00020006_fill_pattern_0_1: 3830000 rects
caravel_00020006_fill_pattern_0_1: 3840000 rects
caravel_00020006_fill_pattern_0_1: 3850000 rects
caravel_00020006_fill_pattern_0_1: 3860000 rects
caravel_00020006_fill_pattern_0_1: 3870000 rects
caravel_00020006_fill_pattern_0_1: 3880000 rects
caravel_00020006_fill_pattern_0_1: 3890000 rects
caravel_00020006_fill_pattern_0_1: 3900000 rects
caravel_00020006_fill_pattern_0_1: 3910000 rects
caravel_00020006_fill_pattern_0_1: 3920000 rects
caravel_00020006_fill_pattern_0_1: 3930000 rects
caravel_00020006_fill_pattern_0_1: 3940000 rects
caravel_00020006_fill_pattern_0_1: 3950000 rects
caravel_00020006_fill_pattern_0_1: 3960000 rects
caravel_00020006_fill_pattern_0_1: 3970000 rects
caravel_00020006_fill_pattern_0_1: 3980000 rects
caravel_00020006_fill_pattern_0_1: 3990000 rects
caravel_00020006_fill_pattern_0_1: 4000000 rects
caravel_00020006_fill_pattern_0_1: 4010000 rects
caravel_00020006_fill_pattern_0_1: 4020000 rects
caravel_00020006_fill_pattern_0_1: 4030000 rects
caravel_00020006_fill_pattern_0_1: 4040000 rects
caravel_00020006_fill_pattern_0_1: 4050000 rects
caravel_00020006_fill_pattern_0_1: 4060000 rects
caravel_00020006_fill_pattern_0_1: 4070000 rects
caravel_00020006_fill_pattern_0_1: 4080000 rects
caravel_00020006_fill_pattern_0_1: 4090000 rects
caravel_00020006_fill_pattern_0_1: 4100000 rects
caravel_00020006_fill_pattern_0_1: 4110000 rects
caravel_00020006_fill_pattern_0_1: 4120000 rects
caravel_00020006_fill_pattern_0_1: 4130000 rects
caravel_00020006_fill_pattern_0_1: 4140000 rects
caravel_00020006_fill_pattern_0_1: 4150000 rects
caravel_00020006_fill_pattern_0_1: 4160000 rects
caravel_00020006_fill_pattern_0_1: 4170000 rects
caravel_00020006_fill_pattern_0_1: 4180000 rects
caravel_00020006_fill_pattern_0_1: 4190000 rects
caravel_00020006_fill_pattern_0_1: 4200000 rects
caravel_00020006_fill_pattern_0_1: 4210000 rects
caravel_00020006_fill_pattern_0_1: 4220000 rects
caravel_00020006_fill_pattern_0_1: 4230000 rects
caravel_00020006_fill_pattern_0_1: 4240000 rects
caravel_00020006_fill_pattern_0_1: 4250000 rects
caravel_00020006_fill_pattern_0_1: 4260000 rects
caravel_00020006_fill_pattern_0_1: 4270000 rects
caravel_00020006_fill_pattern_0_1: 4280000 rects
caravel_00020006_fill_pattern_0_1: 4290000 rects
caravel_00020006_fill_pattern_0_1: 4300000 rects
caravel_00020006_fill_pattern_0_1: 4310000 rects
caravel_00020006_fill_pattern_0_1: 4320000 rects
caravel_00020006_fill_pattern_0_1: 4330000 rects
caravel_00020006_fill_pattern_0_1: 4340000 rects
caravel_00020006_fill_pattern_0_1: 4350000 rects
caravel_00020006_fill_pattern_0_1: 4360000 rects
caravel_00020006_fill_pattern_0_1: 4370000 rects
caravel_00020006_fill_pattern_0_1: 4380000 rects
caravel_00020006_fill_pattern_0_1: 4390000 rects
caravel_00020006_fill_pattern_0_1: 4400000 rects
caravel_00020006_fill_pattern_0_1: 4410000 rects
caravel_00020006_fill_pattern_0_1: 4420000 rects
caravel_00020006_fill_pattern_0_1: 4430000 rects
caravel_00020006_fill_pattern_0_1: 4440000 rects
caravel_00020006_fill_pattern_0_1: 4450000 rects
caravel_00020006_fill_pattern_0_1: 4460000 rects
caravel_00020006_fill_pattern_0_1: 4470000 rects
caravel_00020006_fill_pattern_0_1: 4480000 rects
caravel_00020006_fill_pattern_0_1: 4490000 rects
caravel_00020006_fill_pattern_0_1: 4500000 rects
caravel_00020006_fill_pattern_0_1: 4510000 rects
caravel_00020006_fill_pattern_0_1: 4520000 rects
caravel_00020006_fill_pattern_0_1: 4530000 rects
caravel_00020006_fill_pattern_0_1: 4540000 rects
caravel_00020006_fill_pattern_0_1: 4550000 rects
caravel_00020006_fill_pattern_0_1: 4560000 rects
caravel_00020006_fill_pattern_0_1: 4570000 rects
caravel_00020006_fill_pattern_0_1: 4580000 rects
caravel_00020006_fill_pattern_0_1: 4590000 rects
caravel_00020006_fill_pattern_0_1: 4600000 rects
caravel_00020006_fill_pattern_0_1: 4610000 rects
caravel_00020006_fill_pattern_0_1: 4620000 rects
caravel_00020006_fill_pattern_0_1: 4630000 rects
caravel_00020006_fill_pattern_0_1: 4640000 rects
caravel_00020006_fill_pattern_0_1: 4650000 rects
caravel_00020006_fill_pattern_0_1: 4660000 rects
caravel_00020006_fill_pattern_0_1: 4670000 rects
caravel_00020006_fill_pattern_0_1: 4680000 rects
caravel_00020006_fill_pattern_0_1: 4690000 rects
caravel_00020006_fill_pattern_0_1: 4700000 rects
caravel_00020006_fill_pattern_0_1: 4710000 rects
caravel_00020006_fill_pattern_0_1: 4720000 rects
caravel_00020006_fill_pattern_0_1: 4730000 rects
caravel_00020006_fill_pattern_0_1: 4740000 rects
caravel_00020006_fill_pattern_0_1: 4750000 rects
caravel_00020006_fill_pattern_0_1: 4760000 rects
caravel_00020006_fill_pattern_0_1: 4770000 rects
caravel_00020006_fill_pattern_0_1: 4780000 rects
caravel_00020006_fill_pattern_0_1: 4790000 rects
caravel_00020006_fill_pattern_0_1: 4800000 rects
caravel_00020006_fill_pattern_0_1: 4810000 rects
caravel_00020006_fill_pattern_0_1: 4820000 rects
caravel_00020006_fill_pattern_0_1: 4830000 rects
caravel_00020006_fill_pattern_0_1: 4840000 rects
caravel_00020006_fill_pattern_0_1: 4850000 rects
caravel_00020006_fill_pattern_0_1: 4860000 rects
caravel_00020006_fill_pattern_0_1: 4870000 rects
caravel_00020006_fill_pattern_0_1: 4880000 rects
caravel_00020006_fill_pattern_0_1: 4890000 rects
caravel_00020006_fill_pattern_0_1: 4900000 rects
caravel_00020006_fill_pattern_0_1: 4910000 rects
Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
Starting magic under Tcl interpreter
Using the terminal as the console.
Using NULL graphics device.
site.pre: In custom site.pre...
site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
Processing system .magicrc file
site.def: In custom site.def...
Sourcing design .magicrc for technology sky130A ...
2 Magic internal units = 1 Lambda
Input style sky130(vendor): scaleFactor=2, multiplier=2
Scaled tech values by 2 / 1 to match internal grid scaling
Loading sky130A Device Generator Menu ...
Loading "/mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/generate_fill_dist.tcl" from command line.
caravel_00020006_fill_pattern_1_1: 10000 rects
caravel_00020006_fill_pattern_1_1: 20000 rects
caravel_00020006_fill_pattern_1_1: 30000 rects
caravel_00020006_fill_pattern_1_1: 40000 rects
caravel_00020006_fill_pattern_1_1: 50000 rects
caravel_00020006_fill_pattern_1_1: 60000 rects
caravel_00020006_fill_pattern_1_1: 70000 rects
caravel_00020006_fill_pattern_1_1: 80000 rects
caravel_00020006_fill_pattern_1_1: 90000 rects
caravel_00020006_fill_pattern_1_1: 100000 rects
caravel_00020006_fill_pattern_1_1: 110000 rects
caravel_00020006_fill_pattern_1_1: 120000 rects
caravel_00020006_fill_pattern_1_1: 130000 rects
caravel_00020006_fill_pattern_1_1: 140000 rects
caravel_00020006_fill_pattern_1_1: 150000 rects
caravel_00020006_fill_pattern_1_1: 160000 rects
caravel_00020006_fill_pattern_1_1: 170000 rects
caravel_00020006_fill_pattern_1_1: 180000 rects
caravel_00020006_fill_pattern_1_1: 190000 rects
caravel_00020006_fill_pattern_1_1: 200000 rects
caravel_00020006_fill_pattern_1_1: 210000 rects
caravel_00020006_fill_pattern_1_1: 220000 rects
caravel_00020006_fill_pattern_1_1: 230000 rects
caravel_00020006_fill_pattern_1_1: 240000 rects
caravel_00020006_fill_pattern_1_1: 250000 rects
caravel_00020006_fill_pattern_1_1: 260000 rects
caravel_00020006_fill_pattern_1_1: 270000 rects
caravel_00020006_fill_pattern_1_1: 280000 rects
caravel_00020006_fill_pattern_1_1: 290000 rects
caravel_00020006_fill_pattern_1_1: 300000 rects
caravel_00020006_fill_pattern_1_1: 310000 rects
caravel_00020006_fill_pattern_1_1: 320000 rects
caravel_00020006_fill_pattern_1_1: 330000 rects
caravel_00020006_fill_pattern_1_1: 340000 rects
caravel_00020006_fill_pattern_1_1: 350000 rects
caravel_00020006_fill_pattern_1_1: 360000 rects
caravel_00020006_fill_pattern_1_1: 370000 rects
caravel_00020006_fill_pattern_1_1: 380000 rects
caravel_00020006_fill_pattern_1_1: 390000 rects
caravel_00020006_fill_pattern_1_1: 400000 rects
caravel_00020006_fill_pattern_1_1: 410000 rects
caravel_00020006_fill_pattern_1_1: 420000 rects
caravel_00020006_fill_pattern_1_1: 430000 rects
caravel_00020006_fill_pattern_1_1: 440000 rects
caravel_00020006_fill_pattern_1_1: 450000 rects
caravel_00020006_fill_pattern_1_1: 460000 rects
caravel_00020006_fill_pattern_1_1: 470000 rects
caravel_00020006_fill_pattern_1_1: 480000 rects
caravel_00020006_fill_pattern_1_1: 490000 rects
caravel_00020006_fill_pattern_1_1: 500000 rects
caravel_00020006_fill_pattern_1_1: 510000 rects
caravel_00020006_fill_pattern_1_1: 520000 rects
caravel_00020006_fill_pattern_1_1: 530000 rects
caravel_00020006_fill_pattern_1_1: 540000 rects
caravel_00020006_fill_pattern_1_1: 550000 rects
caravel_00020006_fill_pattern_1_1: 560000 rects
caravel_00020006_fill_pattern_1_1: 570000 rects
caravel_00020006_fill_pattern_1_1: 580000 rects
caravel_00020006_fill_pattern_1_1: 590000 rects
caravel_00020006_fill_pattern_1_1: 600000 rects
caravel_00020006_fill_pattern_1_1: 610000 rects
caravel_00020006_fill_pattern_1_1: 620000 rects
caravel_00020006_fill_pattern_1_1: 630000 rects
caravel_00020006_fill_pattern_1_1: 640000 rects
caravel_00020006_fill_pattern_1_1: 650000 rects
caravel_00020006_fill_pattern_1_1: 660000 rects
caravel_00020006_fill_pattern_1_1: 670000 rects
caravel_00020006_fill_pattern_1_1: 680000 rects
caravel_00020006_fill_pattern_1_1: 690000 rects
caravel_00020006_fill_pattern_1_1: 700000 rects
caravel_00020006_fill_pattern_1_1: 710000 rects
caravel_00020006_fill_pattern_1_1: 720000 rects
caravel_00020006_fill_pattern_1_1: 730000 rects
caravel_00020006_fill_pattern_1_1: 740000 rects
caravel_00020006_fill_pattern_1_1: 750000 rects
caravel_00020006_fill_pattern_1_1: 760000 rects
caravel_00020006_fill_pattern_1_1: 770000 rects
caravel_00020006_fill_pattern_1_1: 780000 rects
caravel_00020006_fill_pattern_1_1: 790000 rects
caravel_00020006_fill_pattern_1_1: 800000 rects
caravel_00020006_fill_pattern_1_1: 810000 rects
caravel_00020006_fill_pattern_1_1: 820000 rects
caravel_00020006_fill_pattern_1_1: 830000 rects
caravel_00020006_fill_pattern_1_1: 840000 rects
caravel_00020006_fill_pattern_1_1: 850000 rects
caravel_00020006_fill_pattern_1_1: 860000 rects
caravel_00020006_fill_pattern_1_1: 870000 rects
caravel_00020006_fill_pattern_1_1: 880000 rects
caravel_00020006_fill_pattern_1_1: 890000 rects
caravel_00020006_fill_pattern_1_1: 900000 rects
caravel_00020006_fill_pattern_1_1: 910000 rects
caravel_00020006_fill_pattern_1_1: 920000 rects
caravel_00020006_fill_pattern_1_1: 930000 rects
caravel_00020006_fill_pattern_1_1: 940000 rects
caravel_00020006_fill_pattern_1_1: 950000 rects
caravel_00020006_fill_pattern_1_1: 960000 rects
caravel_00020006_fill_pattern_1_1: 970000 rects
caravel_00020006_fill_pattern_1_1: 980000 rects
caravel_00020006_fill_pattern_1_1: 990000 rects
caravel_00020006_fill_pattern_1_1: 1000000 rects
caravel_00020006_fill_pattern_1_1: 1010000 rects
caravel_00020006_fill_pattern_1_1: 1020000 rects
caravel_00020006_fill_pattern_1_1: 1030000 rects
caravel_00020006_fill_pattern_1_1: 1040000 rects
caravel_00020006_fill_pattern_1_1: 1050000 rects
caravel_00020006_fill_pattern_1_1: 1060000 rects
caravel_00020006_fill_pattern_1_1: 1070000 rects
caravel_00020006_fill_pattern_1_1: 1080000 rects
caravel_00020006_fill_pattern_1_1: 1090000 rects
caravel_00020006_fill_pattern_1_1: 1100000 rects
caravel_00020006_fill_pattern_1_1: 1110000 rects
caravel_00020006_fill_pattern_1_1: 1120000 rects
caravel_00020006_fill_pattern_1_1: 1130000 rects
caravel_00020006_fill_pattern_1_1: 1140000 rects
caravel_00020006_fill_pattern_1_1: 1150000 rects
caravel_00020006_fill_pattern_1_1: 1160000 rects
caravel_00020006_fill_pattern_1_1: 1170000 rects
caravel_00020006_fill_pattern_1_1: 1180000 rects
caravel_00020006_fill_pattern_1_1: 1190000 rects
caravel_00020006_fill_pattern_1_1: 1200000 rects
caravel_00020006_fill_pattern_1_1: 1210000 rects
caravel_00020006_fill_pattern_1_1: 1220000 rects
caravel_00020006_fill_pattern_1_1: 1230000 rects
caravel_00020006_fill_pattern_1_1: 1240000 rects
caravel_00020006_fill_pattern_1_1: 1250000 rects
caravel_00020006_fill_pattern_1_1: 1260000 rects
caravel_00020006_fill_pattern_1_1: 1270000 rects
caravel_00020006_fill_pattern_1_1: 1280000 rects
caravel_00020006_fill_pattern_1_1: 1290000 rects
caravel_00020006_fill_pattern_1_1: 1300000 rects
caravel_00020006_fill_pattern_1_1: 1310000 rects
caravel_00020006_fill_pattern_1_1: 1320000 rects
caravel_00020006_fill_pattern_1_1: 1330000 rects
caravel_00020006_fill_pattern_1_1: 1340000 rects
caravel_00020006_fill_pattern_1_1: 1350000 rects
caravel_00020006_fill_pattern_1_1: 1360000 rects
caravel_00020006_fill_pattern_1_1: 1370000 rects
caravel_00020006_fill_pattern_1_1: 1380000 rects
caravel_00020006_fill_pattern_1_1: 1390000 rects
caravel_00020006_fill_pattern_1_1: 1400000 rects
caravel_00020006_fill_pattern_1_1: 1410000 rects
caravel_00020006_fill_pattern_1_1: 1420000 rects
caravel_00020006_fill_pattern_1_1: 1430000 rects
caravel_00020006_fill_pattern_1_1: 1440000 rects
caravel_00020006_fill_pattern_1_1: 1450000 rects
caravel_00020006_fill_pattern_1_1: 1460000 rects
caravel_00020006_fill_pattern_1_1: 1470000 rects
caravel_00020006_fill_pattern_1_1: 1480000 rects
caravel_00020006_fill_pattern_1_1: 1490000 rects
caravel_00020006_fill_pattern_1_1: 1500000 rects
caravel_00020006_fill_pattern_1_1: 1510000 rects
caravel_00020006_fill_pattern_1_1: 1520000 rects
caravel_00020006_fill_pattern_1_1: 1530000 rects
caravel_00020006_fill_pattern_1_1: 1540000 rects
caravel_00020006_fill_pattern_1_1: 1550000 rects
caravel_00020006_fill_pattern_1_1: 1560000 rects
caravel_00020006_fill_pattern_1_1: 1570000 rects
caravel_00020006_fill_pattern_1_1: 1580000 rects
caravel_00020006_fill_pattern_1_1: 1590000 rects
caravel_00020006_fill_pattern_1_1: 1600000 rects
caravel_00020006_fill_pattern_1_1: 1610000 rects
caravel_00020006_fill_pattern_1_1: 1620000 rects
caravel_00020006_fill_pattern_1_1: 1630000 rects
caravel_00020006_fill_pattern_1_1: 1640000 rects
caravel_00020006_fill_pattern_1_1: 1650000 rects
caravel_00020006_fill_pattern_1_1: 1660000 rects
caravel_00020006_fill_pattern_1_1: 1670000 rects
caravel_00020006_fill_pattern_1_1: 1680000 rects
caravel_00020006_fill_pattern_1_1: 1690000 rects
caravel_00020006_fill_pattern_1_1: 1700000 rects
caravel_00020006_fill_pattern_1_1: 1710000 rects
caravel_00020006_fill_pattern_1_1: 1720000 rects
caravel_00020006_fill_pattern_1_1: 1730000 rects
caravel_00020006_fill_pattern_1_1: 1740000 rects
caravel_00020006_fill_pattern_1_1: 1750000 rects
caravel_00020006_fill_pattern_1_1: 1760000 rects
caravel_00020006_fill_pattern_1_1: 1770000 rects
caravel_00020006_fill_pattern_1_1: 1780000 rects
caravel_00020006_fill_pattern_1_1: 1790000 rects
caravel_00020006_fill_pattern_1_1: 1800000 rects
caravel_00020006_fill_pattern_1_1: 1810000 rects
caravel_00020006_fill_pattern_1_1: 1820000 rects
caravel_00020006_fill_pattern_1_1: 1830000 rects
caravel_00020006_fill_pattern_1_1: 1840000 rects
caravel_00020006_fill_pattern_1_1: 1850000 rects
caravel_00020006_fill_pattern_1_1: 1860000 rects
caravel_00020006_fill_pattern_1_1: 1870000 rects
caravel_00020006_fill_pattern_1_1: 1880000 rects
caravel_00020006_fill_pattern_1_1: 1890000 rects
caravel_00020006_fill_pattern_1_1: 1900000 rects
caravel_00020006_fill_pattern_1_1: 1910000 rects
caravel_00020006_fill_pattern_1_1: 1920000 rects
caravel_00020006_fill_pattern_1_1: 1930000 rects
caravel_00020006_fill_pattern_1_1: 1940000 rects
caravel_00020006_fill_pattern_1_1: 1950000 rects
caravel_00020006_fill_pattern_1_1: 1960000 rects
caravel_00020006_fill_pattern_1_1: 1970000 rects
caravel_00020006_fill_pattern_1_1: 1980000 rects
caravel_00020006_fill_pattern_1_1: 1990000 rects
caravel_00020006_fill_pattern_1_1: 2000000 rects
caravel_00020006_fill_pattern_1_1: 2010000 rects
caravel_00020006_fill_pattern_1_1: 2020000 rects
caravel_00020006_fill_pattern_1_1: 2030000 rects
caravel_00020006_fill_pattern_1_1: 2040000 rects
caravel_00020006_fill_pattern_1_1: 2050000 rects
caravel_00020006_fill_pattern_1_1: 2060000 rects
caravel_00020006_fill_pattern_1_1: 2070000 rects
caravel_00020006_fill_pattern_1_1: 2080000 rects
caravel_00020006_fill_pattern_1_1: 2090000 rects
caravel_00020006_fill_pattern_1_1: 2100000 rects
caravel_00020006_fill_pattern_1_1: 2110000 rects
caravel_00020006_fill_pattern_1_1: 2120000 rects
caravel_00020006_fill_pattern_1_1: 2130000 rects
caravel_00020006_fill_pattern_1_1: 2140000 rects
caravel_00020006_fill_pattern_1_1: 2150000 rects
caravel_00020006_fill_pattern_1_1: 2160000 rects
caravel_00020006_fill_pattern_1_1: 2170000 rects
caravel_00020006_fill_pattern_1_1: 2180000 rects
caravel_00020006_fill_pattern_1_1: 2190000 rects
caravel_00020006_fill_pattern_1_1: 2200000 rects
caravel_00020006_fill_pattern_1_1: 2210000 rects
caravel_00020006_fill_pattern_1_1: 2220000 rects
caravel_00020006_fill_pattern_1_1: 2230000 rects
caravel_00020006_fill_pattern_1_1: 2240000 rects
caravel_00020006_fill_pattern_1_1: 2250000 rects
caravel_00020006_fill_pattern_1_1: 2260000 rects
caravel_00020006_fill_pattern_1_1: 2270000 rects
caravel_00020006_fill_pattern_1_1: 2280000 rects
caravel_00020006_fill_pattern_1_1: 2290000 rects
caravel_00020006_fill_pattern_1_1: 2300000 rects
caravel_00020006_fill_pattern_1_1: 2310000 rects
caravel_00020006_fill_pattern_1_1: 2320000 rects
caravel_00020006_fill_pattern_1_1: 2330000 rects
caravel_00020006_fill_pattern_1_1: 2340000 rects
caravel_00020006_fill_pattern_1_1: 2350000 rects
caravel_00020006_fill_pattern_1_1: 2360000 rects
caravel_00020006_fill_pattern_1_1: 2370000 rects
caravel_00020006_fill_pattern_1_1: 2380000 rects
caravel_00020006_fill_pattern_1_1: 2390000 rects
caravel_00020006_fill_pattern_1_1: 2400000 rects
caravel_00020006_fill_pattern_1_1: 2410000 rects
caravel_00020006_fill_pattern_1_1: 2420000 rects
caravel_00020006_fill_pattern_1_1: 2430000 rects
caravel_00020006_fill_pattern_1_1: 2440000 rects
caravel_00020006_fill_pattern_1_1: 2450000 rects
caravel_00020006_fill_pattern_1_1: 2460000 rects
caravel_00020006_fill_pattern_1_1: 2470000 rects
caravel_00020006_fill_pattern_1_1: 2480000 rects
caravel_00020006_fill_pattern_1_1: 2490000 rects
caravel_00020006_fill_pattern_1_1: 2500000 rects
caravel_00020006_fill_pattern_1_1: 2510000 rects
caravel_00020006_fill_pattern_1_1: 2520000 rects
caravel_00020006_fill_pattern_1_1: 2530000 rects
caravel_00020006_fill_pattern_1_1: 2540000 rects
caravel_00020006_fill_pattern_1_1: 2550000 rects
caravel_00020006_fill_pattern_1_1: 2560000 rects
caravel_00020006_fill_pattern_1_1: 2570000 rects
caravel_00020006_fill_pattern_1_1: 2580000 rects
caravel_00020006_fill_pattern_1_1: 2590000 rects
caravel_00020006_fill_pattern_1_1: 2600000 rects
caravel_00020006_fill_pattern_1_1: 2610000 rects
caravel_00020006_fill_pattern_1_1: 2620000 rects
caravel_00020006_fill_pattern_1_1: 2630000 rects
caravel_00020006_fill_pattern_1_1: 2640000 rects
caravel_00020006_fill_pattern_1_1: 2650000 rects
caravel_00020006_fill_pattern_1_1: 2660000 rects
caravel_00020006_fill_pattern_1_1: 2670000 rects
caravel_00020006_fill_pattern_1_1: 2680000 rects
caravel_00020006_fill_pattern_1_1: 2690000 rects
caravel_00020006_fill_pattern_1_1: 2700000 rects
caravel_00020006_fill_pattern_1_1: 2710000 rects
caravel_00020006_fill_pattern_1_1: 2720000 rects
caravel_00020006_fill_pattern_1_1: 2730000 rects
caravel_00020006_fill_pattern_1_1: 2740000 rects
caravel_00020006_fill_pattern_1_1: 2750000 rects
caravel_00020006_fill_pattern_1_1: 2760000 rects
caravel_00020006_fill_pattern_1_1: 2770000 rects
caravel_00020006_fill_pattern_1_1: 2780000 rects
caravel_00020006_fill_pattern_1_1: 2790000 rects
caravel_00020006_fill_pattern_1_1: 2800000 rects
caravel_00020006_fill_pattern_1_1: 2810000 rects
caravel_00020006_fill_pattern_1_1: 2820000 rects
caravel_00020006_fill_pattern_1_1: 2830000 rects
caravel_00020006_fill_pattern_1_1: 2840000 rects
caravel_00020006_fill_pattern_1_1: 2850000 rects
caravel_00020006_fill_pattern_1_1: 2860000 rects
caravel_00020006_fill_pattern_1_1: 2870000 rects
caravel_00020006_fill_pattern_1_1: 2880000 rects
caravel_00020006_fill_pattern_1_1: 2890000 rects
caravel_00020006_fill_pattern_1_1: 2900000 rects
caravel_00020006_fill_pattern_1_1: 2910000 rects
caravel_00020006_fill_pattern_1_1: 2920000 rects
caravel_00020006_fill_pattern_1_1: 2930000 rects
caravel_00020006_fill_pattern_1_1: 2940000 rects
caravel_00020006_fill_pattern_1_1: 2950000 rects
caravel_00020006_fill_pattern_1_1: 2960000 rects
caravel_00020006_fill_pattern_1_1: 2970000 rects
caravel_00020006_fill_pattern_1_1: 2980000 rects
caravel_00020006_fill_pattern_1_1: 2990000 rects
caravel_00020006_fill_pattern_1_1: 3000000 rects
caravel_00020006_fill_pattern_1_1: 3010000 rects
caravel_00020006_fill_pattern_1_1: 3020000 rects
caravel_00020006_fill_pattern_1_1: 3030000 rects
caravel_00020006_fill_pattern_1_1: 3040000 rects
caravel_00020006_fill_pattern_1_1: 3050000 rects
caravel_00020006_fill_pattern_1_1: 3060000 rects
caravel_00020006_fill_pattern_1_1: 3070000 rects
caravel_00020006_fill_pattern_1_1: 3080000 rects
caravel_00020006_fill_pattern_1_1: 3090000 rects
caravel_00020006_fill_pattern_1_1: 3100000 rects
caravel_00020006_fill_pattern_1_1: 3110000 rects
caravel_00020006_fill_pattern_1_1: 3120000 rects
caravel_00020006_fill_pattern_1_1: 3130000 rects
caravel_00020006_fill_pattern_1_1: 3140000 rects
caravel_00020006_fill_pattern_1_1: 3150000 rects
caravel_00020006_fill_pattern_1_1: 3160000 rects
caravel_00020006_fill_pattern_1_1: 3170000 rects
caravel_00020006_fill_pattern_1_1: 3180000 rects
caravel_00020006_fill_pattern_1_1: 3190000 rects
caravel_00020006_fill_pattern_1_1: 3200000 rects
caravel_00020006_fill_pattern_1_1: 3210000 rects
caravel_00020006_fill_pattern_1_1: 3220000 rects
caravel_00020006_fill_pattern_1_1: 3230000 rects
Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
Starting magic under Tcl interpreter
Using the terminal as the console.
Using NULL graphics device.
site.pre: In custom site.pre...
site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
Processing system .magicrc file
site.def: In custom site.def...
Sourcing design .magicrc for technology sky130A ...
2 Magic internal units = 1 Lambda
Input style sky130(vendor): scaleFactor=2, multiplier=2
Scaled tech values by 2 / 1 to match internal grid scaling
Loading sky130A Device Generator Menu ...
Loading "/mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/generate_fill_dist.tcl" from command line.
caravel_00020006_fill_pattern_0_0: 10000 rects
caravel_00020006_fill_pattern_0_0: 20000 rects
caravel_00020006_fill_pattern_0_0: 30000 rects
caravel_00020006_fill_pattern_0_0: 40000 rects
caravel_00020006_fill_pattern_0_0: 50000 rects
caravel_00020006_fill_pattern_0_0: 60000 rects
caravel_00020006_fill_pattern_0_0: 70000 rects
caravel_00020006_fill_pattern_0_0: 80000 rects
caravel_00020006_fill_pattern_0_0: 90000 rects
caravel_00020006_fill_pattern_0_0: 100000 rects
caravel_00020006_fill_pattern_0_0: 110000 rects
caravel_00020006_fill_pattern_0_0: 120000 rects
caravel_00020006_fill_pattern_0_0: 130000 rects
caravel_00020006_fill_pattern_0_0: 140000 rects
caravel_00020006_fill_pattern_0_0: 150000 rects
caravel_00020006_fill_pattern_0_0: 160000 rects
caravel_00020006_fill_pattern_0_0: 170000 rects
caravel_00020006_fill_pattern_0_0: 180000 rects
caravel_00020006_fill_pattern_0_0: 190000 rects
caravel_00020006_fill_pattern_0_0: 200000 rects
caravel_00020006_fill_pattern_0_0: 210000 rects
caravel_00020006_fill_pattern_0_0: 220000 rects
caravel_00020006_fill_pattern_0_0: 230000 rects
caravel_00020006_fill_pattern_0_0: 240000 rects
caravel_00020006_fill_pattern_0_0: 250000 rects
caravel_00020006_fill_pattern_0_0: 260000 rects
caravel_00020006_fill_pattern_0_0: 270000 rects
caravel_00020006_fill_pattern_0_0: 280000 rects
caravel_00020006_fill_pattern_0_0: 290000 rects
caravel_00020006_fill_pattern_0_0: 300000 rects
caravel_00020006_fill_pattern_0_0: 310000 rects
caravel_00020006_fill_pattern_0_0: 320000 rects
caravel_00020006_fill_pattern_0_0: 330000 rects
caravel_00020006_fill_pattern_0_0: 340000 rects
caravel_00020006_fill_pattern_0_0: 350000 rects
caravel_00020006_fill_pattern_0_0: 360000 rects
caravel_00020006_fill_pattern_0_0: 370000 rects
caravel_00020006_fill_pattern_0_0: 380000 rects
caravel_00020006_fill_pattern_0_0: 390000 rects
caravel_00020006_fill_pattern_0_0: 400000 rects
caravel_00020006_fill_pattern_0_0: 410000 rects
caravel_00020006_fill_pattern_0_0: 420000 rects
caravel_00020006_fill_pattern_0_0: 430000 rects
caravel_00020006_fill_pattern_0_0: 440000 rects
caravel_00020006_fill_pattern_0_0: 450000 rects
caravel_00020006_fill_pattern_0_0: 460000 rects
caravel_00020006_fill_pattern_0_0: 470000 rects
caravel_00020006_fill_pattern_0_0: 480000 rects
caravel_00020006_fill_pattern_0_0: 490000 rects
caravel_00020006_fill_pattern_0_0: 500000 rects
caravel_00020006_fill_pattern_0_0: 510000 rects
caravel_00020006_fill_pattern_0_0: 520000 rects
caravel_00020006_fill_pattern_0_0: 530000 rects
caravel_00020006_fill_pattern_0_0: 540000 rects
caravel_00020006_fill_pattern_0_0: 550000 rects
caravel_00020006_fill_pattern_0_0: 560000 rects
caravel_00020006_fill_pattern_0_0: 570000 rects
caravel_00020006_fill_pattern_0_0: 580000 rects
caravel_00020006_fill_pattern_0_0: 590000 rects
caravel_00020006_fill_pattern_0_0: 600000 rects
caravel_00020006_fill_pattern_0_0: 610000 rects
caravel_00020006_fill_pattern_0_0: 620000 rects
caravel_00020006_fill_pattern_0_0: 630000 rects
caravel_00020006_fill_pattern_0_0: 640000 rects
caravel_00020006_fill_pattern_0_0: 650000 rects
caravel_00020006_fill_pattern_0_0: 660000 rects
caravel_00020006_fill_pattern_0_0: 670000 rects
caravel_00020006_fill_pattern_0_0: 680000 rects
caravel_00020006_fill_pattern_0_0: 690000 rects
caravel_00020006_fill_pattern_0_0: 700000 rects
caravel_00020006_fill_pattern_0_0: 710000 rects
caravel_00020006_fill_pattern_0_0: 720000 rects
caravel_00020006_fill_pattern_0_0: 730000 rects
caravel_00020006_fill_pattern_0_0: 740000 rects
caravel_00020006_fill_pattern_0_0: 750000 rects
caravel_00020006_fill_pattern_0_0: 760000 rects
caravel_00020006_fill_pattern_0_0: 770000 rects
caravel_00020006_fill_pattern_0_0: 780000 rects
caravel_00020006_fill_pattern_0_0: 790000 rects
caravel_00020006_fill_pattern_0_0: 800000 rects
caravel_00020006_fill_pattern_0_0: 810000 rects
caravel_00020006_fill_pattern_0_0: 820000 rects
caravel_00020006_fill_pattern_0_0: 830000 rects
caravel_00020006_fill_pattern_0_0: 840000 rects
caravel_00020006_fill_pattern_0_0: 850000 rects
caravel_00020006_fill_pattern_0_0: 860000 rects
caravel_00020006_fill_pattern_0_0: 870000 rects
caravel_00020006_fill_pattern_0_0: 880000 rects
caravel_00020006_fill_pattern_0_0: 890000 rects
caravel_00020006_fill_pattern_0_0: 900000 rects
caravel_00020006_fill_pattern_0_0: 910000 rects
caravel_00020006_fill_pattern_0_0: 920000 rects
caravel_00020006_fill_pattern_0_0: 930000 rects
caravel_00020006_fill_pattern_0_0: 940000 rects
caravel_00020006_fill_pattern_0_0: 950000 rects
caravel_00020006_fill_pattern_0_0: 960000 rects
caravel_00020006_fill_pattern_0_0: 970000 rects
caravel_00020006_fill_pattern_0_0: 980000 rects
caravel_00020006_fill_pattern_0_0: 990000 rects
caravel_00020006_fill_pattern_0_0: 1000000 rects
caravel_00020006_fill_pattern_0_0: 1010000 rects
caravel_00020006_fill_pattern_0_0: 1020000 rects
caravel_00020006_fill_pattern_0_0: 1030000 rects
caravel_00020006_fill_pattern_0_0: 1040000 rects
caravel_00020006_fill_pattern_0_0: 1050000 rects
caravel_00020006_fill_pattern_0_0: 1060000 rects
caravel_00020006_fill_pattern_0_0: 1070000 rects
caravel_00020006_fill_pattern_0_0: 1080000 rects
caravel_00020006_fill_pattern_0_0: 1090000 rects
caravel_00020006_fill_pattern_0_0: 1100000 rects
caravel_00020006_fill_pattern_0_0: 1110000 rects
caravel_00020006_fill_pattern_0_0: 1120000 rects
caravel_00020006_fill_pattern_0_0: 1130000 rects
caravel_00020006_fill_pattern_0_0: 1140000 rects
caravel_00020006_fill_pattern_0_0: 1150000 rects
caravel_00020006_fill_pattern_0_0: 1160000 rects
caravel_00020006_fill_pattern_0_0: 1170000 rects
caravel_00020006_fill_pattern_0_0: 1180000 rects
caravel_00020006_fill_pattern_0_0: 1190000 rects
caravel_00020006_fill_pattern_0_0: 1200000 rects
caravel_00020006_fill_pattern_0_0: 1210000 rects
caravel_00020006_fill_pattern_0_0: 1220000 rects
caravel_00020006_fill_pattern_0_0: 1230000 rects
caravel_00020006_fill_pattern_0_0: 1240000 rects
caravel_00020006_fill_pattern_0_0: 1250000 rects
caravel_00020006_fill_pattern_0_0: 1260000 rects
caravel_00020006_fill_pattern_0_0: 1270000 rects
caravel_00020006_fill_pattern_0_0: 1280000 rects
caravel_00020006_fill_pattern_0_0: 1290000 rects
caravel_00020006_fill_pattern_0_0: 1300000 rects
caravel_00020006_fill_pattern_0_0: 1310000 rects
caravel_00020006_fill_pattern_0_0: 1320000 rects
caravel_00020006_fill_pattern_0_0: 1330000 rects
caravel_00020006_fill_pattern_0_0: 1340000 rects
caravel_00020006_fill_pattern_0_0: 1350000 rects
caravel_00020006_fill_pattern_0_0: 1360000 rects
caravel_00020006_fill_pattern_0_0: 1370000 rects
caravel_00020006_fill_pattern_0_0: 1380000 rects
caravel_00020006_fill_pattern_0_0: 1390000 rects
caravel_00020006_fill_pattern_0_0: 1400000 rects
caravel_00020006_fill_pattern_0_0: 1410000 rects
caravel_00020006_fill_pattern_0_0: 1420000 rects
caravel_00020006_fill_pattern_0_0: 1430000 rects
caravel_00020006_fill_pattern_0_0: 1440000 rects
caravel_00020006_fill_pattern_0_0: 1450000 rects
caravel_00020006_fill_pattern_0_0: 1460000 rects
caravel_00020006_fill_pattern_0_0: 1470000 rects
caravel_00020006_fill_pattern_0_0: 1480000 rects
caravel_00020006_fill_pattern_0_0: 1490000 rects
caravel_00020006_fill_pattern_0_0: 1500000 rects
caravel_00020006_fill_pattern_0_0: 1510000 rects
caravel_00020006_fill_pattern_0_0: 1520000 rects
caravel_00020006_fill_pattern_0_0: 1530000 rects
caravel_00020006_fill_pattern_0_0: 1540000 rects
caravel_00020006_fill_pattern_0_0: 1550000 rects
caravel_00020006_fill_pattern_0_0: 1560000 rects
caravel_00020006_fill_pattern_0_0: 1570000 rects
caravel_00020006_fill_pattern_0_0: 1580000 rects
caravel_00020006_fill_pattern_0_0: 1590000 rects
caravel_00020006_fill_pattern_0_0: 1600000 rects
caravel_00020006_fill_pattern_0_0: 1610000 rects
caravel_00020006_fill_pattern_0_0: 1620000 rects
caravel_00020006_fill_pattern_0_0: 1630000 rects
caravel_00020006_fill_pattern_0_0: 1640000 rects
caravel_00020006_fill_pattern_0_0: 1650000 rects
caravel_00020006_fill_pattern_0_0: 1660000 rects
caravel_00020006_fill_pattern_0_0: 1670000 rects
caravel_00020006_fill_pattern_0_0: 1680000 rects
caravel_00020006_fill_pattern_0_0: 1690000 rects
caravel_00020006_fill_pattern_0_0: 1700000 rects
caravel_00020006_fill_pattern_0_0: 1710000 rects
caravel_00020006_fill_pattern_0_0: 1720000 rects
caravel_00020006_fill_pattern_0_0: 1730000 rects
caravel_00020006_fill_pattern_0_0: 1740000 rects
caravel_00020006_fill_pattern_0_0: 1750000 rects
caravel_00020006_fill_pattern_0_0: 1760000 rects
caravel_00020006_fill_pattern_0_0: 1770000 rects
caravel_00020006_fill_pattern_0_0: 1780000 rects
caravel_00020006_fill_pattern_0_0: 1790000 rects
caravel_00020006_fill_pattern_0_0: 1800000 rects
caravel_00020006_fill_pattern_0_0: 1810000 rects
caravel_00020006_fill_pattern_0_0: 1820000 rects
caravel_00020006_fill_pattern_0_0: 1830000 rects
caravel_00020006_fill_pattern_0_0: 1840000 rects
caravel_00020006_fill_pattern_0_0: 1850000 rects
caravel_00020006_fill_pattern_0_0: 1860000 rects
caravel_00020006_fill_pattern_0_0: 1870000 rects
caravel_00020006_fill_pattern_0_0: 1880000 rects
caravel_00020006_fill_pattern_0_0: 1890000 rects
caravel_00020006_fill_pattern_0_0: 1900000 rects
caravel_00020006_fill_pattern_0_0: 1910000 rects
caravel_00020006_fill_pattern_0_0: 1920000 rects
caravel_00020006_fill_pattern_0_0: 1930000 rects
caravel_00020006_fill_pattern_0_0: 1940000 rects
caravel_00020006_fill_pattern_0_0: 1950000 rects
caravel_00020006_fill_pattern_0_0: 1960000 rects
caravel_00020006_fill_pattern_0_0: 1970000 rects
caravel_00020006_fill_pattern_0_0: 1980000 rects
caravel_00020006_fill_pattern_0_0: 1990000 rects
caravel_00020006_fill_pattern_0_0: 2000000 rects
caravel_00020006_fill_pattern_0_0: 2010000 rects
caravel_00020006_fill_pattern_0_0: 2020000 rects
caravel_00020006_fill_pattern_0_0: 2030000 rects
caravel_00020006_fill_pattern_0_0: 2040000 rects
caravel_00020006_fill_pattern_0_0: 2050000 rects
caravel_00020006_fill_pattern_0_0: 2060000 rects
caravel_00020006_fill_pattern_0_0: 2070000 rects
caravel_00020006_fill_pattern_0_0: 2080000 rects
caravel_00020006_fill_pattern_0_0: 2090000 rects
caravel_00020006_fill_pattern_0_0: 2100000 rects
caravel_00020006_fill_pattern_0_0: 2110000 rects
caravel_00020006_fill_pattern_0_0: 2120000 rects
caravel_00020006_fill_pattern_0_0: 2130000 rects
caravel_00020006_fill_pattern_0_0: 2140000 rects
caravel_00020006_fill_pattern_0_0: 2150000 rects
caravel_00020006_fill_pattern_0_0: 2160000 rects
caravel_00020006_fill_pattern_0_0: 2170000 rects
caravel_00020006_fill_pattern_0_0: 2180000 rects
caravel_00020006_fill_pattern_0_0: 2190000 rects
caravel_00020006_fill_pattern_0_0: 2200000 rects
caravel_00020006_fill_pattern_0_0: 2210000 rects
caravel_00020006_fill_pattern_0_0: 2220000 rects
caravel_00020006_fill_pattern_0_0: 2230000 rects
caravel_00020006_fill_pattern_0_0: 2240000 rects
caravel_00020006_fill_pattern_0_0: 2250000 rects
caravel_00020006_fill_pattern_0_0: 2260000 rects
caravel_00020006_fill_pattern_0_0: 2270000 rects
caravel_00020006_fill_pattern_0_0: 2280000 rects
caravel_00020006_fill_pattern_0_0: 2290000 rects
caravel_00020006_fill_pattern_0_0: 2300000 rects
caravel_00020006_fill_pattern_0_0: 2310000 rects
caravel_00020006_fill_pattern_0_0: 2320000 rects
caravel_00020006_fill_pattern_0_0: 2330000 rects
caravel_00020006_fill_pattern_0_0: 2340000 rects
caravel_00020006_fill_pattern_0_0: 2350000 rects
caravel_00020006_fill_pattern_0_0: 2360000 rects
caravel_00020006_fill_pattern_0_0: 2370000 rects
caravel_00020006_fill_pattern_0_0: 2380000 rects
caravel_00020006_fill_pattern_0_0: 2390000 rects
caravel_00020006_fill_pattern_0_0: 2400000 rects
caravel_00020006_fill_pattern_0_0: 2410000 rects
caravel_00020006_fill_pattern_0_0: 2420000 rects
caravel_00020006_fill_pattern_0_0: 2430000 rects
caravel_00020006_fill_pattern_0_0: 2440000 rects
caravel_00020006_fill_pattern_0_0: 2450000 rects
caravel_00020006_fill_pattern_0_0: 2460000 rects
caravel_00020006_fill_pattern_0_0: 2470000 rects
caravel_00020006_fill_pattern_0_0: 2480000 rects
caravel_00020006_fill_pattern_0_0: 2490000 rects
caravel_00020006_fill_pattern_0_0: 2500000 rects
caravel_00020006_fill_pattern_0_0: 2510000 rects
caravel_00020006_fill_pattern_0_0: 2520000 rects
caravel_00020006_fill_pattern_0_0: 2530000 rects
caravel_00020006_fill_pattern_0_0: 2540000 rects
caravel_00020006_fill_pattern_0_0: 2550000 rects
caravel_00020006_fill_pattern_0_0: 2560000 rects
caravel_00020006_fill_pattern_0_0: 2570000 rects
caravel_00020006_fill_pattern_0_0: 2580000 rects
caravel_00020006_fill_pattern_0_0: 2590000 rects
caravel_00020006_fill_pattern_0_0: 2600000 rects
caravel_00020006_fill_pattern_0_0: 2610000 rects
caravel_00020006_fill_pattern_0_0: 2620000 rects
caravel_00020006_fill_pattern_0_0: 2630000 rects
caravel_00020006_fill_pattern_0_0: 2640000 rects
caravel_00020006_fill_pattern_0_0: 2650000 rects
caravel_00020006_fill_pattern_0_0: 2660000 rects
caravel_00020006_fill_pattern_0_0: 2670000 rects
caravel_00020006_fill_pattern_0_0: 2680000 rects
caravel_00020006_fill_pattern_0_0: 2690000 rects
caravel_00020006_fill_pattern_0_0: 2700000 rects
caravel_00020006_fill_pattern_0_0: 2710000 rects
caravel_00020006_fill_pattern_0_0: 2720000 rects
caravel_00020006_fill_pattern_0_0: 2730000 rects
caravel_00020006_fill_pattern_0_0: 2740000 rects
caravel_00020006_fill_pattern_0_0: 2750000 rects
caravel_00020006_fill_pattern_0_0: 2760000 rects
caravel_00020006_fill_pattern_0_0: 2770000 rects
caravel_00020006_fill_pattern_0_0: 2780000 rects
caravel_00020006_fill_pattern_0_0: 2790000 rects
caravel_00020006_fill_pattern_0_0: 2800000 rects
caravel_00020006_fill_pattern_0_0: 2810000 rects
caravel_00020006_fill_pattern_0_0: 2820000 rects
caravel_00020006_fill_pattern_0_0: 2830000 rects
caravel_00020006_fill_pattern_0_0: 2840000 rects
caravel_00020006_fill_pattern_0_0: 2850000 rects
caravel_00020006_fill_pattern_0_0: 2860000 rects
caravel_00020006_fill_pattern_0_0: 2870000 rects
caravel_00020006_fill_pattern_0_0: 2880000 rects
caravel_00020006_fill_pattern_0_0: 2890000 rects
caravel_00020006_fill_pattern_0_0: 2900000 rects
caravel_00020006_fill_pattern_0_0: 2910000 rects
caravel_00020006_fill_pattern_0_0: 2920000 rects
caravel_00020006_fill_pattern_0_0: 2930000 rects
caravel_00020006_fill_pattern_0_0: 2940000 rects
caravel_00020006_fill_pattern_0_0: 2950000 rects
caravel_00020006_fill_pattern_0_0: 2960000 rects
caravel_00020006_fill_pattern_0_0: 2970000 rects
caravel_00020006_fill_pattern_0_0: 2980000 rects
caravel_00020006_fill_pattern_0_0: 2990000 rects
caravel_00020006_fill_pattern_0_0: 3000000 rects
caravel_00020006_fill_pattern_0_0: 3010000 rects
caravel_00020006_fill_pattern_0_0: 3020000 rects
caravel_00020006_fill_pattern_0_0: 3030000 rects
caravel_00020006_fill_pattern_0_0: 3040000 rects
caravel_00020006_fill_pattern_0_0: 3050000 rects
caravel_00020006_fill_pattern_0_0: 3060000 rects
caravel_00020006_fill_pattern_0_0: 3070000 rects
caravel_00020006_fill_pattern_0_0: 3080000 rects
caravel_00020006_fill_pattern_0_0: 3090000 rects
caravel_00020006_fill_pattern_0_0: 3100000 rects
caravel_00020006_fill_pattern_0_0: 3110000 rects
caravel_00020006_fill_pattern_0_0: 3120000 rects
caravel_00020006_fill_pattern_0_0: 3130000 rects
caravel_00020006_fill_pattern_0_0: 3140000 rects
caravel_00020006_fill_pattern_0_0: 3150000 rects
caravel_00020006_fill_pattern_0_0: 3160000 rects
caravel_00020006_fill_pattern_0_0: 3170000 rects
caravel_00020006_fill_pattern_0_0: 3180000 rects
caravel_00020006_fill_pattern_0_0: 3190000 rects
caravel_00020006_fill_pattern_0_0: 3200000 rects
caravel_00020006_fill_pattern_0_0: 3210000 rects
caravel_00020006_fill_pattern_0_0: 3220000 rects
caravel_00020006_fill_pattern_0_0: 3230000 rects
caravel_00020006_fill_pattern_0_0: 3240000 rects
caravel_00020006_fill_pattern_0_0: 3250000 rects
caravel_00020006_fill_pattern_0_0: 3260000 rects
caravel_00020006_fill_pattern_0_0: 3270000 rects
caravel_00020006_fill_pattern_0_0: 3280000 rects
caravel_00020006_fill_pattern_0_0: 3290000 rects
caravel_00020006_fill_pattern_0_0: 3300000 rects
caravel_00020006_fill_pattern_0_0: 3310000 rects
caravel_00020006_fill_pattern_0_0: 3320000 rects
caravel_00020006_fill_pattern_0_0: 3330000 rects
caravel_00020006_fill_pattern_0_0: 3340000 rects
caravel_00020006_fill_pattern_0_0: 3350000 rects
caravel_00020006_fill_pattern_0_0: 3360000 rects
caravel_00020006_fill_pattern_0_0: 3370000 rects
caravel_00020006_fill_pattern_0_0: 3380000 rects
caravel_00020006_fill_pattern_0_0: 3390000 rects
caravel_00020006_fill_pattern_0_0: 3400000 rects
caravel_00020006_fill_pattern_0_0: 3410000 rects
caravel_00020006_fill_pattern_0_0: 3420000 rects
caravel_00020006_fill_pattern_0_0: 3430000 rects
caravel_00020006_fill_pattern_0_0: 3440000 rects
caravel_00020006_fill_pattern_0_0: 3450000 rects
caravel_00020006_fill_pattern_0_0: 3460000 rects
caravel_00020006_fill_pattern_0_0: 3470000 rects
caravel_00020006_fill_pattern_0_0: 3480000 rects
caravel_00020006_fill_pattern_0_0: 3490000 rects
caravel_00020006_fill_pattern_0_0: 3500000 rects
caravel_00020006_fill_pattern_0_0: 3510000 rects
caravel_00020006_fill_pattern_0_0: 3520000 rects
caravel_00020006_fill_pattern_0_0: 3530000 rects
caravel_00020006_fill_pattern_0_0: 3540000 rects
caravel_00020006_fill_pattern_0_0: 3550000 rects
caravel_00020006_fill_pattern_0_0: 3560000 rects
caravel_00020006_fill_pattern_0_0: 3570000 rects
caravel_00020006_fill_pattern_0_0: 3580000 rects
caravel_00020006_fill_pattern_0_0: 3590000 rects
caravel_00020006_fill_pattern_0_0: 3600000 rects
caravel_00020006_fill_pattern_0_0: 3610000 rects
caravel_00020006_fill_pattern_0_0: 3620000 rects
caravel_00020006_fill_pattern_0_0: 3630000 rects
caravel_00020006_fill_pattern_0_0: 3640000 rects
caravel_00020006_fill_pattern_0_0: 3650000 rects
caravel_00020006_fill_pattern_0_0: 3660000 rects
caravel_00020006_fill_pattern_0_0: 3670000 rects
caravel_00020006_fill_pattern_0_0: 3680000 rects
caravel_00020006_fill_pattern_0_0: 3690000 rects
caravel_00020006_fill_pattern_0_0: 3700000 rects
caravel_00020006_fill_pattern_0_0: 3710000 rects
caravel_00020006_fill_pattern_0_0: 3720000 rects
caravel_00020006_fill_pattern_0_0: 3730000 rects
caravel_00020006_fill_pattern_0_0: 3740000 rects
caravel_00020006_fill_pattern_0_0: 3750000 rects
caravel_00020006_fill_pattern_0_0: 3760000 rects
caravel_00020006_fill_pattern_0_0: 3770000 rects
caravel_00020006_fill_pattern_0_0: 3780000 rects
caravel_00020006_fill_pattern_0_0: 3790000 rects
caravel_00020006_fill_pattern_0_0: 3800000 rects
caravel_00020006_fill_pattern_0_0: 3810000 rects
caravel_00020006_fill_pattern_0_0: 3820000 rects
caravel_00020006_fill_pattern_0_0: 3830000 rects
caravel_00020006_fill_pattern_0_0: 3840000 rects
caravel_00020006_fill_pattern_0_0: 3850000 rects
caravel_00020006_fill_pattern_0_0: 3860000 rects
caravel_00020006_fill_pattern_0_0: 3870000 rects
caravel_00020006_fill_pattern_0_0: 3880000 rects
caravel_00020006_fill_pattern_0_0: 3890000 rects
caravel_00020006_fill_pattern_0_0: 3900000 rects
caravel_00020006_fill_pattern_0_0: 3910000 rects
caravel_00020006_fill_pattern_0_0: 3920000 rects
caravel_00020006_fill_pattern_0_0: 3930000 rects
caravel_00020006_fill_pattern_0_0: 3940000 rects
caravel_00020006_fill_pattern_0_0: 3950000 rects
caravel_00020006_fill_pattern_0_0: 3960000 rects
caravel_00020006_fill_pattern_0_0: 3970000 rects
caravel_00020006_fill_pattern_0_0: 3980000 rects
caravel_00020006_fill_pattern_0_0: 3990000 rects
caravel_00020006_fill_pattern_0_0: 4000000 rects
caravel_00020006_fill_pattern_0_0: 4010000 rects
caravel_00020006_fill_pattern_0_0: 4020000 rects
caravel_00020006_fill_pattern_0_0: 4030000 rects
caravel_00020006_fill_pattern_0_0: 4040000 rects
caravel_00020006_fill_pattern_0_0: 4050000 rects
caravel_00020006_fill_pattern_0_0: 4060000 rects
caravel_00020006_fill_pattern_0_0: 4070000 rects
caravel_00020006_fill_pattern_0_0: 4080000 rects
caravel_00020006_fill_pattern_0_0: 4090000 rects
caravel_00020006_fill_pattern_0_0: 4100000 rects
caravel_00020006_fill_pattern_0_0: 4110000 rects
caravel_00020006_fill_pattern_0_0: 4120000 rects
caravel_00020006_fill_pattern_0_0: 4130000 rects
caravel_00020006_fill_pattern_0_0: 4140000 rects
caravel_00020006_fill_pattern_0_0: 4150000 rects
caravel_00020006_fill_pattern_0_0: 4160000 rects
caravel_00020006_fill_pattern_0_0: 4170000 rects
caravel_00020006_fill_pattern_0_0: 4180000 rects
caravel_00020006_fill_pattern_0_0: 4190000 rects
caravel_00020006_fill_pattern_0_0: 4200000 rects
caravel_00020006_fill_pattern_0_0: 4210000 rects
caravel_00020006_fill_pattern_0_0: 4220000 rects
caravel_00020006_fill_pattern_0_0: 4230000 rects
caravel_00020006_fill_pattern_0_0: 4240000 rects
caravel_00020006_fill_pattern_0_0: 4250000 rects
caravel_00020006_fill_pattern_0_0: 4260000 rects
caravel_00020006_fill_pattern_0_0: 4270000 rects
caravel_00020006_fill_pattern_0_0: 4280000 rects
caravel_00020006_fill_pattern_0_0: 4290000 rects
caravel_00020006_fill_pattern_0_0: 4300000 rects
caravel_00020006_fill_pattern_0_0: 4310000 rects
caravel_00020006_fill_pattern_0_0: 4320000 rects
caravel_00020006_fill_pattern_0_0: 4330000 rects
caravel_00020006_fill_pattern_0_0: 4340000 rects
caravel_00020006_fill_pattern_0_0: 4350000 rects
caravel_00020006_fill_pattern_0_0: 4360000 rects
caravel_00020006_fill_pattern_0_0: 4370000 rects
caravel_00020006_fill_pattern_0_0: 4380000 rects
caravel_00020006_fill_pattern_0_0: 4390000 rects
caravel_00020006_fill_pattern_0_0: 4400000 rects
caravel_00020006_fill_pattern_0_0: 4410000 rects
caravel_00020006_fill_pattern_0_0: 4420000 rects
caravel_00020006_fill_pattern_0_0: 4430000 rects
caravel_00020006_fill_pattern_0_0: 4440000 rects
caravel_00020006_fill_pattern_0_0: 4450000 rects
caravel_00020006_fill_pattern_0_0: 4460000 rects
caravel_00020006_fill_pattern_0_0: 4470000 rects
caravel_00020006_fill_pattern_0_0: 4480000 rects
caravel_00020006_fill_pattern_0_0: 4490000 rects
caravel_00020006_fill_pattern_0_0: 4500000 rects
caravel_00020006_fill_pattern_0_0: 4510000 rects
caravel_00020006_fill_pattern_0_0: 4520000 rects
caravel_00020006_fill_pattern_0_0: 4530000 rects
caravel_00020006_fill_pattern_0_0: 4540000 rects
caravel_00020006_fill_pattern_0_0: 4550000 rects
caravel_00020006_fill_pattern_0_0: 4560000 rects
caravel_00020006_fill_pattern_0_0: 4570000 rects
caravel_00020006_fill_pattern_0_0: 4580000 rects
caravel_00020006_fill_pattern_0_0: 4590000 rects
caravel_00020006_fill_pattern_0_0: 4600000 rects
caravel_00020006_fill_pattern_0_0: 4610000 rects
caravel_00020006_fill_pattern_0_0: 4620000 rects
caravel_00020006_fill_pattern_0_0: 4630000 rects
caravel_00020006_fill_pattern_0_0: 4640000 rects
caravel_00020006_fill_pattern_0_0: 4650000 rects
caravel_00020006_fill_pattern_0_0: 4660000 rects
caravel_00020006_fill_pattern_0_0: 4670000 rects
caravel_00020006_fill_pattern_0_0: 4680000 rects
caravel_00020006_fill_pattern_0_0: 4690000 rects
caravel_00020006_fill_pattern_0_0: 4700000 rects
caravel_00020006_fill_pattern_0_0: 4710000 rects
caravel_00020006_fill_pattern_0_0: 4720000 rects
caravel_00020006_fill_pattern_0_0: 4730000 rects
caravel_00020006_fill_pattern_0_0: 4740000 rects
caravel_00020006_fill_pattern_0_0: 4750000 rects
caravel_00020006_fill_pattern_0_0: 4760000 rects
caravel_00020006_fill_pattern_0_0: 4770000 rects
caravel_00020006_fill_pattern_0_0: 4780000 rects
caravel_00020006_fill_pattern_0_0: 4790000 rects
caravel_00020006_fill_pattern_0_0: 4800000 rects
caravel_00020006_fill_pattern_0_0: 4810000 rects
caravel_00020006_fill_pattern_0_0: 4820000 rects
caravel_00020006_fill_pattern_0_0: 4830000 rects
caravel_00020006_fill_pattern_0_0: 4840000 rects
caravel_00020006_fill_pattern_0_0: 4850000 rects
caravel_00020006_fill_pattern_0_0: 4860000 rects
caravel_00020006_fill_pattern_0_0: 4870000 rects
caravel_00020006_fill_pattern_0_0: 4880000 rects
caravel_00020006_fill_pattern_0_0: 4890000 rects
caravel_00020006_fill_pattern_0_0: 4900000 rects
caravel_00020006_fill_pattern_0_0: 4910000 rects
Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
Starting magic under Tcl interpreter
Using the terminal as the console.
Using NULL graphics device.
site.pre: In custom site.pre...
site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
Processing system .magicrc file
site.def: In custom site.def...
Sourcing design .magicrc for technology sky130A ...
2 Magic internal units = 1 Lambda
Input style sky130(vendor): scaleFactor=2, multiplier=2
Scaled tech values by 2 / 1 to match internal grid scaling
Loading sky130A Device Generator Menu ...
Loading "/mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/generate_fill_dist.tcl" from command line.
caravel_00020006_fill_pattern_3_1: 10000 rects
caravel_00020006_fill_pattern_3_1: 20000 rects
caravel_00020006_fill_pattern_3_1: 30000 rects
caravel_00020006_fill_pattern_3_1: 40000 rects
caravel_00020006_fill_pattern_3_1: 50000 rects
caravel_00020006_fill_pattern_3_1: 60000 rects
caravel_00020006_fill_pattern_3_1: 70000 rects
caravel_00020006_fill_pattern_3_1: 80000 rects
caravel_00020006_fill_pattern_3_1: 90000 rects
caravel_00020006_fill_pattern_3_1: 100000 rects
caravel_00020006_fill_pattern_3_1: 110000 rects
caravel_00020006_fill_pattern_3_1: 120000 rects
caravel_00020006_fill_pattern_3_1: 130000 rects
caravel_00020006_fill_pattern_3_1: 140000 rects
caravel_00020006_fill_pattern_3_1: 150000 rects
caravel_00020006_fill_pattern_3_1: 160000 rects
caravel_00020006_fill_pattern_3_1: 170000 rects
caravel_00020006_fill_pattern_3_1: 180000 rects
caravel_00020006_fill_pattern_3_1: 190000 rects
caravel_00020006_fill_pattern_3_1: 200000 rects
caravel_00020006_fill_pattern_3_1: 210000 rects
caravel_00020006_fill_pattern_3_1: 220000 rects
caravel_00020006_fill_pattern_3_1: 230000 rects
caravel_00020006_fill_pattern_3_1: 240000 rects
caravel_00020006_fill_pattern_3_1: 250000 rects
caravel_00020006_fill_pattern_3_1: 260000 rects
caravel_00020006_fill_pattern_3_1: 270000 rects
caravel_00020006_fill_pattern_3_1: 280000 rects
caravel_00020006_fill_pattern_3_1: 290000 rects
caravel_00020006_fill_pattern_3_1: 300000 rects
caravel_00020006_fill_pattern_3_1: 310000 rects
caravel_00020006_fill_pattern_3_1: 320000 rects
caravel_00020006_fill_pattern_3_1: 330000 rects
caravel_00020006_fill_pattern_3_1: 340000 rects
caravel_00020006_fill_pattern_3_1: 350000 rects
caravel_00020006_fill_pattern_3_1: 360000 rects
caravel_00020006_fill_pattern_3_1: 370000 rects
caravel_00020006_fill_pattern_3_1: 380000 rects
caravel_00020006_fill_pattern_3_1: 390000 rects
caravel_00020006_fill_pattern_3_1: 400000 rects
caravel_00020006_fill_pattern_3_1: 410000 rects
caravel_00020006_fill_pattern_3_1: 420000 rects
caravel_00020006_fill_pattern_3_1: 430000 rects
caravel_00020006_fill_pattern_3_1: 440000 rects
caravel_00020006_fill_pattern_3_1: 450000 rects
caravel_00020006_fill_pattern_3_1: 460000 rects
caravel_00020006_fill_pattern_3_1: 470000 rects
caravel_00020006_fill_pattern_3_1: 480000 rects
caravel_00020006_fill_pattern_3_1: 490000 rects
caravel_00020006_fill_pattern_3_1: 500000 rects
caravel_00020006_fill_pattern_3_1: 510000 rects
caravel_00020006_fill_pattern_3_1: 520000 rects
caravel_00020006_fill_pattern_3_1: 530000 rects
caravel_00020006_fill_pattern_3_1: 540000 rects
caravel_00020006_fill_pattern_3_1: 550000 rects
caravel_00020006_fill_pattern_3_1: 560000 rects
caravel_00020006_fill_pattern_3_1: 570000 rects
caravel_00020006_fill_pattern_3_1: 580000 rects
caravel_00020006_fill_pattern_3_1: 590000 rects
caravel_00020006_fill_pattern_3_1: 600000 rects
caravel_00020006_fill_pattern_3_1: 610000 rects
caravel_00020006_fill_pattern_3_1: 620000 rects
caravel_00020006_fill_pattern_3_1: 630000 rects
caravel_00020006_fill_pattern_3_1: 640000 rects
caravel_00020006_fill_pattern_3_1: 650000 rects
caravel_00020006_fill_pattern_3_1: 660000 rects
caravel_00020006_fill_pattern_3_1: 670000 rects
caravel_00020006_fill_pattern_3_1: 680000 rects
caravel_00020006_fill_pattern_3_1: 690000 rects
caravel_00020006_fill_pattern_3_1: 700000 rects
caravel_00020006_fill_pattern_3_1: 710000 rects
caravel_00020006_fill_pattern_3_1: 720000 rects
caravel_00020006_fill_pattern_3_1: 730000 rects
caravel_00020006_fill_pattern_3_1: 740000 rects
caravel_00020006_fill_pattern_3_1: 750000 rects
caravel_00020006_fill_pattern_3_1: 760000 rects
caravel_00020006_fill_pattern_3_1: 770000 rects
caravel_00020006_fill_pattern_3_1: 780000 rects
caravel_00020006_fill_pattern_3_1: 790000 rects
caravel_00020006_fill_pattern_3_1: 800000 rects
caravel_00020006_fill_pattern_3_1: 810000 rects
caravel_00020006_fill_pattern_3_1: 820000 rects
caravel_00020006_fill_pattern_3_1: 830000 rects
caravel_00020006_fill_pattern_3_1: 840000 rects
caravel_00020006_fill_pattern_3_1: 850000 rects
caravel_00020006_fill_pattern_3_1: 860000 rects
caravel_00020006_fill_pattern_3_1: 870000 rects
caravel_00020006_fill_pattern_3_1: 880000 rects
caravel_00020006_fill_pattern_3_1: 890000 rects
caravel_00020006_fill_pattern_3_1: 900000 rects
caravel_00020006_fill_pattern_3_1: 910000 rects
caravel_00020006_fill_pattern_3_1: 920000 rects
caravel_00020006_fill_pattern_3_1: 930000 rects
caravel_00020006_fill_pattern_3_1: 940000 rects
caravel_00020006_fill_pattern_3_1: 950000 rects
caravel_00020006_fill_pattern_3_1: 960000 rects
caravel_00020006_fill_pattern_3_1: 970000 rects
caravel_00020006_fill_pattern_3_1: 980000 rects
caravel_00020006_fill_pattern_3_1: 990000 rects
caravel_00020006_fill_pattern_3_1: 1000000 rects
caravel_00020006_fill_pattern_3_1: 1010000 rects
caravel_00020006_fill_pattern_3_1: 1020000 rects
caravel_00020006_fill_pattern_3_1: 1030000 rects
caravel_00020006_fill_pattern_3_1: 1040000 rects
caravel_00020006_fill_pattern_3_1: 1050000 rects
caravel_00020006_fill_pattern_3_1: 1060000 rects
caravel_00020006_fill_pattern_3_1: 1070000 rects
caravel_00020006_fill_pattern_3_1: 1080000 rects
caravel_00020006_fill_pattern_3_1: 1090000 rects
caravel_00020006_fill_pattern_3_1: 1100000 rects
caravel_00020006_fill_pattern_3_1: 1110000 rects
caravel_00020006_fill_pattern_3_1: 1120000 rects
caravel_00020006_fill_pattern_3_1: 1130000 rects
caravel_00020006_fill_pattern_3_1: 1140000 rects
caravel_00020006_fill_pattern_3_1: 1150000 rects
caravel_00020006_fill_pattern_3_1: 1160000 rects
caravel_00020006_fill_pattern_3_1: 1170000 rects
caravel_00020006_fill_pattern_3_1: 1180000 rects
caravel_00020006_fill_pattern_3_1: 1190000 rects
caravel_00020006_fill_pattern_3_1: 1200000 rects
caravel_00020006_fill_pattern_3_1: 1210000 rects
caravel_00020006_fill_pattern_3_1: 1220000 rects
caravel_00020006_fill_pattern_3_1: 1230000 rects
caravel_00020006_fill_pattern_3_1: 1240000 rects
caravel_00020006_fill_pattern_3_1: 1250000 rects
caravel_00020006_fill_pattern_3_1: 1260000 rects
caravel_00020006_fill_pattern_3_1: 1270000 rects
caravel_00020006_fill_pattern_3_1: 1280000 rects
caravel_00020006_fill_pattern_3_1: 1290000 rects
caravel_00020006_fill_pattern_3_1: 1300000 rects
caravel_00020006_fill_pattern_3_1: 1310000 rects
caravel_00020006_fill_pattern_3_1: 1320000 rects
caravel_00020006_fill_pattern_3_1: 1330000 rects
caravel_00020006_fill_pattern_3_1: 1340000 rects
caravel_00020006_fill_pattern_3_1: 1350000 rects
caravel_00020006_fill_pattern_3_1: 1360000 rects
caravel_00020006_fill_pattern_3_1: 1370000 rects
caravel_00020006_fill_pattern_3_1: 1380000 rects
caravel_00020006_fill_pattern_3_1: 1390000 rects
caravel_00020006_fill_pattern_3_1: 1400000 rects
caravel_00020006_fill_pattern_3_1: 1410000 rects
caravel_00020006_fill_pattern_3_1: 1420000 rects
caravel_00020006_fill_pattern_3_1: 1430000 rects
caravel_00020006_fill_pattern_3_1: 1440000 rects
caravel_00020006_fill_pattern_3_1: 1450000 rects
caravel_00020006_fill_pattern_3_1: 1460000 rects
caravel_00020006_fill_pattern_3_1: 1470000 rects
caravel_00020006_fill_pattern_3_1: 1480000 rects
caravel_00020006_fill_pattern_3_1: 1490000 rects
caravel_00020006_fill_pattern_3_1: 1500000 rects
caravel_00020006_fill_pattern_3_1: 1510000 rects
caravel_00020006_fill_pattern_3_1: 1520000 rects
caravel_00020006_fill_pattern_3_1: 1530000 rects
caravel_00020006_fill_pattern_3_1: 1540000 rects
caravel_00020006_fill_pattern_3_1: 1550000 rects
caravel_00020006_fill_pattern_3_1: 1560000 rects
caravel_00020006_fill_pattern_3_1: 1570000 rects
caravel_00020006_fill_pattern_3_1: 1580000 rects
caravel_00020006_fill_pattern_3_1: 1590000 rects
caravel_00020006_fill_pattern_3_1: 1600000 rects
caravel_00020006_fill_pattern_3_1: 1610000 rects
caravel_00020006_fill_pattern_3_1: 1620000 rects
caravel_00020006_fill_pattern_3_1: 1630000 rects
caravel_00020006_fill_pattern_3_1: 1640000 rects
caravel_00020006_fill_pattern_3_1: 1650000 rects
caravel_00020006_fill_pattern_3_1: 1660000 rects
caravel_00020006_fill_pattern_3_1: 1670000 rects
caravel_00020006_fill_pattern_3_1: 1680000 rects
caravel_00020006_fill_pattern_3_1: 1690000 rects
caravel_00020006_fill_pattern_3_1: 1700000 rects
caravel_00020006_fill_pattern_3_1: 1710000 rects
caravel_00020006_fill_pattern_3_1: 1720000 rects
caravel_00020006_fill_pattern_3_1: 1730000 rects
caravel_00020006_fill_pattern_3_1: 1740000 rects
caravel_00020006_fill_pattern_3_1: 1750000 rects
caravel_00020006_fill_pattern_3_1: 1760000 rects
caravel_00020006_fill_pattern_3_1: 1770000 rects
caravel_00020006_fill_pattern_3_1: 1780000 rects
caravel_00020006_fill_pattern_3_1: 1790000 rects
caravel_00020006_fill_pattern_3_1: 1800000 rects
caravel_00020006_fill_pattern_3_1: 1810000 rects
caravel_00020006_fill_pattern_3_1: 1820000 rects
caravel_00020006_fill_pattern_3_1: 1830000 rects
caravel_00020006_fill_pattern_3_1: 1840000 rects
caravel_00020006_fill_pattern_3_1: 1850000 rects
caravel_00020006_fill_pattern_3_1: 1860000 rects
caravel_00020006_fill_pattern_3_1: 1870000 rects
caravel_00020006_fill_pattern_3_1: 1880000 rects
caravel_00020006_fill_pattern_3_1: 1890000 rects
caravel_00020006_fill_pattern_3_1: 1900000 rects
caravel_00020006_fill_pattern_3_1: 1910000 rects
caravel_00020006_fill_pattern_3_1: 1920000 rects
caravel_00020006_fill_pattern_3_1: 1930000 rects
caravel_00020006_fill_pattern_3_1: 1940000 rects
caravel_00020006_fill_pattern_3_1: 1950000 rects
caravel_00020006_fill_pattern_3_1: 1960000 rects
caravel_00020006_fill_pattern_3_1: 1970000 rects
caravel_00020006_fill_pattern_3_1: 1980000 rects
caravel_00020006_fill_pattern_3_1: 1990000 rects
caravel_00020006_fill_pattern_3_1: 2000000 rects
caravel_00020006_fill_pattern_3_1: 2010000 rects
caravel_00020006_fill_pattern_3_1: 2020000 rects
caravel_00020006_fill_pattern_3_1: 2030000 rects
caravel_00020006_fill_pattern_3_1: 2040000 rects
caravel_00020006_fill_pattern_3_1: 2050000 rects
caravel_00020006_fill_pattern_3_1: 2060000 rects
caravel_00020006_fill_pattern_3_1: 2070000 rects
caravel_00020006_fill_pattern_3_1: 2080000 rects
caravel_00020006_fill_pattern_3_1: 2090000 rects
caravel_00020006_fill_pattern_3_1: 2100000 rects
caravel_00020006_fill_pattern_3_1: 2110000 rects
caravel_00020006_fill_pattern_3_1: 2120000 rects
caravel_00020006_fill_pattern_3_1: 2130000 rects
caravel_00020006_fill_pattern_3_1: 2140000 rects
caravel_00020006_fill_pattern_3_1: 2150000 rects
caravel_00020006_fill_pattern_3_1: 2160000 rects
caravel_00020006_fill_pattern_3_1: 2170000 rects
caravel_00020006_fill_pattern_3_1: 2180000 rects
caravel_00020006_fill_pattern_3_1: 2190000 rects
caravel_00020006_fill_pattern_3_1: 2200000 rects
caravel_00020006_fill_pattern_3_1: 2210000 rects
caravel_00020006_fill_pattern_3_1: 2220000 rects
caravel_00020006_fill_pattern_3_1: 2230000 rects
caravel_00020006_fill_pattern_3_1: 2240000 rects
caravel_00020006_fill_pattern_3_1: 2250000 rects
caravel_00020006_fill_pattern_3_1: 2260000 rects
caravel_00020006_fill_pattern_3_1: 2270000 rects
caravel_00020006_fill_pattern_3_1: 2280000 rects
caravel_00020006_fill_pattern_3_1: 2290000 rects
caravel_00020006_fill_pattern_3_1: 2300000 rects
caravel_00020006_fill_pattern_3_1: 2310000 rects
caravel_00020006_fill_pattern_3_1: 2320000 rects
caravel_00020006_fill_pattern_3_1: 2330000 rects
caravel_00020006_fill_pattern_3_1: 2340000 rects
caravel_00020006_fill_pattern_3_1: 2350000 rects
caravel_00020006_fill_pattern_3_1: 2360000 rects
caravel_00020006_fill_pattern_3_1: 2370000 rects
caravel_00020006_fill_pattern_3_1: 2380000 rects
caravel_00020006_fill_pattern_3_1: 2390000 rects
caravel_00020006_fill_pattern_3_1: 2400000 rects
caravel_00020006_fill_pattern_3_1: 2410000 rects
caravel_00020006_fill_pattern_3_1: 2420000 rects
caravel_00020006_fill_pattern_3_1: 2430000 rects
caravel_00020006_fill_pattern_3_1: 2440000 rects
caravel_00020006_fill_pattern_3_1: 2450000 rects
caravel_00020006_fill_pattern_3_1: 2460000 rects
caravel_00020006_fill_pattern_3_1: 2470000 rects
caravel_00020006_fill_pattern_3_1: 2480000 rects
caravel_00020006_fill_pattern_3_1: 2490000 rects
caravel_00020006_fill_pattern_3_1: 2500000 rects
caravel_00020006_fill_pattern_3_1: 2510000 rects
caravel_00020006_fill_pattern_3_1: 2520000 rects
caravel_00020006_fill_pattern_3_1: 2530000 rects
caravel_00020006_fill_pattern_3_1: 2540000 rects
caravel_00020006_fill_pattern_3_1: 2550000 rects
caravel_00020006_fill_pattern_3_1: 2560000 rects
caravel_00020006_fill_pattern_3_1: 2570000 rects
caravel_00020006_fill_pattern_3_1: 2580000 rects
caravel_00020006_fill_pattern_3_1: 2590000 rects
caravel_00020006_fill_pattern_3_1: 2600000 rects
caravel_00020006_fill_pattern_3_1: 2610000 rects
caravel_00020006_fill_pattern_3_1: 2620000 rects
caravel_00020006_fill_pattern_3_1: 2630000 rects
caravel_00020006_fill_pattern_3_1: 2640000 rects
caravel_00020006_fill_pattern_3_1: 2650000 rects
caravel_00020006_fill_pattern_3_1: 2660000 rects
caravel_00020006_fill_pattern_3_1: 2670000 rects
caravel_00020006_fill_pattern_3_1: 2680000 rects
caravel_00020006_fill_pattern_3_1: 2690000 rects
caravel_00020006_fill_pattern_3_1: 2700000 rects
caravel_00020006_fill_pattern_3_1: 2710000 rects
caravel_00020006_fill_pattern_3_1: 2720000 rects
caravel_00020006_fill_pattern_3_1: 2730000 rects
caravel_00020006_fill_pattern_3_1: 2740000 rects
caravel_00020006_fill_pattern_3_1: 2750000 rects
caravel_00020006_fill_pattern_3_1: 2760000 rects
caravel_00020006_fill_pattern_3_1: 2770000 rects
caravel_00020006_fill_pattern_3_1: 2780000 rects
caravel_00020006_fill_pattern_3_1: 2790000 rects
caravel_00020006_fill_pattern_3_1: 2800000 rects
caravel_00020006_fill_pattern_3_1: 2810000 rects
caravel_00020006_fill_pattern_3_1: 2820000 rects
caravel_00020006_fill_pattern_3_1: 2830000 rects
caravel_00020006_fill_pattern_3_1: 2840000 rects
caravel_00020006_fill_pattern_3_1: 2850000 rects
caravel_00020006_fill_pattern_3_1: 2860000 rects
caravel_00020006_fill_pattern_3_1: 2870000 rects
caravel_00020006_fill_pattern_3_1: 2880000 rects
caravel_00020006_fill_pattern_3_1: 2890000 rects
caravel_00020006_fill_pattern_3_1: 2900000 rects
caravel_00020006_fill_pattern_3_1: 2910000 rects
caravel_00020006_fill_pattern_3_1: 2920000 rects
caravel_00020006_fill_pattern_3_1: 2930000 rects
caravel_00020006_fill_pattern_3_1: 2940000 rects
caravel_00020006_fill_pattern_3_1: 2950000 rects
caravel_00020006_fill_pattern_3_1: 2960000 rects
caravel_00020006_fill_pattern_3_1: 2970000 rects
caravel_00020006_fill_pattern_3_1: 2980000 rects
caravel_00020006_fill_pattern_3_1: 2990000 rects
caravel_00020006_fill_pattern_3_1: 3000000 rects
caravel_00020006_fill_pattern_3_1: 3010000 rects
caravel_00020006_fill_pattern_3_1: 3020000 rects
caravel_00020006_fill_pattern_3_1: 3030000 rects
caravel_00020006_fill_pattern_3_1: 3040000 rects
caravel_00020006_fill_pattern_3_1: 3050000 rects
caravel_00020006_fill_pattern_3_1: 3060000 rects
caravel_00020006_fill_pattern_3_1: 3070000 rects
caravel_00020006_fill_pattern_3_1: 3080000 rects
caravel_00020006_fill_pattern_3_1: 3090000 rects
caravel_00020006_fill_pattern_3_1: 3100000 rects
caravel_00020006_fill_pattern_3_1: 3110000 rects
caravel_00020006_fill_pattern_3_1: 3120000 rects
caravel_00020006_fill_pattern_3_1: 3130000 rects
caravel_00020006_fill_pattern_3_1: 3140000 rects
caravel_00020006_fill_pattern_3_1: 3150000 rects
caravel_00020006_fill_pattern_3_1: 3160000 rects
caravel_00020006_fill_pattern_3_1: 3170000 rects
caravel_00020006_fill_pattern_3_1: 3180000 rects
caravel_00020006_fill_pattern_3_1: 3190000 rects
caravel_00020006_fill_pattern_3_1: 3200000 rects
caravel_00020006_fill_pattern_3_1: 3210000 rects
caravel_00020006_fill_pattern_3_1: 3220000 rects
caravel_00020006_fill_pattern_3_1: 3230000 rects
Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
Starting magic under Tcl interpreter
Using the terminal as the console.
Using NULL graphics device.
site.pre: In custom site.pre...
site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
Processing system .magicrc file
site.def: In custom site.def...
Sourcing design .magicrc for technology sky130A ...
2 Magic internal units = 1 Lambda
Input style sky130(vendor): scaleFactor=2, multiplier=2
Scaled tech values by 2 / 1 to match internal grid scaling
Loading sky130A Device Generator Menu ...
Loading "/mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/generate_fill_dist.tcl" from command line.
caravel_00020006_fill_pattern_3_0: 10000 rects
caravel_00020006_fill_pattern_3_0: 20000 rects
caravel_00020006_fill_pattern_3_0: 30000 rects
caravel_00020006_fill_pattern_3_0: 40000 rects
caravel_00020006_fill_pattern_3_0: 50000 rects
caravel_00020006_fill_pattern_3_0: 60000 rects
caravel_00020006_fill_pattern_3_0: 70000 rects
caravel_00020006_fill_pattern_3_0: 80000 rects
caravel_00020006_fill_pattern_3_0: 90000 rects
caravel_00020006_fill_pattern_3_0: 100000 rects
caravel_00020006_fill_pattern_3_0: 110000 rects
caravel_00020006_fill_pattern_3_0: 120000 rects
caravel_00020006_fill_pattern_3_0: 130000 rects
caravel_00020006_fill_pattern_3_0: 140000 rects
caravel_00020006_fill_pattern_3_0: 150000 rects
caravel_00020006_fill_pattern_3_0: 160000 rects
caravel_00020006_fill_pattern_3_0: 170000 rects
caravel_00020006_fill_pattern_3_0: 180000 rects
caravel_00020006_fill_pattern_3_0: 190000 rects
caravel_00020006_fill_pattern_3_0: 200000 rects
caravel_00020006_fill_pattern_3_0: 210000 rects
caravel_00020006_fill_pattern_3_0: 220000 rects
caravel_00020006_fill_pattern_3_0: 230000 rects
caravel_00020006_fill_pattern_3_0: 240000 rects
caravel_00020006_fill_pattern_3_0: 250000 rects
caravel_00020006_fill_pattern_3_0: 260000 rects
caravel_00020006_fill_pattern_3_0: 270000 rects
caravel_00020006_fill_pattern_3_0: 280000 rects
caravel_00020006_fill_pattern_3_0: 290000 rects
caravel_00020006_fill_pattern_3_0: 300000 rects
caravel_00020006_fill_pattern_3_0: 310000 rects
caravel_00020006_fill_pattern_3_0: 320000 rects
caravel_00020006_fill_pattern_3_0: 330000 rects
caravel_00020006_fill_pattern_3_0: 340000 rects
caravel_00020006_fill_pattern_3_0: 350000 rects
caravel_00020006_fill_pattern_3_0: 360000 rects
caravel_00020006_fill_pattern_3_0: 370000 rects
caravel_00020006_fill_pattern_3_0: 380000 rects
caravel_00020006_fill_pattern_3_0: 390000 rects
caravel_00020006_fill_pattern_3_0: 400000 rects
caravel_00020006_fill_pattern_3_0: 410000 rects
caravel_00020006_fill_pattern_3_0: 420000 rects
caravel_00020006_fill_pattern_3_0: 430000 rects
caravel_00020006_fill_pattern_3_0: 440000 rects
caravel_00020006_fill_pattern_3_0: 450000 rects
caravel_00020006_fill_pattern_3_0: 460000 rects
caravel_00020006_fill_pattern_3_0: 470000 rects
caravel_00020006_fill_pattern_3_0: 480000 rects
caravel_00020006_fill_pattern_3_0: 490000 rects
caravel_00020006_fill_pattern_3_0: 500000 rects
caravel_00020006_fill_pattern_3_0: 510000 rects
caravel_00020006_fill_pattern_3_0: 520000 rects
caravel_00020006_fill_pattern_3_0: 530000 rects
caravel_00020006_fill_pattern_3_0: 540000 rects
caravel_00020006_fill_pattern_3_0: 550000 rects
caravel_00020006_fill_pattern_3_0: 560000 rects
caravel_00020006_fill_pattern_3_0: 570000 rects
caravel_00020006_fill_pattern_3_0: 580000 rects
caravel_00020006_fill_pattern_3_0: 590000 rects
caravel_00020006_fill_pattern_3_0: 600000 rects
caravel_00020006_fill_pattern_3_0: 610000 rects
caravel_00020006_fill_pattern_3_0: 620000 rects
caravel_00020006_fill_pattern_3_0: 630000 rects
caravel_00020006_fill_pattern_3_0: 640000 rects
caravel_00020006_fill_pattern_3_0: 650000 rects
caravel_00020006_fill_pattern_3_0: 660000 rects
caravel_00020006_fill_pattern_3_0: 670000 rects
caravel_00020006_fill_pattern_3_0: 680000 rects
caravel_00020006_fill_pattern_3_0: 690000 rects
caravel_00020006_fill_pattern_3_0: 700000 rects
caravel_00020006_fill_pattern_3_0: 710000 rects
caravel_00020006_fill_pattern_3_0: 720000 rects
caravel_00020006_fill_pattern_3_0: 730000 rects
caravel_00020006_fill_pattern_3_0: 740000 rects
caravel_00020006_fill_pattern_3_0: 750000 rects
caravel_00020006_fill_pattern_3_0: 760000 rects
caravel_00020006_fill_pattern_3_0: 770000 rects
caravel_00020006_fill_pattern_3_0: 780000 rects
caravel_00020006_fill_pattern_3_0: 790000 rects
caravel_00020006_fill_pattern_3_0: 800000 rects
caravel_00020006_fill_pattern_3_0: 810000 rects
caravel_00020006_fill_pattern_3_0: 820000 rects
caravel_00020006_fill_pattern_3_0: 830000 rects
caravel_00020006_fill_pattern_3_0: 840000 rects
caravel_00020006_fill_pattern_3_0: 850000 rects
caravel_00020006_fill_pattern_3_0: 860000 rects
caravel_00020006_fill_pattern_3_0: 870000 rects
caravel_00020006_fill_pattern_3_0: 880000 rects
caravel_00020006_fill_pattern_3_0: 890000 rects
caravel_00020006_fill_pattern_3_0: 900000 rects
caravel_00020006_fill_pattern_3_0: 910000 rects
caravel_00020006_fill_pattern_3_0: 920000 rects
caravel_00020006_fill_pattern_3_0: 930000 rects
caravel_00020006_fill_pattern_3_0: 940000 rects
caravel_00020006_fill_pattern_3_0: 950000 rects
caravel_00020006_fill_pattern_3_0: 960000 rects
caravel_00020006_fill_pattern_3_0: 970000 rects
caravel_00020006_fill_pattern_3_0: 980000 rects
caravel_00020006_fill_pattern_3_0: 990000 rects
caravel_00020006_fill_pattern_3_0: 1000000 rects
caravel_00020006_fill_pattern_3_0: 1010000 rects
caravel_00020006_fill_pattern_3_0: 1020000 rects
caravel_00020006_fill_pattern_3_0: 1030000 rects
caravel_00020006_fill_pattern_3_0: 1040000 rects
caravel_00020006_fill_pattern_3_0: 1050000 rects
caravel_00020006_fill_pattern_3_0: 1060000 rects
caravel_00020006_fill_pattern_3_0: 1070000 rects
caravel_00020006_fill_pattern_3_0: 1080000 rects
caravel_00020006_fill_pattern_3_0: 1090000 rects
caravel_00020006_fill_pattern_3_0: 1100000 rects
caravel_00020006_fill_pattern_3_0: 1110000 rects
caravel_00020006_fill_pattern_3_0: 1120000 rects
caravel_00020006_fill_pattern_3_0: 1130000 rects
caravel_00020006_fill_pattern_3_0: 1140000 rects
caravel_00020006_fill_pattern_3_0: 1150000 rects
caravel_00020006_fill_pattern_3_0: 1160000 rects
caravel_00020006_fill_pattern_3_0: 1170000 rects
caravel_00020006_fill_pattern_3_0: 1180000 rects
caravel_00020006_fill_pattern_3_0: 1190000 rects
caravel_00020006_fill_pattern_3_0: 1200000 rects
caravel_00020006_fill_pattern_3_0: 1210000 rects
caravel_00020006_fill_pattern_3_0: 1220000 rects
caravel_00020006_fill_pattern_3_0: 1230000 rects
caravel_00020006_fill_pattern_3_0: 1240000 rects
caravel_00020006_fill_pattern_3_0: 1250000 rects
caravel_00020006_fill_pattern_3_0: 1260000 rects
caravel_00020006_fill_pattern_3_0: 1270000 rects
caravel_00020006_fill_pattern_3_0: 1280000 rects
caravel_00020006_fill_pattern_3_0: 1290000 rects
caravel_00020006_fill_pattern_3_0: 1300000 rects
caravel_00020006_fill_pattern_3_0: 1310000 rects
caravel_00020006_fill_pattern_3_0: 1320000 rects
caravel_00020006_fill_pattern_3_0: 1330000 rects
caravel_00020006_fill_pattern_3_0: 1340000 rects
caravel_00020006_fill_pattern_3_0: 1350000 rects
caravel_00020006_fill_pattern_3_0: 1360000 rects
caravel_00020006_fill_pattern_3_0: 1370000 rects
caravel_00020006_fill_pattern_3_0: 1380000 rects
caravel_00020006_fill_pattern_3_0: 1390000 rects
caravel_00020006_fill_pattern_3_0: 1400000 rects
caravel_00020006_fill_pattern_3_0: 1410000 rects
caravel_00020006_fill_pattern_3_0: 1420000 rects
caravel_00020006_fill_pattern_3_0: 1430000 rects
caravel_00020006_fill_pattern_3_0: 1440000 rects
caravel_00020006_fill_pattern_3_0: 1450000 rects
caravel_00020006_fill_pattern_3_0: 1460000 rects
caravel_00020006_fill_pattern_3_0: 1470000 rects
caravel_00020006_fill_pattern_3_0: 1480000 rects
caravel_00020006_fill_pattern_3_0: 1490000 rects
caravel_00020006_fill_pattern_3_0: 1500000 rects
caravel_00020006_fill_pattern_3_0: 1510000 rects
caravel_00020006_fill_pattern_3_0: 1520000 rects
caravel_00020006_fill_pattern_3_0: 1530000 rects
caravel_00020006_fill_pattern_3_0: 1540000 rects
caravel_00020006_fill_pattern_3_0: 1550000 rects
caravel_00020006_fill_pattern_3_0: 1560000 rects
caravel_00020006_fill_pattern_3_0: 1570000 rects
caravel_00020006_fill_pattern_3_0: 1580000 rects
caravel_00020006_fill_pattern_3_0: 1590000 rects
caravel_00020006_fill_pattern_3_0: 1600000 rects
caravel_00020006_fill_pattern_3_0: 1610000 rects
caravel_00020006_fill_pattern_3_0: 1620000 rects
caravel_00020006_fill_pattern_3_0: 1630000 rects
caravel_00020006_fill_pattern_3_0: 1640000 rects
caravel_00020006_fill_pattern_3_0: 1650000 rects
caravel_00020006_fill_pattern_3_0: 1660000 rects
caravel_00020006_fill_pattern_3_0: 1670000 rects
caravel_00020006_fill_pattern_3_0: 1680000 rects
caravel_00020006_fill_pattern_3_0: 1690000 rects
caravel_00020006_fill_pattern_3_0: 1700000 rects
caravel_00020006_fill_pattern_3_0: 1710000 rects
caravel_00020006_fill_pattern_3_0: 1720000 rects
caravel_00020006_fill_pattern_3_0: 1730000 rects
caravel_00020006_fill_pattern_3_0: 1740000 rects
caravel_00020006_fill_pattern_3_0: 1750000 rects
caravel_00020006_fill_pattern_3_0: 1760000 rects
caravel_00020006_fill_pattern_3_0: 1770000 rects
caravel_00020006_fill_pattern_3_0: 1780000 rects
caravel_00020006_fill_pattern_3_0: 1790000 rects
caravel_00020006_fill_pattern_3_0: 1800000 rects
caravel_00020006_fill_pattern_3_0: 1810000 rects
caravel_00020006_fill_pattern_3_0: 1820000 rects
caravel_00020006_fill_pattern_3_0: 1830000 rects
caravel_00020006_fill_pattern_3_0: 1840000 rects
caravel_00020006_fill_pattern_3_0: 1850000 rects
caravel_00020006_fill_pattern_3_0: 1860000 rects
caravel_00020006_fill_pattern_3_0: 1870000 rects
caravel_00020006_fill_pattern_3_0: 1880000 rects
caravel_00020006_fill_pattern_3_0: 1890000 rects
caravel_00020006_fill_pattern_3_0: 1900000 rects
caravel_00020006_fill_pattern_3_0: 1910000 rects
caravel_00020006_fill_pattern_3_0: 1920000 rects
caravel_00020006_fill_pattern_3_0: 1930000 rects
caravel_00020006_fill_pattern_3_0: 1940000 rects
caravel_00020006_fill_pattern_3_0: 1950000 rects
caravel_00020006_fill_pattern_3_0: 1960000 rects
caravel_00020006_fill_pattern_3_0: 1970000 rects
caravel_00020006_fill_pattern_3_0: 1980000 rects
caravel_00020006_fill_pattern_3_0: 1990000 rects
caravel_00020006_fill_pattern_3_0: 2000000 rects
caravel_00020006_fill_pattern_3_0: 2010000 rects
caravel_00020006_fill_pattern_3_0: 2020000 rects
caravel_00020006_fill_pattern_3_0: 2030000 rects
caravel_00020006_fill_pattern_3_0: 2040000 rects
caravel_00020006_fill_pattern_3_0: 2050000 rects
caravel_00020006_fill_pattern_3_0: 2060000 rects
caravel_00020006_fill_pattern_3_0: 2070000 rects
caravel_00020006_fill_pattern_3_0: 2080000 rects
caravel_00020006_fill_pattern_3_0: 2090000 rects
caravel_00020006_fill_pattern_3_0: 2100000 rects
caravel_00020006_fill_pattern_3_0: 2110000 rects
caravel_00020006_fill_pattern_3_0: 2120000 rects
caravel_00020006_fill_pattern_3_0: 2130000 rects
caravel_00020006_fill_pattern_3_0: 2140000 rects
caravel_00020006_fill_pattern_3_0: 2150000 rects
caravel_00020006_fill_pattern_3_0: 2160000 rects
caravel_00020006_fill_pattern_3_0: 2170000 rects
caravel_00020006_fill_pattern_3_0: 2180000 rects
caravel_00020006_fill_pattern_3_0: 2190000 rects
caravel_00020006_fill_pattern_3_0: 2200000 rects
caravel_00020006_fill_pattern_3_0: 2210000 rects
caravel_00020006_fill_pattern_3_0: 2220000 rects
caravel_00020006_fill_pattern_3_0: 2230000 rects
caravel_00020006_fill_pattern_3_0: 2240000 rects
caravel_00020006_fill_pattern_3_0: 2250000 rects
caravel_00020006_fill_pattern_3_0: 2260000 rects
caravel_00020006_fill_pattern_3_0: 2270000 rects
caravel_00020006_fill_pattern_3_0: 2280000 rects
caravel_00020006_fill_pattern_3_0: 2290000 rects
caravel_00020006_fill_pattern_3_0: 2300000 rects
caravel_00020006_fill_pattern_3_0: 2310000 rects
caravel_00020006_fill_pattern_3_0: 2320000 rects
caravel_00020006_fill_pattern_3_0: 2330000 rects
caravel_00020006_fill_pattern_3_0: 2340000 rects
caravel_00020006_fill_pattern_3_0: 2350000 rects
caravel_00020006_fill_pattern_3_0: 2360000 rects
caravel_00020006_fill_pattern_3_0: 2370000 rects
caravel_00020006_fill_pattern_3_0: 2380000 rects
caravel_00020006_fill_pattern_3_0: 2390000 rects
caravel_00020006_fill_pattern_3_0: 2400000 rects
caravel_00020006_fill_pattern_3_0: 2410000 rects
caravel_00020006_fill_pattern_3_0: 2420000 rects
caravel_00020006_fill_pattern_3_0: 2430000 rects
caravel_00020006_fill_pattern_3_0: 2440000 rects
caravel_00020006_fill_pattern_3_0: 2450000 rects
caravel_00020006_fill_pattern_3_0: 2460000 rects
caravel_00020006_fill_pattern_3_0: 2470000 rects
caravel_00020006_fill_pattern_3_0: 2480000 rects
caravel_00020006_fill_pattern_3_0: 2490000 rects
caravel_00020006_fill_pattern_3_0: 2500000 rects
caravel_00020006_fill_pattern_3_0: 2510000 rects
caravel_00020006_fill_pattern_3_0: 2520000 rects
caravel_00020006_fill_pattern_3_0: 2530000 rects
caravel_00020006_fill_pattern_3_0: 2540000 rects
caravel_00020006_fill_pattern_3_0: 2550000 rects
caravel_00020006_fill_pattern_3_0: 2560000 rects
caravel_00020006_fill_pattern_3_0: 2570000 rects
caravel_00020006_fill_pattern_3_0: 2580000 rects
caravel_00020006_fill_pattern_3_0: 2590000 rects
caravel_00020006_fill_pattern_3_0: 2600000 rects
caravel_00020006_fill_pattern_3_0: 2610000 rects
caravel_00020006_fill_pattern_3_0: 2620000 rects
caravel_00020006_fill_pattern_3_0: 2630000 rects
caravel_00020006_fill_pattern_3_0: 2640000 rects
caravel_00020006_fill_pattern_3_0: 2650000 rects
caravel_00020006_fill_pattern_3_0: 2660000 rects
caravel_00020006_fill_pattern_3_0: 2670000 rects
caravel_00020006_fill_pattern_3_0: 2680000 rects
caravel_00020006_fill_pattern_3_0: 2690000 rects
caravel_00020006_fill_pattern_3_0: 2700000 rects
caravel_00020006_fill_pattern_3_0: 2710000 rects
caravel_00020006_fill_pattern_3_0: 2720000 rects
caravel_00020006_fill_pattern_3_0: 2730000 rects
caravel_00020006_fill_pattern_3_0: 2740000 rects
caravel_00020006_fill_pattern_3_0: 2750000 rects
caravel_00020006_fill_pattern_3_0: 2760000 rects
caravel_00020006_fill_pattern_3_0: 2770000 rects
caravel_00020006_fill_pattern_3_0: 2780000 rects
caravel_00020006_fill_pattern_3_0: 2790000 rects
caravel_00020006_fill_pattern_3_0: 2800000 rects
caravel_00020006_fill_pattern_3_0: 2810000 rects
caravel_00020006_fill_pattern_3_0: 2820000 rects
caravel_00020006_fill_pattern_3_0: 2830000 rects
caravel_00020006_fill_pattern_3_0: 2840000 rects
caravel_00020006_fill_pattern_3_0: 2850000 rects
caravel_00020006_fill_pattern_3_0: 2860000 rects
caravel_00020006_fill_pattern_3_0: 2870000 rects
caravel_00020006_fill_pattern_3_0: 2880000 rects
caravel_00020006_fill_pattern_3_0: 2890000 rects
caravel_00020006_fill_pattern_3_0: 2900000 rects
caravel_00020006_fill_pattern_3_0: 2910000 rects
caravel_00020006_fill_pattern_3_0: 2920000 rects
caravel_00020006_fill_pattern_3_0: 2930000 rects
caravel_00020006_fill_pattern_3_0: 2940000 rects
caravel_00020006_fill_pattern_3_0: 2950000 rects
caravel_00020006_fill_pattern_3_0: 2960000 rects
caravel_00020006_fill_pattern_3_0: 2970000 rects
caravel_00020006_fill_pattern_3_0: 2980000 rects
caravel_00020006_fill_pattern_3_0: 2990000 rects
caravel_00020006_fill_pattern_3_0: 3000000 rects
caravel_00020006_fill_pattern_3_0: 3010000 rects
caravel_00020006_fill_pattern_3_0: 3020000 rects
caravel_00020006_fill_pattern_3_0: 3030000 rects
caravel_00020006_fill_pattern_3_0: 3040000 rects
caravel_00020006_fill_pattern_3_0: 3050000 rects
caravel_00020006_fill_pattern_3_0: 3060000 rects
caravel_00020006_fill_pattern_3_0: 3070000 rects
caravel_00020006_fill_pattern_3_0: 3080000 rects
caravel_00020006_fill_pattern_3_0: 3090000 rects
caravel_00020006_fill_pattern_3_0: 3100000 rects
caravel_00020006_fill_pattern_3_0: 3110000 rects
caravel_00020006_fill_pattern_3_0: 3120000 rects
caravel_00020006_fill_pattern_3_0: 3130000 rects
caravel_00020006_fill_pattern_3_0: 3140000 rects
caravel_00020006_fill_pattern_3_0: 3150000 rects
caravel_00020006_fill_pattern_3_0: 3160000 rects
caravel_00020006_fill_pattern_3_0: 3170000 rects
caravel_00020006_fill_pattern_3_0: 3180000 rects
caravel_00020006_fill_pattern_3_0: 3190000 rects
caravel_00020006_fill_pattern_3_0: 3200000 rects
caravel_00020006_fill_pattern_3_0: 3210000 rects
caravel_00020006_fill_pattern_3_0: 3220000 rects
caravel_00020006_fill_pattern_3_0: 3230000 rects
caravel_00020006_fill_pattern_3_0: 3240000 rects
caravel_00020006_fill_pattern_3_0: 3250000 rects
caravel_00020006_fill_pattern_3_0: 3260000 rects
caravel_00020006_fill_pattern_3_0: 3270000 rects
caravel_00020006_fill_pattern_3_0: 3280000 rects
caravel_00020006_fill_pattern_3_0: 3290000 rects
caravel_00020006_fill_pattern_3_0: 3300000 rects
caravel_00020006_fill_pattern_3_0: 3310000 rects
caravel_00020006_fill_pattern_3_0: 3320000 rects
caravel_00020006_fill_pattern_3_0: 3330000 rects
caravel_00020006_fill_pattern_3_0: 3340000 rects
caravel_00020006_fill_pattern_3_0: 3350000 rects
caravel_00020006_fill_pattern_3_0: 3360000 rects
caravel_00020006_fill_pattern_3_0: 3370000 rects
caravel_00020006_fill_pattern_3_0: 3380000 rects
caravel_00020006_fill_pattern_3_0: 3390000 rects
caravel_00020006_fill_pattern_3_0: 3400000 rects
caravel_00020006_fill_pattern_3_0: 3410000 rects
caravel_00020006_fill_pattern_3_0: 3420000 rects
caravel_00020006_fill_pattern_3_0: 3430000 rects
caravel_00020006_fill_pattern_3_0: 3440000 rects
caravel_00020006_fill_pattern_3_0: 3450000 rects
caravel_00020006_fill_pattern_3_0: 3460000 rects
caravel_00020006_fill_pattern_3_0: 3470000 rects
caravel_00020006_fill_pattern_3_0: 3480000 rects
caravel_00020006_fill_pattern_3_0: 3490000 rects
caravel_00020006_fill_pattern_3_0: 3500000 rects
caravel_00020006_fill_pattern_3_0: 3510000 rects
caravel_00020006_fill_pattern_3_0: 3520000 rects
caravel_00020006_fill_pattern_3_0: 3530000 rects
caravel_00020006_fill_pattern_3_0: 3540000 rects
caravel_00020006_fill_pattern_3_0: 3550000 rects
caravel_00020006_fill_pattern_3_0: 3560000 rects
caravel_00020006_fill_pattern_3_0: 3570000 rects
caravel_00020006_fill_pattern_3_0: 3580000 rects
caravel_00020006_fill_pattern_3_0: 3590000 rects
caravel_00020006_fill_pattern_3_0: 3600000 rects
caravel_00020006_fill_pattern_3_0: 3610000 rects
caravel_00020006_fill_pattern_3_0: 3620000 rects
caravel_00020006_fill_pattern_3_0: 3630000 rects
caravel_00020006_fill_pattern_3_0: 3640000 rects
caravel_00020006_fill_pattern_3_0: 3650000 rects
caravel_00020006_fill_pattern_3_0: 3660000 rects
caravel_00020006_fill_pattern_3_0: 3670000 rects
caravel_00020006_fill_pattern_3_0: 3680000 rects
caravel_00020006_fill_pattern_3_0: 3690000 rects
caravel_00020006_fill_pattern_3_0: 3700000 rects
caravel_00020006_fill_pattern_3_0: 3710000 rects
caravel_00020006_fill_pattern_3_0: 3720000 rects
caravel_00020006_fill_pattern_3_0: 3730000 rects
caravel_00020006_fill_pattern_3_0: 3740000 rects
caravel_00020006_fill_pattern_3_0: 3750000 rects
caravel_00020006_fill_pattern_3_0: 3760000 rects
caravel_00020006_fill_pattern_3_0: 3770000 rects
caravel_00020006_fill_pattern_3_0: 3780000 rects
caravel_00020006_fill_pattern_3_0: 3790000 rects
caravel_00020006_fill_pattern_3_0: 3800000 rects
caravel_00020006_fill_pattern_3_0: 3810000 rects
caravel_00020006_fill_pattern_3_0: 3820000 rects
caravel_00020006_fill_pattern_3_0: 3830000 rects
caravel_00020006_fill_pattern_3_0: 3840000 rects
caravel_00020006_fill_pattern_3_0: 3850000 rects
caravel_00020006_fill_pattern_3_0: 3860000 rects
caravel_00020006_fill_pattern_3_0: 3870000 rects
caravel_00020006_fill_pattern_3_0: 3880000 rects
caravel_00020006_fill_pattern_3_0: 3890000 rects
caravel_00020006_fill_pattern_3_0: 3900000 rects
caravel_00020006_fill_pattern_3_0: 3910000 rects
caravel_00020006_fill_pattern_3_0: 3920000 rects
caravel_00020006_fill_pattern_3_0: 3930000 rects
caravel_00020006_fill_pattern_3_0: 3940000 rects
caravel_00020006_fill_pattern_3_0: 3950000 rects
caravel_00020006_fill_pattern_3_0: 3960000 rects
caravel_00020006_fill_pattern_3_0: 3970000 rects
caravel_00020006_fill_pattern_3_0: 3980000 rects
caravel_00020006_fill_pattern_3_0: 3990000 rects
caravel_00020006_fill_pattern_3_0: 4000000 rects
caravel_00020006_fill_pattern_3_0: 4010000 rects
caravel_00020006_fill_pattern_3_0: 4020000 rects
caravel_00020006_fill_pattern_3_0: 4030000 rects
caravel_00020006_fill_pattern_3_0: 4040000 rects
caravel_00020006_fill_pattern_3_0: 4050000 rects
caravel_00020006_fill_pattern_3_0: 4060000 rects
caravel_00020006_fill_pattern_3_0: 4070000 rects
caravel_00020006_fill_pattern_3_0: 4080000 rects
caravel_00020006_fill_pattern_3_0: 4090000 rects
caravel_00020006_fill_pattern_3_0: 4100000 rects
caravel_00020006_fill_pattern_3_0: 4110000 rects
caravel_00020006_fill_pattern_3_0: 4120000 rects
caravel_00020006_fill_pattern_3_0: 4130000 rects
caravel_00020006_fill_pattern_3_0: 4140000 rects
caravel_00020006_fill_pattern_3_0: 4150000 rects
caravel_00020006_fill_pattern_3_0: 4160000 rects
caravel_00020006_fill_pattern_3_0: 4170000 rects
caravel_00020006_fill_pattern_3_0: 4180000 rects
caravel_00020006_fill_pattern_3_0: 4190000 rects
caravel_00020006_fill_pattern_3_0: 4200000 rects
caravel_00020006_fill_pattern_3_0: 4210000 rects
caravel_00020006_fill_pattern_3_0: 4220000 rects
caravel_00020006_fill_pattern_3_0: 4230000 rects
caravel_00020006_fill_pattern_3_0: 4240000 rects
caravel_00020006_fill_pattern_3_0: 4250000 rects
caravel_00020006_fill_pattern_3_0: 4260000 rects
caravel_00020006_fill_pattern_3_0: 4270000 rects
caravel_00020006_fill_pattern_3_0: 4280000 rects
caravel_00020006_fill_pattern_3_0: 4290000 rects
caravel_00020006_fill_pattern_3_0: 4300000 rects
caravel_00020006_fill_pattern_3_0: 4310000 rects
caravel_00020006_fill_pattern_3_0: 4320000 rects
caravel_00020006_fill_pattern_3_0: 4330000 rects
caravel_00020006_fill_pattern_3_0: 4340000 rects
caravel_00020006_fill_pattern_3_0: 4350000 rects
caravel_00020006_fill_pattern_3_0: 4360000 rects
caravel_00020006_fill_pattern_3_0: 4370000 rects
caravel_00020006_fill_pattern_3_0: 4380000 rects
caravel_00020006_fill_pattern_3_0: 4390000 rects
caravel_00020006_fill_pattern_3_0: 4400000 rects
caravel_00020006_fill_pattern_3_0: 4410000 rects
caravel_00020006_fill_pattern_3_0: 4420000 rects
caravel_00020006_fill_pattern_3_0: 4430000 rects
caravel_00020006_fill_pattern_3_0: 4440000 rects
caravel_00020006_fill_pattern_3_0: 4450000 rects
caravel_00020006_fill_pattern_3_0: 4460000 rects
caravel_00020006_fill_pattern_3_0: 4470000 rects
caravel_00020006_fill_pattern_3_0: 4480000 rects
caravel_00020006_fill_pattern_3_0: 4490000 rects
caravel_00020006_fill_pattern_3_0: 4500000 rects
caravel_00020006_fill_pattern_3_0: 4510000 rects
caravel_00020006_fill_pattern_3_0: 4520000 rects
caravel_00020006_fill_pattern_3_0: 4530000 rects
caravel_00020006_fill_pattern_3_0: 4540000 rects
caravel_00020006_fill_pattern_3_0: 4550000 rects
caravel_00020006_fill_pattern_3_0: 4560000 rects
caravel_00020006_fill_pattern_3_0: 4570000 rects
caravel_00020006_fill_pattern_3_0: 4580000 rects
caravel_00020006_fill_pattern_3_0: 4590000 rects
caravel_00020006_fill_pattern_3_0: 4600000 rects
caravel_00020006_fill_pattern_3_0: 4610000 rects
caravel_00020006_fill_pattern_3_0: 4620000 rects
caravel_00020006_fill_pattern_3_0: 4630000 rects
caravel_00020006_fill_pattern_3_0: 4640000 rects
caravel_00020006_fill_pattern_3_0: 4650000 rects
caravel_00020006_fill_pattern_3_0: 4660000 rects
caravel_00020006_fill_pattern_3_0: 4670000 rects
caravel_00020006_fill_pattern_3_0: 4680000 rects
caravel_00020006_fill_pattern_3_0: 4690000 rects
caravel_00020006_fill_pattern_3_0: 4700000 rects
caravel_00020006_fill_pattern_3_0: 4710000 rects
caravel_00020006_fill_pattern_3_0: 4720000 rects
caravel_00020006_fill_pattern_3_0: 4730000 rects
caravel_00020006_fill_pattern_3_0: 4740000 rects
caravel_00020006_fill_pattern_3_0: 4750000 rects
caravel_00020006_fill_pattern_3_0: 4760000 rects
caravel_00020006_fill_pattern_3_0: 4770000 rects
caravel_00020006_fill_pattern_3_0: 4780000 rects
caravel_00020006_fill_pattern_3_0: 4790000 rects
caravel_00020006_fill_pattern_3_0: 4800000 rects
caravel_00020006_fill_pattern_3_0: 4810000 rects
caravel_00020006_fill_pattern_3_0: 4820000 rects
caravel_00020006_fill_pattern_3_0: 4830000 rects
caravel_00020006_fill_pattern_3_0: 4840000 rects
caravel_00020006_fill_pattern_3_0: 4850000 rects
caravel_00020006_fill_pattern_3_0: 4860000 rects
caravel_00020006_fill_pattern_3_0: 4870000 rects
caravel_00020006_fill_pattern_3_0: 4880000 rects
caravel_00020006_fill_pattern_3_0: 4890000 rects
caravel_00020006_fill_pattern_3_0: 4900000 rects
caravel_00020006_fill_pattern_3_0: 4910000 rects
Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
Starting magic under Tcl interpreter
Using the terminal as the console.
Using NULL graphics device.
site.pre: In custom site.pre...
site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
Processing system .magicrc file
site.def: In custom site.def...
Sourcing design .magicrc for technology sky130A ...
2 Magic internal units = 1 Lambda
Input style sky130(vendor): scaleFactor=2, multiplier=2
Scaled tech values by 2 / 1 to match internal grid scaling
Loading sky130A Device Generator Menu ...
Loading "/mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/generate_fill_dist.tcl" from command line.
caravel_00020006_fill_pattern_2_1: 10000 rects
caravel_00020006_fill_pattern_2_1: 20000 rects
caravel_00020006_fill_pattern_2_1: 30000 rects
caravel_00020006_fill_pattern_2_1: 40000 rects
caravel_00020006_fill_pattern_2_1: 50000 rects
caravel_00020006_fill_pattern_2_1: 60000 rects
caravel_00020006_fill_pattern_2_1: 70000 rects
caravel_00020006_fill_pattern_2_1: 80000 rects
caravel_00020006_fill_pattern_2_1: 90000 rects
caravel_00020006_fill_pattern_2_1: 100000 rects
caravel_00020006_fill_pattern_2_1: 110000 rects
caravel_00020006_fill_pattern_2_1: 120000 rects
caravel_00020006_fill_pattern_2_1: 130000 rects
caravel_00020006_fill_pattern_2_1: 140000 rects
caravel_00020006_fill_pattern_2_1: 150000 rects
caravel_00020006_fill_pattern_2_1: 160000 rects
caravel_00020006_fill_pattern_2_1: 170000 rects
caravel_00020006_fill_pattern_2_1: 180000 rects
caravel_00020006_fill_pattern_2_1: 190000 rects
caravel_00020006_fill_pattern_2_1: 200000 rects
caravel_00020006_fill_pattern_2_1: 210000 rects
caravel_00020006_fill_pattern_2_1: 220000 rects
caravel_00020006_fill_pattern_2_1: 230000 rects
caravel_00020006_fill_pattern_2_1: 240000 rects
caravel_00020006_fill_pattern_2_1: 250000 rects
caravel_00020006_fill_pattern_2_1: 260000 rects
caravel_00020006_fill_pattern_2_1: 270000 rects
caravel_00020006_fill_pattern_2_1: 280000 rects
caravel_00020006_fill_pattern_2_1: 290000 rects
caravel_00020006_fill_pattern_2_1: 300000 rects
caravel_00020006_fill_pattern_2_1: 310000 rects
caravel_00020006_fill_pattern_2_1: 320000 rects
caravel_00020006_fill_pattern_2_1: 330000 rects
caravel_00020006_fill_pattern_2_1: 340000 rects
caravel_00020006_fill_pattern_2_1: 350000 rects
caravel_00020006_fill_pattern_2_1: 360000 rects
caravel_00020006_fill_pattern_2_1: 370000 rects
caravel_00020006_fill_pattern_2_1: 380000 rects
caravel_00020006_fill_pattern_2_1: 390000 rects
caravel_00020006_fill_pattern_2_1: 400000 rects
caravel_00020006_fill_pattern_2_1: 410000 rects
caravel_00020006_fill_pattern_2_1: 420000 rects
caravel_00020006_fill_pattern_2_1: 430000 rects
caravel_00020006_fill_pattern_2_1: 440000 rects
caravel_00020006_fill_pattern_2_1: 450000 rects
caravel_00020006_fill_pattern_2_1: 460000 rects
caravel_00020006_fill_pattern_2_1: 470000 rects
caravel_00020006_fill_pattern_2_1: 480000 rects
caravel_00020006_fill_pattern_2_1: 490000 rects
caravel_00020006_fill_pattern_2_1: 500000 rects
caravel_00020006_fill_pattern_2_1: 510000 rects
caravel_00020006_fill_pattern_2_1: 520000 rects
caravel_00020006_fill_pattern_2_1: 530000 rects
caravel_00020006_fill_pattern_2_1: 540000 rects
caravel_00020006_fill_pattern_2_1: 550000 rects
caravel_00020006_fill_pattern_2_1: 560000 rects
caravel_00020006_fill_pattern_2_1: 570000 rects
caravel_00020006_fill_pattern_2_1: 580000 rects
caravel_00020006_fill_pattern_2_1: 590000 rects
caravel_00020006_fill_pattern_2_1: 600000 rects
caravel_00020006_fill_pattern_2_1: 610000 rects
caravel_00020006_fill_pattern_2_1: 620000 rects
caravel_00020006_fill_pattern_2_1: 630000 rects
caravel_00020006_fill_pattern_2_1: 640000 rects
caravel_00020006_fill_pattern_2_1: 650000 rects
caravel_00020006_fill_pattern_2_1: 660000 rects
caravel_00020006_fill_pattern_2_1: 670000 rects
caravel_00020006_fill_pattern_2_1: 680000 rects
caravel_00020006_fill_pattern_2_1: 690000 rects
caravel_00020006_fill_pattern_2_1: 700000 rects
caravel_00020006_fill_pattern_2_1: 710000 rects
caravel_00020006_fill_pattern_2_1: 720000 rects
caravel_00020006_fill_pattern_2_1: 730000 rects
caravel_00020006_fill_pattern_2_1: 740000 rects
caravel_00020006_fill_pattern_2_1: 750000 rects
caravel_00020006_fill_pattern_2_1: 760000 rects
caravel_00020006_fill_pattern_2_1: 770000 rects
caravel_00020006_fill_pattern_2_1: 780000 rects
caravel_00020006_fill_pattern_2_1: 790000 rects
caravel_00020006_fill_pattern_2_1: 800000 rects
caravel_00020006_fill_pattern_2_1: 810000 rects
caravel_00020006_fill_pattern_2_1: 820000 rects
caravel_00020006_fill_pattern_2_1: 830000 rects
caravel_00020006_fill_pattern_2_1: 840000 rects
caravel_00020006_fill_pattern_2_1: 850000 rects
caravel_00020006_fill_pattern_2_1: 860000 rects
caravel_00020006_fill_pattern_2_1: 870000 rects
caravel_00020006_fill_pattern_2_1: 880000 rects
caravel_00020006_fill_pattern_2_1: 890000 rects
caravel_00020006_fill_pattern_2_1: 900000 rects
caravel_00020006_fill_pattern_2_1: 910000 rects
caravel_00020006_fill_pattern_2_1: 920000 rects
caravel_00020006_fill_pattern_2_1: 930000 rects
caravel_00020006_fill_pattern_2_1: 940000 rects
caravel_00020006_fill_pattern_2_1: 950000 rects
caravel_00020006_fill_pattern_2_1: 960000 rects
caravel_00020006_fill_pattern_2_1: 970000 rects
caravel_00020006_fill_pattern_2_1: 980000 rects
caravel_00020006_fill_pattern_2_1: 990000 rects
caravel_00020006_fill_pattern_2_1: 1000000 rects
caravel_00020006_fill_pattern_2_1: 1010000 rects
caravel_00020006_fill_pattern_2_1: 1020000 rects
caravel_00020006_fill_pattern_2_1: 1030000 rects
caravel_00020006_fill_pattern_2_1: 1040000 rects
caravel_00020006_fill_pattern_2_1: 1050000 rects
caravel_00020006_fill_pattern_2_1: 1060000 rects
caravel_00020006_fill_pattern_2_1: 1070000 rects
caravel_00020006_fill_pattern_2_1: 1080000 rects
caravel_00020006_fill_pattern_2_1: 1090000 rects
caravel_00020006_fill_pattern_2_1: 1100000 rects
caravel_00020006_fill_pattern_2_1: 1110000 rects
caravel_00020006_fill_pattern_2_1: 1120000 rects
caravel_00020006_fill_pattern_2_1: 1130000 rects
caravel_00020006_fill_pattern_2_1: 1140000 rects
caravel_00020006_fill_pattern_2_1: 1150000 rects
caravel_00020006_fill_pattern_2_1: 1160000 rects
caravel_00020006_fill_pattern_2_1: 1170000 rects
caravel_00020006_fill_pattern_2_1: 1180000 rects
caravel_00020006_fill_pattern_2_1: 1190000 rects
caravel_00020006_fill_pattern_2_1: 1200000 rects
caravel_00020006_fill_pattern_2_1: 1210000 rects
caravel_00020006_fill_pattern_2_1: 1220000 rects
caravel_00020006_fill_pattern_2_1: 1230000 rects
caravel_00020006_fill_pattern_2_1: 1240000 rects
caravel_00020006_fill_pattern_2_1: 1250000 rects
caravel_00020006_fill_pattern_2_1: 1260000 rects
caravel_00020006_fill_pattern_2_1: 1270000 rects
caravel_00020006_fill_pattern_2_1: 1280000 rects
caravel_00020006_fill_pattern_2_1: 1290000 rects
caravel_00020006_fill_pattern_2_1: 1300000 rects
caravel_00020006_fill_pattern_2_1: 1310000 rects
caravel_00020006_fill_pattern_2_1: 1320000 rects
caravel_00020006_fill_pattern_2_1: 1330000 rects
caravel_00020006_fill_pattern_2_1: 1340000 rects
caravel_00020006_fill_pattern_2_1: 1350000 rects
caravel_00020006_fill_pattern_2_1: 1360000 rects
caravel_00020006_fill_pattern_2_1: 1370000 rects
caravel_00020006_fill_pattern_2_1: 1380000 rects
caravel_00020006_fill_pattern_2_1: 1390000 rects
caravel_00020006_fill_pattern_2_1: 1400000 rects
caravel_00020006_fill_pattern_2_1: 1410000 rects
caravel_00020006_fill_pattern_2_1: 1420000 rects
caravel_00020006_fill_pattern_2_1: 1430000 rects
caravel_00020006_fill_pattern_2_1: 1440000 rects
caravel_00020006_fill_pattern_2_1: 1450000 rects
caravel_00020006_fill_pattern_2_1: 1460000 rects
caravel_00020006_fill_pattern_2_1: 1470000 rects
caravel_00020006_fill_pattern_2_1: 1480000 rects
caravel_00020006_fill_pattern_2_1: 1490000 rects
caravel_00020006_fill_pattern_2_1: 1500000 rects
caravel_00020006_fill_pattern_2_1: 1510000 rects
caravel_00020006_fill_pattern_2_1: 1520000 rects
caravel_00020006_fill_pattern_2_1: 1530000 rects
caravel_00020006_fill_pattern_2_1: 1540000 rects
caravel_00020006_fill_pattern_2_1: 1550000 rects
caravel_00020006_fill_pattern_2_1: 1560000 rects
caravel_00020006_fill_pattern_2_1: 1570000 rects
caravel_00020006_fill_pattern_2_1: 1580000 rects
caravel_00020006_fill_pattern_2_1: 1590000 rects
caravel_00020006_fill_pattern_2_1: 1600000 rects
caravel_00020006_fill_pattern_2_1: 1610000 rects
caravel_00020006_fill_pattern_2_1: 1620000 rects
caravel_00020006_fill_pattern_2_1: 1630000 rects
caravel_00020006_fill_pattern_2_1: 1640000 rects
caravel_00020006_fill_pattern_2_1: 1650000 rects
caravel_00020006_fill_pattern_2_1: 1660000 rects
caravel_00020006_fill_pattern_2_1: 1670000 rects
caravel_00020006_fill_pattern_2_1: 1680000 rects
caravel_00020006_fill_pattern_2_1: 1690000 rects
caravel_00020006_fill_pattern_2_1: 1700000 rects
caravel_00020006_fill_pattern_2_1: 1710000 rects
caravel_00020006_fill_pattern_2_1: 1720000 rects
caravel_00020006_fill_pattern_2_1: 1730000 rects
caravel_00020006_fill_pattern_2_1: 1740000 rects
caravel_00020006_fill_pattern_2_1: 1750000 rects
caravel_00020006_fill_pattern_2_1: 1760000 rects
caravel_00020006_fill_pattern_2_1: 1770000 rects
caravel_00020006_fill_pattern_2_1: 1780000 rects
caravel_00020006_fill_pattern_2_1: 1790000 rects
caravel_00020006_fill_pattern_2_1: 1800000 rects
caravel_00020006_fill_pattern_2_1: 1810000 rects
caravel_00020006_fill_pattern_2_1: 1820000 rects
caravel_00020006_fill_pattern_2_1: 1830000 rects
caravel_00020006_fill_pattern_2_1: 1840000 rects
caravel_00020006_fill_pattern_2_1: 1850000 rects
caravel_00020006_fill_pattern_2_1: 1860000 rects
caravel_00020006_fill_pattern_2_1: 1870000 rects
caravel_00020006_fill_pattern_2_1: 1880000 rects
caravel_00020006_fill_pattern_2_1: 1890000 rects
caravel_00020006_fill_pattern_2_1: 1900000 rects
caravel_00020006_fill_pattern_2_1: 1910000 rects
caravel_00020006_fill_pattern_2_1: 1920000 rects
caravel_00020006_fill_pattern_2_1: 1930000 rects
caravel_00020006_fill_pattern_2_1: 1940000 rects
caravel_00020006_fill_pattern_2_1: 1950000 rects
caravel_00020006_fill_pattern_2_1: 1960000 rects
caravel_00020006_fill_pattern_2_1: 1970000 rects
caravel_00020006_fill_pattern_2_1: 1980000 rects
caravel_00020006_fill_pattern_2_1: 1990000 rects
caravel_00020006_fill_pattern_2_1: 2000000 rects
caravel_00020006_fill_pattern_2_1: 2010000 rects
caravel_00020006_fill_pattern_2_1: 2020000 rects
caravel_00020006_fill_pattern_2_1: 2030000 rects
caravel_00020006_fill_pattern_2_1: 2040000 rects
caravel_00020006_fill_pattern_2_1: 2050000 rects
caravel_00020006_fill_pattern_2_1: 2060000 rects
caravel_00020006_fill_pattern_2_1: 2070000 rects
caravel_00020006_fill_pattern_2_1: 2080000 rects
caravel_00020006_fill_pattern_2_1: 2090000 rects
caravel_00020006_fill_pattern_2_1: 2100000 rects
caravel_00020006_fill_pattern_2_1: 2110000 rects
caravel_00020006_fill_pattern_2_1: 2120000 rects
caravel_00020006_fill_pattern_2_1: 2130000 rects
caravel_00020006_fill_pattern_2_1: 2140000 rects
caravel_00020006_fill_pattern_2_1: 2150000 rects
caravel_00020006_fill_pattern_2_1: 2160000 rects
caravel_00020006_fill_pattern_2_1: 2170000 rects
caravel_00020006_fill_pattern_2_1: 2180000 rects
caravel_00020006_fill_pattern_2_1: 2190000 rects
caravel_00020006_fill_pattern_2_1: 2200000 rects
caravel_00020006_fill_pattern_2_1: 2210000 rects
caravel_00020006_fill_pattern_2_1: 2220000 rects
caravel_00020006_fill_pattern_2_1: 2230000 rects
caravel_00020006_fill_pattern_2_1: 2240000 rects
caravel_00020006_fill_pattern_2_1: 2250000 rects
caravel_00020006_fill_pattern_2_1: 2260000 rects
caravel_00020006_fill_pattern_2_1: 2270000 rects
caravel_00020006_fill_pattern_2_1: 2280000 rects
caravel_00020006_fill_pattern_2_1: 2290000 rects
caravel_00020006_fill_pattern_2_1: 2300000 rects
caravel_00020006_fill_pattern_2_1: 2310000 rects
caravel_00020006_fill_pattern_2_1: 2320000 rects
caravel_00020006_fill_pattern_2_1: 2330000 rects
caravel_00020006_fill_pattern_2_1: 2340000 rects
caravel_00020006_fill_pattern_2_1: 2350000 rects
caravel_00020006_fill_pattern_2_1: 2360000 rects
caravel_00020006_fill_pattern_2_1: 2370000 rects
caravel_00020006_fill_pattern_2_1: 2380000 rects
caravel_00020006_fill_pattern_2_1: 2390000 rects
caravel_00020006_fill_pattern_2_1: 2400000 rects
caravel_00020006_fill_pattern_2_1: 2410000 rects
caravel_00020006_fill_pattern_2_1: 2420000 rects
caravel_00020006_fill_pattern_2_1: 2430000 rects
caravel_00020006_fill_pattern_2_1: 2440000 rects
caravel_00020006_fill_pattern_2_1: 2450000 rects
caravel_00020006_fill_pattern_2_1: 2460000 rects
caravel_00020006_fill_pattern_2_1: 2470000 rects
caravel_00020006_fill_pattern_2_1: 2480000 rects
caravel_00020006_fill_pattern_2_1: 2490000 rects
caravel_00020006_fill_pattern_2_1: 2500000 rects
caravel_00020006_fill_pattern_2_1: 2510000 rects
caravel_00020006_fill_pattern_2_1: 2520000 rects
caravel_00020006_fill_pattern_2_1: 2530000 rects
caravel_00020006_fill_pattern_2_1: 2540000 rects
caravel_00020006_fill_pattern_2_1: 2550000 rects
caravel_00020006_fill_pattern_2_1: 2560000 rects
caravel_00020006_fill_pattern_2_1: 2570000 rects
caravel_00020006_fill_pattern_2_1: 2580000 rects
caravel_00020006_fill_pattern_2_1: 2590000 rects
caravel_00020006_fill_pattern_2_1: 2600000 rects
caravel_00020006_fill_pattern_2_1: 2610000 rects
caravel_00020006_fill_pattern_2_1: 2620000 rects
caravel_00020006_fill_pattern_2_1: 2630000 rects
caravel_00020006_fill_pattern_2_1: 2640000 rects
caravel_00020006_fill_pattern_2_1: 2650000 rects
caravel_00020006_fill_pattern_2_1: 2660000 rects
caravel_00020006_fill_pattern_2_1: 2670000 rects
caravel_00020006_fill_pattern_2_1: 2680000 rects
caravel_00020006_fill_pattern_2_1: 2690000 rects
caravel_00020006_fill_pattern_2_1: 2700000 rects
caravel_00020006_fill_pattern_2_1: 2710000 rects
caravel_00020006_fill_pattern_2_1: 2720000 rects
caravel_00020006_fill_pattern_2_1: 2730000 rects
caravel_00020006_fill_pattern_2_1: 2740000 rects
caravel_00020006_fill_pattern_2_1: 2750000 rects
caravel_00020006_fill_pattern_2_1: 2760000 rects
caravel_00020006_fill_pattern_2_1: 2770000 rects
caravel_00020006_fill_pattern_2_1: 2780000 rects
caravel_00020006_fill_pattern_2_1: 2790000 rects
caravel_00020006_fill_pattern_2_1: 2800000 rects
caravel_00020006_fill_pattern_2_1: 2810000 rects
caravel_00020006_fill_pattern_2_1: 2820000 rects
caravel_00020006_fill_pattern_2_1: 2830000 rects
caravel_00020006_fill_pattern_2_1: 2840000 rects
caravel_00020006_fill_pattern_2_1: 2850000 rects
caravel_00020006_fill_pattern_2_1: 2860000 rects
caravel_00020006_fill_pattern_2_1: 2870000 rects
caravel_00020006_fill_pattern_2_1: 2880000 rects
caravel_00020006_fill_pattern_2_1: 2890000 rects
caravel_00020006_fill_pattern_2_1: 2900000 rects
caravel_00020006_fill_pattern_2_1: 2910000 rects
caravel_00020006_fill_pattern_2_1: 2920000 rects
caravel_00020006_fill_pattern_2_1: 2930000 rects
caravel_00020006_fill_pattern_2_1: 2940000 rects
caravel_00020006_fill_pattern_2_1: 2950000 rects
caravel_00020006_fill_pattern_2_1: 2960000 rects
caravel_00020006_fill_pattern_2_1: 2970000 rects
caravel_00020006_fill_pattern_2_1: 2980000 rects
caravel_00020006_fill_pattern_2_1: 2990000 rects
caravel_00020006_fill_pattern_2_1: 3000000 rects
caravel_00020006_fill_pattern_2_1: 3010000 rects
caravel_00020006_fill_pattern_2_1: 3020000 rects
caravel_00020006_fill_pattern_2_1: 3030000 rects
caravel_00020006_fill_pattern_2_1: 3040000 rects
caravel_00020006_fill_pattern_2_1: 3050000 rects
caravel_00020006_fill_pattern_2_1: 3060000 rects
caravel_00020006_fill_pattern_2_1: 3070000 rects
caravel_00020006_fill_pattern_2_1: 3080000 rects
caravel_00020006_fill_pattern_2_1: 3090000 rects
caravel_00020006_fill_pattern_2_1: 3100000 rects
caravel_00020006_fill_pattern_2_1: 3110000 rects
caravel_00020006_fill_pattern_2_1: 3120000 rects
caravel_00020006_fill_pattern_2_1: 3130000 rects
caravel_00020006_fill_pattern_2_1: 3140000 rects
caravel_00020006_fill_pattern_2_1: 3150000 rects
caravel_00020006_fill_pattern_2_1: 3160000 rects
caravel_00020006_fill_pattern_2_1: 3170000 rects
caravel_00020006_fill_pattern_2_1: 3180000 rects
caravel_00020006_fill_pattern_2_1: 3190000 rects
caravel_00020006_fill_pattern_2_1: 3200000 rects
caravel_00020006_fill_pattern_2_1: 3210000 rects
caravel_00020006_fill_pattern_2_1: 3220000 rects
caravel_00020006_fill_pattern_2_1: 3230000 rects
Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
Starting magic under Tcl interpreter
Using the terminal as the console.
Using NULL graphics device.
site.pre: In custom site.pre...
site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
Processing system .magicrc file
site.def: In custom site.def...
Sourcing design .magicrc for technology sky130A ...
2 Magic internal units = 1 Lambda
Input style sky130(vendor): scaleFactor=2, multiplier=2
Scaled tech values by 2 / 1 to match internal grid scaling
Loading sky130A Device Generator Menu ...
Loading "/mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/generate_fill_dist.tcl" from command line.
caravel_00020006_fill_pattern_2_0: 10000 rects
caravel_00020006_fill_pattern_2_0: 20000 rects
caravel_00020006_fill_pattern_2_0: 30000 rects
caravel_00020006_fill_pattern_2_0: 40000 rects
caravel_00020006_fill_pattern_2_0: 50000 rects
caravel_00020006_fill_pattern_2_0: 60000 rects
caravel_00020006_fill_pattern_2_0: 70000 rects
caravel_00020006_fill_pattern_2_0: 80000 rects
caravel_00020006_fill_pattern_2_0: 90000 rects
caravel_00020006_fill_pattern_2_0: 100000 rects
caravel_00020006_fill_pattern_2_0: 110000 rects
caravel_00020006_fill_pattern_2_0: 120000 rects
caravel_00020006_fill_pattern_2_0: 130000 rects
caravel_00020006_fill_pattern_2_0: 140000 rects
caravel_00020006_fill_pattern_2_0: 150000 rects
caravel_00020006_fill_pattern_2_0: 160000 rects
caravel_00020006_fill_pattern_2_0: 170000 rects
caravel_00020006_fill_pattern_2_0: 180000 rects
caravel_00020006_fill_pattern_2_0: 190000 rects
caravel_00020006_fill_pattern_2_0: 200000 rects
caravel_00020006_fill_pattern_2_0: 210000 rects
caravel_00020006_fill_pattern_2_0: 220000 rects
caravel_00020006_fill_pattern_2_0: 230000 rects
caravel_00020006_fill_pattern_2_0: 240000 rects
caravel_00020006_fill_pattern_2_0: 250000 rects
caravel_00020006_fill_pattern_2_0: 260000 rects
caravel_00020006_fill_pattern_2_0: 270000 rects
caravel_00020006_fill_pattern_2_0: 280000 rects
caravel_00020006_fill_pattern_2_0: 290000 rects
caravel_00020006_fill_pattern_2_0: 300000 rects
caravel_00020006_fill_pattern_2_0: 310000 rects
caravel_00020006_fill_pattern_2_0: 320000 rects
caravel_00020006_fill_pattern_2_0: 330000 rects
caravel_00020006_fill_pattern_2_0: 340000 rects
caravel_00020006_fill_pattern_2_0: 350000 rects
caravel_00020006_fill_pattern_2_0: 360000 rects
caravel_00020006_fill_pattern_2_0: 370000 rects
caravel_00020006_fill_pattern_2_0: 380000 rects
caravel_00020006_fill_pattern_2_0: 390000 rects
caravel_00020006_fill_pattern_2_0: 400000 rects
caravel_00020006_fill_pattern_2_0: 410000 rects
caravel_00020006_fill_pattern_2_0: 420000 rects
caravel_00020006_fill_pattern_2_0: 430000 rects
caravel_00020006_fill_pattern_2_0: 440000 rects
caravel_00020006_fill_pattern_2_0: 450000 rects
caravel_00020006_fill_pattern_2_0: 460000 rects
caravel_00020006_fill_pattern_2_0: 470000 rects
caravel_00020006_fill_pattern_2_0: 480000 rects
caravel_00020006_fill_pattern_2_0: 490000 rects
caravel_00020006_fill_pattern_2_0: 500000 rects
caravel_00020006_fill_pattern_2_0: 510000 rects
caravel_00020006_fill_pattern_2_0: 520000 rects
caravel_00020006_fill_pattern_2_0: 530000 rects
caravel_00020006_fill_pattern_2_0: 540000 rects
caravel_00020006_fill_pattern_2_0: 550000 rects
caravel_00020006_fill_pattern_2_0: 560000 rects
caravel_00020006_fill_pattern_2_0: 570000 rects
caravel_00020006_fill_pattern_2_0: 580000 rects
caravel_00020006_fill_pattern_2_0: 590000 rects
caravel_00020006_fill_pattern_2_0: 600000 rects
caravel_00020006_fill_pattern_2_0: 610000 rects
caravel_00020006_fill_pattern_2_0: 620000 rects
caravel_00020006_fill_pattern_2_0: 630000 rects
caravel_00020006_fill_pattern_2_0: 640000 rects
caravel_00020006_fill_pattern_2_0: 650000 rects
caravel_00020006_fill_pattern_2_0: 660000 rects
caravel_00020006_fill_pattern_2_0: 670000 rects
caravel_00020006_fill_pattern_2_0: 680000 rects
caravel_00020006_fill_pattern_2_0: 690000 rects
caravel_00020006_fill_pattern_2_0: 700000 rects
caravel_00020006_fill_pattern_2_0: 710000 rects
caravel_00020006_fill_pattern_2_0: 720000 rects
caravel_00020006_fill_pattern_2_0: 730000 rects
caravel_00020006_fill_pattern_2_0: 740000 rects
caravel_00020006_fill_pattern_2_0: 750000 rects
caravel_00020006_fill_pattern_2_0: 760000 rects
caravel_00020006_fill_pattern_2_0: 770000 rects
caravel_00020006_fill_pattern_2_0: 780000 rects
caravel_00020006_fill_pattern_2_0: 790000 rects
caravel_00020006_fill_pattern_2_0: 800000 rects
caravel_00020006_fill_pattern_2_0: 810000 rects
caravel_00020006_fill_pattern_2_0: 820000 rects
caravel_00020006_fill_pattern_2_0: 830000 rects
caravel_00020006_fill_pattern_2_0: 840000 rects
caravel_00020006_fill_pattern_2_0: 850000 rects
caravel_00020006_fill_pattern_2_0: 860000 rects
caravel_00020006_fill_pattern_2_0: 870000 rects
caravel_00020006_fill_pattern_2_0: 880000 rects
caravel_00020006_fill_pattern_2_0: 890000 rects
caravel_00020006_fill_pattern_2_0: 900000 rects
caravel_00020006_fill_pattern_2_0: 910000 rects
caravel_00020006_fill_pattern_2_0: 920000 rects
caravel_00020006_fill_pattern_2_0: 930000 rects
caravel_00020006_fill_pattern_2_0: 940000 rects
caravel_00020006_fill_pattern_2_0: 950000 rects
caravel_00020006_fill_pattern_2_0: 960000 rects
caravel_00020006_fill_pattern_2_0: 970000 rects
caravel_00020006_fill_pattern_2_0: 980000 rects
caravel_00020006_fill_pattern_2_0: 990000 rects
caravel_00020006_fill_pattern_2_0: 1000000 rects
caravel_00020006_fill_pattern_2_0: 1010000 rects
caravel_00020006_fill_pattern_2_0: 1020000 rects
caravel_00020006_fill_pattern_2_0: 1030000 rects
caravel_00020006_fill_pattern_2_0: 1040000 rects
caravel_00020006_fill_pattern_2_0: 1050000 rects
caravel_00020006_fill_pattern_2_0: 1060000 rects
caravel_00020006_fill_pattern_2_0: 1070000 rects
caravel_00020006_fill_pattern_2_0: 1080000 rects
caravel_00020006_fill_pattern_2_0: 1090000 rects
caravel_00020006_fill_pattern_2_0: 1100000 rects
caravel_00020006_fill_pattern_2_0: 1110000 rects
caravel_00020006_fill_pattern_2_0: 1120000 rects
caravel_00020006_fill_pattern_2_0: 1130000 rects
caravel_00020006_fill_pattern_2_0: 1140000 rects
caravel_00020006_fill_pattern_2_0: 1150000 rects
caravel_00020006_fill_pattern_2_0: 1160000 rects
caravel_00020006_fill_pattern_2_0: 1170000 rects
caravel_00020006_fill_pattern_2_0: 1180000 rects
caravel_00020006_fill_pattern_2_0: 1190000 rects
caravel_00020006_fill_pattern_2_0: 1200000 rects
caravel_00020006_fill_pattern_2_0: 1210000 rects
caravel_00020006_fill_pattern_2_0: 1220000 rects
caravel_00020006_fill_pattern_2_0: 1230000 rects
caravel_00020006_fill_pattern_2_0: 1240000 rects
caravel_00020006_fill_pattern_2_0: 1250000 rects
caravel_00020006_fill_pattern_2_0: 1260000 rects
caravel_00020006_fill_pattern_2_0: 1270000 rects
caravel_00020006_fill_pattern_2_0: 1280000 rects
caravel_00020006_fill_pattern_2_0: 1290000 rects
caravel_00020006_fill_pattern_2_0: 1300000 rects
caravel_00020006_fill_pattern_2_0: 1310000 rects
caravel_00020006_fill_pattern_2_0: 1320000 rects
caravel_00020006_fill_pattern_2_0: 1330000 rects
caravel_00020006_fill_pattern_2_0: 1340000 rects
caravel_00020006_fill_pattern_2_0: 1350000 rects
caravel_00020006_fill_pattern_2_0: 1360000 rects
caravel_00020006_fill_pattern_2_0: 1370000 rects
caravel_00020006_fill_pattern_2_0: 1380000 rects
caravel_00020006_fill_pattern_2_0: 1390000 rects
caravel_00020006_fill_pattern_2_0: 1400000 rects
caravel_00020006_fill_pattern_2_0: 1410000 rects
caravel_00020006_fill_pattern_2_0: 1420000 rects
caravel_00020006_fill_pattern_2_0: 1430000 rects
caravel_00020006_fill_pattern_2_0: 1440000 rects
caravel_00020006_fill_pattern_2_0: 1450000 rects
caravel_00020006_fill_pattern_2_0: 1460000 rects
caravel_00020006_fill_pattern_2_0: 1470000 rects
caravel_00020006_fill_pattern_2_0: 1480000 rects
caravel_00020006_fill_pattern_2_0: 1490000 rects
caravel_00020006_fill_pattern_2_0: 1500000 rects
caravel_00020006_fill_pattern_2_0: 1510000 rects
caravel_00020006_fill_pattern_2_0: 1520000 rects
caravel_00020006_fill_pattern_2_0: 1530000 rects
caravel_00020006_fill_pattern_2_0: 1540000 rects
caravel_00020006_fill_pattern_2_0: 1550000 rects
caravel_00020006_fill_pattern_2_0: 1560000 rects
caravel_00020006_fill_pattern_2_0: 1570000 rects
caravel_00020006_fill_pattern_2_0: 1580000 rects
caravel_00020006_fill_pattern_2_0: 1590000 rects
caravel_00020006_fill_pattern_2_0: 1600000 rects
caravel_00020006_fill_pattern_2_0: 1610000 rects
caravel_00020006_fill_pattern_2_0: 1620000 rects
caravel_00020006_fill_pattern_2_0: 1630000 rects
caravel_00020006_fill_pattern_2_0: 1640000 rects
caravel_00020006_fill_pattern_2_0: 1650000 rects
caravel_00020006_fill_pattern_2_0: 1660000 rects
caravel_00020006_fill_pattern_2_0: 1670000 rects
caravel_00020006_fill_pattern_2_0: 1680000 rects
caravel_00020006_fill_pattern_2_0: 1690000 rects
caravel_00020006_fill_pattern_2_0: 1700000 rects
caravel_00020006_fill_pattern_2_0: 1710000 rects
caravel_00020006_fill_pattern_2_0: 1720000 rects
caravel_00020006_fill_pattern_2_0: 1730000 rects
caravel_00020006_fill_pattern_2_0: 1740000 rects
caravel_00020006_fill_pattern_2_0: 1750000 rects
caravel_00020006_fill_pattern_2_0: 1760000 rects
caravel_00020006_fill_pattern_2_0: 1770000 rects
caravel_00020006_fill_pattern_2_0: 1780000 rects
caravel_00020006_fill_pattern_2_0: 1790000 rects
caravel_00020006_fill_pattern_2_0: 1800000 rects
caravel_00020006_fill_pattern_2_0: 1810000 rects
caravel_00020006_fill_pattern_2_0: 1820000 rects
caravel_00020006_fill_pattern_2_0: 1830000 rects
caravel_00020006_fill_pattern_2_0: 1840000 rects
caravel_00020006_fill_pattern_2_0: 1850000 rects
caravel_00020006_fill_pattern_2_0: 1860000 rects
caravel_00020006_fill_pattern_2_0: 1870000 rects
caravel_00020006_fill_pattern_2_0: 1880000 rects
caravel_00020006_fill_pattern_2_0: 1890000 rects
caravel_00020006_fill_pattern_2_0: 1900000 rects
caravel_00020006_fill_pattern_2_0: 1910000 rects
caravel_00020006_fill_pattern_2_0: 1920000 rects
caravel_00020006_fill_pattern_2_0: 1930000 rects
caravel_00020006_fill_pattern_2_0: 1940000 rects
caravel_00020006_fill_pattern_2_0: 1950000 rects
caravel_00020006_fill_pattern_2_0: 1960000 rects
caravel_00020006_fill_pattern_2_0: 1970000 rects
caravel_00020006_fill_pattern_2_0: 1980000 rects
caravel_00020006_fill_pattern_2_0: 1990000 rects
caravel_00020006_fill_pattern_2_0: 2000000 rects
caravel_00020006_fill_pattern_2_0: 2010000 rects
caravel_00020006_fill_pattern_2_0: 2020000 rects
caravel_00020006_fill_pattern_2_0: 2030000 rects
caravel_00020006_fill_pattern_2_0: 2040000 rects
caravel_00020006_fill_pattern_2_0: 2050000 rects
caravel_00020006_fill_pattern_2_0: 2060000 rects
caravel_00020006_fill_pattern_2_0: 2070000 rects
caravel_00020006_fill_pattern_2_0: 2080000 rects
caravel_00020006_fill_pattern_2_0: 2090000 rects
caravel_00020006_fill_pattern_2_0: 2100000 rects
caravel_00020006_fill_pattern_2_0: 2110000 rects
caravel_00020006_fill_pattern_2_0: 2120000 rects
caravel_00020006_fill_pattern_2_0: 2130000 rects
caravel_00020006_fill_pattern_2_0: 2140000 rects
caravel_00020006_fill_pattern_2_0: 2150000 rects
caravel_00020006_fill_pattern_2_0: 2160000 rects
caravel_00020006_fill_pattern_2_0: 2170000 rects
caravel_00020006_fill_pattern_2_0: 2180000 rects
caravel_00020006_fill_pattern_2_0: 2190000 rects
caravel_00020006_fill_pattern_2_0: 2200000 rects
caravel_00020006_fill_pattern_2_0: 2210000 rects
caravel_00020006_fill_pattern_2_0: 2220000 rects
caravel_00020006_fill_pattern_2_0: 2230000 rects
caravel_00020006_fill_pattern_2_0: 2240000 rects
caravel_00020006_fill_pattern_2_0: 2250000 rects
caravel_00020006_fill_pattern_2_0: 2260000 rects
caravel_00020006_fill_pattern_2_0: 2270000 rects
caravel_00020006_fill_pattern_2_0: 2280000 rects
caravel_00020006_fill_pattern_2_0: 2290000 rects
caravel_00020006_fill_pattern_2_0: 2300000 rects
caravel_00020006_fill_pattern_2_0: 2310000 rects
caravel_00020006_fill_pattern_2_0: 2320000 rects
caravel_00020006_fill_pattern_2_0: 2330000 rects
caravel_00020006_fill_pattern_2_0: 2340000 rects
caravel_00020006_fill_pattern_2_0: 2350000 rects
caravel_00020006_fill_pattern_2_0: 2360000 rects
caravel_00020006_fill_pattern_2_0: 2370000 rects
caravel_00020006_fill_pattern_2_0: 2380000 rects
caravel_00020006_fill_pattern_2_0: 2390000 rects
caravel_00020006_fill_pattern_2_0: 2400000 rects
caravel_00020006_fill_pattern_2_0: 2410000 rects
caravel_00020006_fill_pattern_2_0: 2420000 rects
caravel_00020006_fill_pattern_2_0: 2430000 rects
caravel_00020006_fill_pattern_2_0: 2440000 rects
caravel_00020006_fill_pattern_2_0: 2450000 rects
caravel_00020006_fill_pattern_2_0: 2460000 rects
caravel_00020006_fill_pattern_2_0: 2470000 rects
caravel_00020006_fill_pattern_2_0: 2480000 rects
caravel_00020006_fill_pattern_2_0: 2490000 rects
caravel_00020006_fill_pattern_2_0: 2500000 rects
caravel_00020006_fill_pattern_2_0: 2510000 rects
caravel_00020006_fill_pattern_2_0: 2520000 rects
caravel_00020006_fill_pattern_2_0: 2530000 rects
caravel_00020006_fill_pattern_2_0: 2540000 rects
caravel_00020006_fill_pattern_2_0: 2550000 rects
caravel_00020006_fill_pattern_2_0: 2560000 rects
caravel_00020006_fill_pattern_2_0: 2570000 rects
caravel_00020006_fill_pattern_2_0: 2580000 rects
caravel_00020006_fill_pattern_2_0: 2590000 rects
caravel_00020006_fill_pattern_2_0: 2600000 rects
caravel_00020006_fill_pattern_2_0: 2610000 rects
caravel_00020006_fill_pattern_2_0: 2620000 rects
caravel_00020006_fill_pattern_2_0: 2630000 rects
caravel_00020006_fill_pattern_2_0: 2640000 rects
caravel_00020006_fill_pattern_2_0: 2650000 rects
caravel_00020006_fill_pattern_2_0: 2660000 rects
caravel_00020006_fill_pattern_2_0: 2670000 rects
caravel_00020006_fill_pattern_2_0: 2680000 rects
caravel_00020006_fill_pattern_2_0: 2690000 rects
caravel_00020006_fill_pattern_2_0: 2700000 rects
caravel_00020006_fill_pattern_2_0: 2710000 rects
caravel_00020006_fill_pattern_2_0: 2720000 rects
caravel_00020006_fill_pattern_2_0: 2730000 rects
caravel_00020006_fill_pattern_2_0: 2740000 rects
caravel_00020006_fill_pattern_2_0: 2750000 rects
caravel_00020006_fill_pattern_2_0: 2760000 rects
caravel_00020006_fill_pattern_2_0: 2770000 rects
caravel_00020006_fill_pattern_2_0: 2780000 rects
caravel_00020006_fill_pattern_2_0: 2790000 rects
caravel_00020006_fill_pattern_2_0: 2800000 rects
caravel_00020006_fill_pattern_2_0: 2810000 rects
caravel_00020006_fill_pattern_2_0: 2820000 rects
caravel_00020006_fill_pattern_2_0: 2830000 rects
caravel_00020006_fill_pattern_2_0: 2840000 rects
caravel_00020006_fill_pattern_2_0: 2850000 rects
caravel_00020006_fill_pattern_2_0: 2860000 rects
caravel_00020006_fill_pattern_2_0: 2870000 rects
caravel_00020006_fill_pattern_2_0: 2880000 rects
caravel_00020006_fill_pattern_2_0: 2890000 rects
caravel_00020006_fill_pattern_2_0: 2900000 rects
caravel_00020006_fill_pattern_2_0: 2910000 rects
caravel_00020006_fill_pattern_2_0: 2920000 rects
caravel_00020006_fill_pattern_2_0: 2930000 rects
caravel_00020006_fill_pattern_2_0: 2940000 rects
caravel_00020006_fill_pattern_2_0: 2950000 rects
caravel_00020006_fill_pattern_2_0: 2960000 rects
caravel_00020006_fill_pattern_2_0: 2970000 rects
caravel_00020006_fill_pattern_2_0: 2980000 rects
caravel_00020006_fill_pattern_2_0: 2990000 rects
caravel_00020006_fill_pattern_2_0: 3000000 rects
caravel_00020006_fill_pattern_2_0: 3010000 rects
caravel_00020006_fill_pattern_2_0: 3020000 rects
caravel_00020006_fill_pattern_2_0: 3030000 rects
caravel_00020006_fill_pattern_2_0: 3040000 rects
caravel_00020006_fill_pattern_2_0: 3050000 rects
caravel_00020006_fill_pattern_2_0: 3060000 rects
caravel_00020006_fill_pattern_2_0: 3070000 rects
caravel_00020006_fill_pattern_2_0: 3080000 rects
caravel_00020006_fill_pattern_2_0: 3090000 rects
caravel_00020006_fill_pattern_2_0: 3100000 rects
caravel_00020006_fill_pattern_2_0: 3110000 rects
caravel_00020006_fill_pattern_2_0: 3120000 rects
caravel_00020006_fill_pattern_2_0: 3130000 rects
caravel_00020006_fill_pattern_2_0: 3140000 rects
caravel_00020006_fill_pattern_2_0: 3150000 rects
caravel_00020006_fill_pattern_2_0: 3160000 rects
caravel_00020006_fill_pattern_2_0: 3170000 rects
caravel_00020006_fill_pattern_2_0: 3180000 rects
caravel_00020006_fill_pattern_2_0: 3190000 rects
caravel_00020006_fill_pattern_2_0: 3200000 rects
caravel_00020006_fill_pattern_2_0: 3210000 rects
caravel_00020006_fill_pattern_2_0: 3220000 rects
caravel_00020006_fill_pattern_2_0: 3230000 rects
caravel_00020006_fill_pattern_2_0: 3240000 rects
caravel_00020006_fill_pattern_2_0: 3250000 rects
caravel_00020006_fill_pattern_2_0: 3260000 rects
caravel_00020006_fill_pattern_2_0: 3270000 rects
caravel_00020006_fill_pattern_2_0: 3280000 rects
caravel_00020006_fill_pattern_2_0: 3290000 rects
caravel_00020006_fill_pattern_2_0: 3300000 rects
caravel_00020006_fill_pattern_2_0: 3310000 rects
caravel_00020006_fill_pattern_2_0: 3320000 rects
caravel_00020006_fill_pattern_2_0: 3330000 rects
caravel_00020006_fill_pattern_2_0: 3340000 rects
caravel_00020006_fill_pattern_2_0: 3350000 rects
caravel_00020006_fill_pattern_2_0: 3360000 rects
caravel_00020006_fill_pattern_2_0: 3370000 rects
caravel_00020006_fill_pattern_2_0: 3380000 rects
caravel_00020006_fill_pattern_2_0: 3390000 rects
caravel_00020006_fill_pattern_2_0: 3400000 rects
caravel_00020006_fill_pattern_2_0: 3410000 rects
caravel_00020006_fill_pattern_2_0: 3420000 rects
caravel_00020006_fill_pattern_2_0: 3430000 rects
caravel_00020006_fill_pattern_2_0: 3440000 rects
caravel_00020006_fill_pattern_2_0: 3450000 rects
caravel_00020006_fill_pattern_2_0: 3460000 rects
caravel_00020006_fill_pattern_2_0: 3470000 rects
caravel_00020006_fill_pattern_2_0: 3480000 rects
caravel_00020006_fill_pattern_2_0: 3490000 rects
caravel_00020006_fill_pattern_2_0: 3500000 rects
caravel_00020006_fill_pattern_2_0: 3510000 rects
caravel_00020006_fill_pattern_2_0: 3520000 rects
caravel_00020006_fill_pattern_2_0: 3530000 rects
caravel_00020006_fill_pattern_2_0: 3540000 rects
caravel_00020006_fill_pattern_2_0: 3550000 rects
caravel_00020006_fill_pattern_2_0: 3560000 rects
caravel_00020006_fill_pattern_2_0: 3570000 rects
caravel_00020006_fill_pattern_2_0: 3580000 rects
caravel_00020006_fill_pattern_2_0: 3590000 rects
caravel_00020006_fill_pattern_2_0: 3600000 rects
caravel_00020006_fill_pattern_2_0: 3610000 rects
caravel_00020006_fill_pattern_2_0: 3620000 rects
caravel_00020006_fill_pattern_2_0: 3630000 rects
caravel_00020006_fill_pattern_2_0: 3640000 rects
caravel_00020006_fill_pattern_2_0: 3650000 rects
caravel_00020006_fill_pattern_2_0: 3660000 rects
caravel_00020006_fill_pattern_2_0: 3670000 rects
caravel_00020006_fill_pattern_2_0: 3680000 rects
caravel_00020006_fill_pattern_2_0: 3690000 rects
caravel_00020006_fill_pattern_2_0: 3700000 rects
caravel_00020006_fill_pattern_2_0: 3710000 rects
caravel_00020006_fill_pattern_2_0: 3720000 rects
caravel_00020006_fill_pattern_2_0: 3730000 rects
caravel_00020006_fill_pattern_2_0: 3740000 rects
caravel_00020006_fill_pattern_2_0: 3750000 rects
caravel_00020006_fill_pattern_2_0: 3760000 rects
caravel_00020006_fill_pattern_2_0: 3770000 rects
caravel_00020006_fill_pattern_2_0: 3780000 rects
caravel_00020006_fill_pattern_2_0: 3790000 rects
caravel_00020006_fill_pattern_2_0: 3800000 rects
caravel_00020006_fill_pattern_2_0: 3810000 rects
caravel_00020006_fill_pattern_2_0: 3820000 rects
caravel_00020006_fill_pattern_2_0: 3830000 rects
caravel_00020006_fill_pattern_2_0: 3840000 rects
caravel_00020006_fill_pattern_2_0: 3850000 rects
caravel_00020006_fill_pattern_2_0: 3860000 rects
caravel_00020006_fill_pattern_2_0: 3870000 rects
caravel_00020006_fill_pattern_2_0: 3880000 rects
caravel_00020006_fill_pattern_2_0: 3890000 rects
caravel_00020006_fill_pattern_2_0: 3900000 rects
caravel_00020006_fill_pattern_2_0: 3910000 rects
caravel_00020006_fill_pattern_2_0: 3920000 rects
caravel_00020006_fill_pattern_2_0: 3930000 rects
caravel_00020006_fill_pattern_2_0: 3940000 rects
caravel_00020006_fill_pattern_2_0: 3950000 rects
caravel_00020006_fill_pattern_2_0: 3960000 rects
caravel_00020006_fill_pattern_2_0: 3970000 rects
caravel_00020006_fill_pattern_2_0: 3980000 rects
caravel_00020006_fill_pattern_2_0: 3990000 rects
caravel_00020006_fill_pattern_2_0: 4000000 rects
caravel_00020006_fill_pattern_2_0: 4010000 rects
caravel_00020006_fill_pattern_2_0: 4020000 rects
caravel_00020006_fill_pattern_2_0: 4030000 rects
caravel_00020006_fill_pattern_2_0: 4040000 rects
caravel_00020006_fill_pattern_2_0: 4050000 rects
caravel_00020006_fill_pattern_2_0: 4060000 rects
caravel_00020006_fill_pattern_2_0: 4070000 rects
caravel_00020006_fill_pattern_2_0: 4080000 rects
caravel_00020006_fill_pattern_2_0: 4090000 rects
caravel_00020006_fill_pattern_2_0: 4100000 rects
caravel_00020006_fill_pattern_2_0: 4110000 rects
caravel_00020006_fill_pattern_2_0: 4120000 rects
caravel_00020006_fill_pattern_2_0: 4130000 rects
caravel_00020006_fill_pattern_2_0: 4140000 rects
caravel_00020006_fill_pattern_2_0: 4150000 rects
caravel_00020006_fill_pattern_2_0: 4160000 rects
caravel_00020006_fill_pattern_2_0: 4170000 rects
caravel_00020006_fill_pattern_2_0: 4180000 rects
caravel_00020006_fill_pattern_2_0: 4190000 rects
caravel_00020006_fill_pattern_2_0: 4200000 rects
caravel_00020006_fill_pattern_2_0: 4210000 rects
caravel_00020006_fill_pattern_2_0: 4220000 rects
caravel_00020006_fill_pattern_2_0: 4230000 rects
caravel_00020006_fill_pattern_2_0: 4240000 rects
caravel_00020006_fill_pattern_2_0: 4250000 rects
caravel_00020006_fill_pattern_2_0: 4260000 rects
caravel_00020006_fill_pattern_2_0: 4270000 rects
caravel_00020006_fill_pattern_2_0: 4280000 rects
caravel_00020006_fill_pattern_2_0: 4290000 rects
caravel_00020006_fill_pattern_2_0: 4300000 rects
caravel_00020006_fill_pattern_2_0: 4310000 rects
caravel_00020006_fill_pattern_2_0: 4320000 rects
caravel_00020006_fill_pattern_2_0: 4330000 rects
caravel_00020006_fill_pattern_2_0: 4340000 rects
caravel_00020006_fill_pattern_2_0: 4350000 rects
caravel_00020006_fill_pattern_2_0: 4360000 rects
caravel_00020006_fill_pattern_2_0: 4370000 rects
caravel_00020006_fill_pattern_2_0: 4380000 rects
caravel_00020006_fill_pattern_2_0: 4390000 rects
caravel_00020006_fill_pattern_2_0: 4400000 rects
caravel_00020006_fill_pattern_2_0: 4410000 rects
caravel_00020006_fill_pattern_2_0: 4420000 rects
caravel_00020006_fill_pattern_2_0: 4430000 rects
caravel_00020006_fill_pattern_2_0: 4440000 rects
caravel_00020006_fill_pattern_2_0: 4450000 rects
caravel_00020006_fill_pattern_2_0: 4460000 rects
caravel_00020006_fill_pattern_2_0: 4470000 rects
caravel_00020006_fill_pattern_2_0: 4480000 rects
caravel_00020006_fill_pattern_2_0: 4490000 rects
caravel_00020006_fill_pattern_2_0: 4500000 rects
caravel_00020006_fill_pattern_2_0: 4510000 rects
caravel_00020006_fill_pattern_2_0: 4520000 rects
caravel_00020006_fill_pattern_2_0: 4530000 rects
caravel_00020006_fill_pattern_2_0: 4540000 rects
caravel_00020006_fill_pattern_2_0: 4550000 rects
caravel_00020006_fill_pattern_2_0: 4560000 rects
caravel_00020006_fill_pattern_2_0: 4570000 rects
caravel_00020006_fill_pattern_2_0: 4580000 rects
caravel_00020006_fill_pattern_2_0: 4590000 rects
caravel_00020006_fill_pattern_2_0: 4600000 rects
caravel_00020006_fill_pattern_2_0: 4610000 rects
caravel_00020006_fill_pattern_2_0: 4620000 rects
caravel_00020006_fill_pattern_2_0: 4630000 rects
caravel_00020006_fill_pattern_2_0: 4640000 rects
caravel_00020006_fill_pattern_2_0: 4650000 rects
caravel_00020006_fill_pattern_2_0: 4660000 rects
caravel_00020006_fill_pattern_2_0: 4670000 rects
caravel_00020006_fill_pattern_2_0: 4680000 rects
caravel_00020006_fill_pattern_2_0: 4690000 rects
caravel_00020006_fill_pattern_2_0: 4700000 rects
caravel_00020006_fill_pattern_2_0: 4710000 rects
caravel_00020006_fill_pattern_2_0: 4720000 rects
caravel_00020006_fill_pattern_2_0: 4730000 rects
caravel_00020006_fill_pattern_2_0: 4740000 rects
caravel_00020006_fill_pattern_2_0: 4750000 rects
caravel_00020006_fill_pattern_2_0: 4760000 rects
caravel_00020006_fill_pattern_2_0: 4770000 rects
caravel_00020006_fill_pattern_2_0: 4780000 rects
caravel_00020006_fill_pattern_2_0: 4790000 rects
caravel_00020006_fill_pattern_2_0: 4800000 rects
caravel_00020006_fill_pattern_2_0: 4810000 rects
caravel_00020006_fill_pattern_2_0: 4820000 rects
caravel_00020006_fill_pattern_2_0: 4830000 rects
caravel_00020006_fill_pattern_2_0: 4840000 rects
caravel_00020006_fill_pattern_2_0: 4850000 rects
caravel_00020006_fill_pattern_2_0: 4860000 rects
caravel_00020006_fill_pattern_2_0: 4870000 rects
caravel_00020006_fill_pattern_2_0: 4880000 rects
caravel_00020006_fill_pattern_2_0: 4890000 rects
caravel_00020006_fill_pattern_2_0: 4900000 rects
caravel_00020006_fill_pattern_2_0: 4910000 rects
Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
Starting magic under Tcl interpreter
Using the terminal as the console.
Using NULL graphics device.
site.pre: In custom site.pre...
site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
Processing system .magicrc file
site.def: In custom site.def...
Sourcing design .magicrc for technology sky130A ...
2 Magic internal units = 1 Lambda
Input style sky130(vendor): scaleFactor=2, multiplier=2
Scaled tech values by 2 / 1 to match internal grid scaling
Loading sky130A Device Generator Menu ...
Loading "/mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/generate_fill_dist.tcl" from command line.
caravel_00020006_fill_pattern_1_0: 10000 rects
caravel_00020006_fill_pattern_1_0: 20000 rects
caravel_00020006_fill_pattern_1_0: 30000 rects
caravel_00020006_fill_pattern_1_0: 40000 rects
caravel_00020006_fill_pattern_1_0: 50000 rects
caravel_00020006_fill_pattern_1_0: 60000 rects
caravel_00020006_fill_pattern_1_0: 70000 rects
caravel_00020006_fill_pattern_1_0: 80000 rects
caravel_00020006_fill_pattern_1_0: 90000 rects
caravel_00020006_fill_pattern_1_0: 100000 rects
caravel_00020006_fill_pattern_1_0: 110000 rects
caravel_00020006_fill_pattern_1_0: 120000 rects
caravel_00020006_fill_pattern_1_0: 130000 rects
caravel_00020006_fill_pattern_1_0: 140000 rects
caravel_00020006_fill_pattern_1_0: 150000 rects
caravel_00020006_fill_pattern_1_0: 160000 rects
caravel_00020006_fill_pattern_1_0: 170000 rects
caravel_00020006_fill_pattern_1_0: 180000 rects
caravel_00020006_fill_pattern_1_0: 190000 rects
caravel_00020006_fill_pattern_1_0: 200000 rects
caravel_00020006_fill_pattern_1_0: 210000 rects
caravel_00020006_fill_pattern_1_0: 220000 rects
caravel_00020006_fill_pattern_1_0: 230000 rects
caravel_00020006_fill_pattern_1_0: 240000 rects
caravel_00020006_fill_pattern_1_0: 250000 rects
caravel_00020006_fill_pattern_1_0: 260000 rects
caravel_00020006_fill_pattern_1_0: 270000 rects
caravel_00020006_fill_pattern_1_0: 280000 rects
caravel_00020006_fill_pattern_1_0: 290000 rects
caravel_00020006_fill_pattern_1_0: 300000 rects
caravel_00020006_fill_pattern_1_0: 310000 rects
caravel_00020006_fill_pattern_1_0: 320000 rects
caravel_00020006_fill_pattern_1_0: 330000 rects
caravel_00020006_fill_pattern_1_0: 340000 rects
caravel_00020006_fill_pattern_1_0: 350000 rects
caravel_00020006_fill_pattern_1_0: 360000 rects
caravel_00020006_fill_pattern_1_0: 370000 rects
caravel_00020006_fill_pattern_1_0: 380000 rects
caravel_00020006_fill_pattern_1_0: 390000 rects
caravel_00020006_fill_pattern_1_0: 400000 rects
caravel_00020006_fill_pattern_1_0: 410000 rects
caravel_00020006_fill_pattern_1_0: 420000 rects
caravel_00020006_fill_pattern_1_0: 430000 rects
caravel_00020006_fill_pattern_1_0: 440000 rects
caravel_00020006_fill_pattern_1_0: 450000 rects
caravel_00020006_fill_pattern_1_0: 460000 rects
caravel_00020006_fill_pattern_1_0: 470000 rects
caravel_00020006_fill_pattern_1_0: 480000 rects
caravel_00020006_fill_pattern_1_0: 490000 rects
caravel_00020006_fill_pattern_1_0: 500000 rects
caravel_00020006_fill_pattern_1_0: 510000 rects
caravel_00020006_fill_pattern_1_0: 520000 rects
caravel_00020006_fill_pattern_1_0: 530000 rects
caravel_00020006_fill_pattern_1_0: 540000 rects
caravel_00020006_fill_pattern_1_0: 550000 rects
caravel_00020006_fill_pattern_1_0: 560000 rects
caravel_00020006_fill_pattern_1_0: 570000 rects
caravel_00020006_fill_pattern_1_0: 580000 rects
caravel_00020006_fill_pattern_1_0: 590000 rects
caravel_00020006_fill_pattern_1_0: 600000 rects
caravel_00020006_fill_pattern_1_0: 610000 rects
caravel_00020006_fill_pattern_1_0: 620000 rects
caravel_00020006_fill_pattern_1_0: 630000 rects
caravel_00020006_fill_pattern_1_0: 640000 rects
caravel_00020006_fill_pattern_1_0: 650000 rects
caravel_00020006_fill_pattern_1_0: 660000 rects
caravel_00020006_fill_pattern_1_0: 670000 rects
caravel_00020006_fill_pattern_1_0: 680000 rects
caravel_00020006_fill_pattern_1_0: 690000 rects
caravel_00020006_fill_pattern_1_0: 700000 rects
caravel_00020006_fill_pattern_1_0: 710000 rects
caravel_00020006_fill_pattern_1_0: 720000 rects
caravel_00020006_fill_pattern_1_0: 730000 rects
caravel_00020006_fill_pattern_1_0: 740000 rects
caravel_00020006_fill_pattern_1_0: 750000 rects
caravel_00020006_fill_pattern_1_0: 760000 rects
caravel_00020006_fill_pattern_1_0: 770000 rects
caravel_00020006_fill_pattern_1_0: 780000 rects
caravel_00020006_fill_pattern_1_0: 790000 rects
caravel_00020006_fill_pattern_1_0: 800000 rects
caravel_00020006_fill_pattern_1_0: 810000 rects
caravel_00020006_fill_pattern_1_0: 820000 rects
caravel_00020006_fill_pattern_1_0: 830000 rects
caravel_00020006_fill_pattern_1_0: 840000 rects
caravel_00020006_fill_pattern_1_0: 850000 rects
caravel_00020006_fill_pattern_1_0: 860000 rects
caravel_00020006_fill_pattern_1_0: 870000 rects
caravel_00020006_fill_pattern_1_0: 880000 rects
caravel_00020006_fill_pattern_1_0: 890000 rects
caravel_00020006_fill_pattern_1_0: 900000 rects
caravel_00020006_fill_pattern_1_0: 910000 rects
caravel_00020006_fill_pattern_1_0: 920000 rects
caravel_00020006_fill_pattern_1_0: 930000 rects
caravel_00020006_fill_pattern_1_0: 940000 rects
caravel_00020006_fill_pattern_1_0: 950000 rects
caravel_00020006_fill_pattern_1_0: 960000 rects
caravel_00020006_fill_pattern_1_0: 970000 rects
caravel_00020006_fill_pattern_1_0: 980000 rects
caravel_00020006_fill_pattern_1_0: 990000 rects
caravel_00020006_fill_pattern_1_0: 1000000 rects
caravel_00020006_fill_pattern_1_0: 1010000 rects
caravel_00020006_fill_pattern_1_0: 1020000 rects
caravel_00020006_fill_pattern_1_0: 1030000 rects
caravel_00020006_fill_pattern_1_0: 1040000 rects
caravel_00020006_fill_pattern_1_0: 1050000 rects
caravel_00020006_fill_pattern_1_0: 1060000 rects
caravel_00020006_fill_pattern_1_0: 1070000 rects
caravel_00020006_fill_pattern_1_0: 1080000 rects
caravel_00020006_fill_pattern_1_0: 1090000 rects
caravel_00020006_fill_pattern_1_0: 1100000 rects
caravel_00020006_fill_pattern_1_0: 1110000 rects
caravel_00020006_fill_pattern_1_0: 1120000 rects
caravel_00020006_fill_pattern_1_0: 1130000 rects
caravel_00020006_fill_pattern_1_0: 1140000 rects
caravel_00020006_fill_pattern_1_0: 1150000 rects
caravel_00020006_fill_pattern_1_0: 1160000 rects
caravel_00020006_fill_pattern_1_0: 1170000 rects
caravel_00020006_fill_pattern_1_0: 1180000 rects
caravel_00020006_fill_pattern_1_0: 1190000 rects
caravel_00020006_fill_pattern_1_0: 1200000 rects
caravel_00020006_fill_pattern_1_0: 1210000 rects
caravel_00020006_fill_pattern_1_0: 1220000 rects
caravel_00020006_fill_pattern_1_0: 1230000 rects
caravel_00020006_fill_pattern_1_0: 1240000 rects
caravel_00020006_fill_pattern_1_0: 1250000 rects
caravel_00020006_fill_pattern_1_0: 1260000 rects
caravel_00020006_fill_pattern_1_0: 1270000 rects
caravel_00020006_fill_pattern_1_0: 1280000 rects
caravel_00020006_fill_pattern_1_0: 1290000 rects
caravel_00020006_fill_pattern_1_0: 1300000 rects
caravel_00020006_fill_pattern_1_0: 1310000 rects
caravel_00020006_fill_pattern_1_0: 1320000 rects
caravel_00020006_fill_pattern_1_0: 1330000 rects
caravel_00020006_fill_pattern_1_0: 1340000 rects
caravel_00020006_fill_pattern_1_0: 1350000 rects
caravel_00020006_fill_pattern_1_0: 1360000 rects
caravel_00020006_fill_pattern_1_0: 1370000 rects
caravel_00020006_fill_pattern_1_0: 1380000 rects
caravel_00020006_fill_pattern_1_0: 1390000 rects
caravel_00020006_fill_pattern_1_0: 1400000 rects
caravel_00020006_fill_pattern_1_0: 1410000 rects
caravel_00020006_fill_pattern_1_0: 1420000 rects
caravel_00020006_fill_pattern_1_0: 1430000 rects
caravel_00020006_fill_pattern_1_0: 1440000 rects
caravel_00020006_fill_pattern_1_0: 1450000 rects
caravel_00020006_fill_pattern_1_0: 1460000 rects
caravel_00020006_fill_pattern_1_0: 1470000 rects
caravel_00020006_fill_pattern_1_0: 1480000 rects
caravel_00020006_fill_pattern_1_0: 1490000 rects
caravel_00020006_fill_pattern_1_0: 1500000 rects
caravel_00020006_fill_pattern_1_0: 1510000 rects
caravel_00020006_fill_pattern_1_0: 1520000 rects
caravel_00020006_fill_pattern_1_0: 1530000 rects
caravel_00020006_fill_pattern_1_0: 1540000 rects
caravel_00020006_fill_pattern_1_0: 1550000 rects
caravel_00020006_fill_pattern_1_0: 1560000 rects
caravel_00020006_fill_pattern_1_0: 1570000 rects
caravel_00020006_fill_pattern_1_0: 1580000 rects
caravel_00020006_fill_pattern_1_0: 1590000 rects
caravel_00020006_fill_pattern_1_0: 1600000 rects
caravel_00020006_fill_pattern_1_0: 1610000 rects
caravel_00020006_fill_pattern_1_0: 1620000 rects
caravel_00020006_fill_pattern_1_0: 1630000 rects
caravel_00020006_fill_pattern_1_0: 1640000 rects
caravel_00020006_fill_pattern_1_0: 1650000 rects
caravel_00020006_fill_pattern_1_0: 1660000 rects
caravel_00020006_fill_pattern_1_0: 1670000 rects
caravel_00020006_fill_pattern_1_0: 1680000 rects
caravel_00020006_fill_pattern_1_0: 1690000 rects
caravel_00020006_fill_pattern_1_0: 1700000 rects
caravel_00020006_fill_pattern_1_0: 1710000 rects
caravel_00020006_fill_pattern_1_0: 1720000 rects
caravel_00020006_fill_pattern_1_0: 1730000 rects
caravel_00020006_fill_pattern_1_0: 1740000 rects
caravel_00020006_fill_pattern_1_0: 1750000 rects
caravel_00020006_fill_pattern_1_0: 1760000 rects
caravel_00020006_fill_pattern_1_0: 1770000 rects
caravel_00020006_fill_pattern_1_0: 1780000 rects
caravel_00020006_fill_pattern_1_0: 1790000 rects
caravel_00020006_fill_pattern_1_0: 1800000 rects
caravel_00020006_fill_pattern_1_0: 1810000 rects
caravel_00020006_fill_pattern_1_0: 1820000 rects
caravel_00020006_fill_pattern_1_0: 1830000 rects
caravel_00020006_fill_pattern_1_0: 1840000 rects
caravel_00020006_fill_pattern_1_0: 1850000 rects
caravel_00020006_fill_pattern_1_0: 1860000 rects
caravel_00020006_fill_pattern_1_0: 1870000 rects
caravel_00020006_fill_pattern_1_0: 1880000 rects
caravel_00020006_fill_pattern_1_0: 1890000 rects
caravel_00020006_fill_pattern_1_0: 1900000 rects
caravel_00020006_fill_pattern_1_0: 1910000 rects
caravel_00020006_fill_pattern_1_0: 1920000 rects
caravel_00020006_fill_pattern_1_0: 1930000 rects
caravel_00020006_fill_pattern_1_0: 1940000 rects
caravel_00020006_fill_pattern_1_0: 1950000 rects
caravel_00020006_fill_pattern_1_0: 1960000 rects
caravel_00020006_fill_pattern_1_0: 1970000 rects
caravel_00020006_fill_pattern_1_0: 1980000 rects
caravel_00020006_fill_pattern_1_0: 1990000 rects
caravel_00020006_fill_pattern_1_0: 2000000 rects
caravel_00020006_fill_pattern_1_0: 2010000 rects
caravel_00020006_fill_pattern_1_0: 2020000 rects
caravel_00020006_fill_pattern_1_0: 2030000 rects
caravel_00020006_fill_pattern_1_0: 2040000 rects
caravel_00020006_fill_pattern_1_0: 2050000 rects
caravel_00020006_fill_pattern_1_0: 2060000 rects
caravel_00020006_fill_pattern_1_0: 2070000 rects
caravel_00020006_fill_pattern_1_0: 2080000 rects
caravel_00020006_fill_pattern_1_0: 2090000 rects
caravel_00020006_fill_pattern_1_0: 2100000 rects
caravel_00020006_fill_pattern_1_0: 2110000 rects
caravel_00020006_fill_pattern_1_0: 2120000 rects
caravel_00020006_fill_pattern_1_0: 2130000 rects
caravel_00020006_fill_pattern_1_0: 2140000 rects
caravel_00020006_fill_pattern_1_0: 2150000 rects
caravel_00020006_fill_pattern_1_0: 2160000 rects
caravel_00020006_fill_pattern_1_0: 2170000 rects
caravel_00020006_fill_pattern_1_0: 2180000 rects
caravel_00020006_fill_pattern_1_0: 2190000 rects
caravel_00020006_fill_pattern_1_0: 2200000 rects
caravel_00020006_fill_pattern_1_0: 2210000 rects
caravel_00020006_fill_pattern_1_0: 2220000 rects
caravel_00020006_fill_pattern_1_0: 2230000 rects
caravel_00020006_fill_pattern_1_0: 2240000 rects
caravel_00020006_fill_pattern_1_0: 2250000 rects
caravel_00020006_fill_pattern_1_0: 2260000 rects
caravel_00020006_fill_pattern_1_0: 2270000 rects
caravel_00020006_fill_pattern_1_0: 2280000 rects
caravel_00020006_fill_pattern_1_0: 2290000 rects
caravel_00020006_fill_pattern_1_0: 2300000 rects
caravel_00020006_fill_pattern_1_0: 2310000 rects
caravel_00020006_fill_pattern_1_0: 2320000 rects
caravel_00020006_fill_pattern_1_0: 2330000 rects
caravel_00020006_fill_pattern_1_0: 2340000 rects
caravel_00020006_fill_pattern_1_0: 2350000 rects
caravel_00020006_fill_pattern_1_0: 2360000 rects
caravel_00020006_fill_pattern_1_0: 2370000 rects
caravel_00020006_fill_pattern_1_0: 2380000 rects
caravel_00020006_fill_pattern_1_0: 2390000 rects
caravel_00020006_fill_pattern_1_0: 2400000 rects
caravel_00020006_fill_pattern_1_0: 2410000 rects
caravel_00020006_fill_pattern_1_0: 2420000 rects
caravel_00020006_fill_pattern_1_0: 2430000 rects
caravel_00020006_fill_pattern_1_0: 2440000 rects
caravel_00020006_fill_pattern_1_0: 2450000 rects
caravel_00020006_fill_pattern_1_0: 2460000 rects
caravel_00020006_fill_pattern_1_0: 2470000 rects
caravel_00020006_fill_pattern_1_0: 2480000 rects
caravel_00020006_fill_pattern_1_0: 2490000 rects
caravel_00020006_fill_pattern_1_0: 2500000 rects
caravel_00020006_fill_pattern_1_0: 2510000 rects
caravel_00020006_fill_pattern_1_0: 2520000 rects
caravel_00020006_fill_pattern_1_0: 2530000 rects
caravel_00020006_fill_pattern_1_0: 2540000 rects
caravel_00020006_fill_pattern_1_0: 2550000 rects
caravel_00020006_fill_pattern_1_0: 2560000 rects
caravel_00020006_fill_pattern_1_0: 2570000 rects
caravel_00020006_fill_pattern_1_0: 2580000 rects
caravel_00020006_fill_pattern_1_0: 2590000 rects
caravel_00020006_fill_pattern_1_0: 2600000 rects
caravel_00020006_fill_pattern_1_0: 2610000 rects
caravel_00020006_fill_pattern_1_0: 2620000 rects
caravel_00020006_fill_pattern_1_0: 2630000 rects
caravel_00020006_fill_pattern_1_0: 2640000 rects
caravel_00020006_fill_pattern_1_0: 2650000 rects
caravel_00020006_fill_pattern_1_0: 2660000 rects
caravel_00020006_fill_pattern_1_0: 2670000 rects
caravel_00020006_fill_pattern_1_0: 2680000 rects
caravel_00020006_fill_pattern_1_0: 2690000 rects
caravel_00020006_fill_pattern_1_0: 2700000 rects
caravel_00020006_fill_pattern_1_0: 2710000 rects
caravel_00020006_fill_pattern_1_0: 2720000 rects
caravel_00020006_fill_pattern_1_0: 2730000 rects
caravel_00020006_fill_pattern_1_0: 2740000 rects
caravel_00020006_fill_pattern_1_0: 2750000 rects
caravel_00020006_fill_pattern_1_0: 2760000 rects
caravel_00020006_fill_pattern_1_0: 2770000 rects
caravel_00020006_fill_pattern_1_0: 2780000 rects
caravel_00020006_fill_pattern_1_0: 2790000 rects
caravel_00020006_fill_pattern_1_0: 2800000 rects
caravel_00020006_fill_pattern_1_0: 2810000 rects
caravel_00020006_fill_pattern_1_0: 2820000 rects
caravel_00020006_fill_pattern_1_0: 2830000 rects
caravel_00020006_fill_pattern_1_0: 2840000 rects
caravel_00020006_fill_pattern_1_0: 2850000 rects
caravel_00020006_fill_pattern_1_0: 2860000 rects
caravel_00020006_fill_pattern_1_0: 2870000 rects
caravel_00020006_fill_pattern_1_0: 2880000 rects
caravel_00020006_fill_pattern_1_0: 2890000 rects
caravel_00020006_fill_pattern_1_0: 2900000 rects
caravel_00020006_fill_pattern_1_0: 2910000 rects
caravel_00020006_fill_pattern_1_0: 2920000 rects
caravel_00020006_fill_pattern_1_0: 2930000 rects
caravel_00020006_fill_pattern_1_0: 2940000 rects
caravel_00020006_fill_pattern_1_0: 2950000 rects
caravel_00020006_fill_pattern_1_0: 2960000 rects
caravel_00020006_fill_pattern_1_0: 2970000 rects
caravel_00020006_fill_pattern_1_0: 2980000 rects
caravel_00020006_fill_pattern_1_0: 2990000 rects
caravel_00020006_fill_pattern_1_0: 3000000 rects
caravel_00020006_fill_pattern_1_0: 3010000 rects
caravel_00020006_fill_pattern_1_0: 3020000 rects
caravel_00020006_fill_pattern_1_0: 3030000 rects
caravel_00020006_fill_pattern_1_0: 3040000 rects
caravel_00020006_fill_pattern_1_0: 3050000 rects
caravel_00020006_fill_pattern_1_0: 3060000 rects
caravel_00020006_fill_pattern_1_0: 3070000 rects
caravel_00020006_fill_pattern_1_0: 3080000 rects
caravel_00020006_fill_pattern_1_0: 3090000 rects
caravel_00020006_fill_pattern_1_0: 3100000 rects
caravel_00020006_fill_pattern_1_0: 3110000 rects
caravel_00020006_fill_pattern_1_0: 3120000 rects
caravel_00020006_fill_pattern_1_0: 3130000 rects
caravel_00020006_fill_pattern_1_0: 3140000 rects
caravel_00020006_fill_pattern_1_0: 3150000 rects
caravel_00020006_fill_pattern_1_0: 3160000 rects
caravel_00020006_fill_pattern_1_0: 3170000 rects
caravel_00020006_fill_pattern_1_0: 3180000 rects
caravel_00020006_fill_pattern_1_0: 3190000 rects
caravel_00020006_fill_pattern_1_0: 3200000 rects
caravel_00020006_fill_pattern_1_0: 3210000 rects
caravel_00020006_fill_pattern_1_0: 3220000 rects
caravel_00020006_fill_pattern_1_0: 3230000 rects
caravel_00020006_fill_pattern_1_0: 3240000 rects
caravel_00020006_fill_pattern_1_0: 3250000 rects
caravel_00020006_fill_pattern_1_0: 3260000 rects
caravel_00020006_fill_pattern_1_0: 3270000 rects
caravel_00020006_fill_pattern_1_0: 3280000 rects
caravel_00020006_fill_pattern_1_0: 3290000 rects
caravel_00020006_fill_pattern_1_0: 3300000 rects
caravel_00020006_fill_pattern_1_0: 3310000 rects
caravel_00020006_fill_pattern_1_0: 3320000 rects
caravel_00020006_fill_pattern_1_0: 3330000 rects
caravel_00020006_fill_pattern_1_0: 3340000 rects
caravel_00020006_fill_pattern_1_0: 3350000 rects
caravel_00020006_fill_pattern_1_0: 3360000 rects
caravel_00020006_fill_pattern_1_0: 3370000 rects
caravel_00020006_fill_pattern_1_0: 3380000 rects
caravel_00020006_fill_pattern_1_0: 3390000 rects
caravel_00020006_fill_pattern_1_0: 3400000 rects
caravel_00020006_fill_pattern_1_0: 3410000 rects
caravel_00020006_fill_pattern_1_0: 3420000 rects
caravel_00020006_fill_pattern_1_0: 3430000 rects
caravel_00020006_fill_pattern_1_0: 3440000 rects
caravel_00020006_fill_pattern_1_0: 3450000 rects
caravel_00020006_fill_pattern_1_0: 3460000 rects
caravel_00020006_fill_pattern_1_0: 3470000 rects
caravel_00020006_fill_pattern_1_0: 3480000 rects
caravel_00020006_fill_pattern_1_0: 3490000 rects
caravel_00020006_fill_pattern_1_0: 3500000 rects
caravel_00020006_fill_pattern_1_0: 3510000 rects
caravel_00020006_fill_pattern_1_0: 3520000 rects
caravel_00020006_fill_pattern_1_0: 3530000 rects
caravel_00020006_fill_pattern_1_0: 3540000 rects
caravel_00020006_fill_pattern_1_0: 3550000 rects
caravel_00020006_fill_pattern_1_0: 3560000 rects
caravel_00020006_fill_pattern_1_0: 3570000 rects
caravel_00020006_fill_pattern_1_0: 3580000 rects
caravel_00020006_fill_pattern_1_0: 3590000 rects
caravel_00020006_fill_pattern_1_0: 3600000 rects
caravel_00020006_fill_pattern_1_0: 3610000 rects
caravel_00020006_fill_pattern_1_0: 3620000 rects
caravel_00020006_fill_pattern_1_0: 3630000 rects
caravel_00020006_fill_pattern_1_0: 3640000 rects
caravel_00020006_fill_pattern_1_0: 3650000 rects
caravel_00020006_fill_pattern_1_0: 3660000 rects
caravel_00020006_fill_pattern_1_0: 3670000 rects
caravel_00020006_fill_pattern_1_0: 3680000 rects
caravel_00020006_fill_pattern_1_0: 3690000 rects
caravel_00020006_fill_pattern_1_0: 3700000 rects
caravel_00020006_fill_pattern_1_0: 3710000 rects
caravel_00020006_fill_pattern_1_0: 3720000 rects
caravel_00020006_fill_pattern_1_0: 3730000 rects
caravel_00020006_fill_pattern_1_0: 3740000 rects
caravel_00020006_fill_pattern_1_0: 3750000 rects
caravel_00020006_fill_pattern_1_0: 3760000 rects
caravel_00020006_fill_pattern_1_0: 3770000 rects
caravel_00020006_fill_pattern_1_0: 3780000 rects
caravel_00020006_fill_pattern_1_0: 3790000 rects
caravel_00020006_fill_pattern_1_0: 3800000 rects
caravel_00020006_fill_pattern_1_0: 3810000 rects
caravel_00020006_fill_pattern_1_0: 3820000 rects
caravel_00020006_fill_pattern_1_0: 3830000 rects
caravel_00020006_fill_pattern_1_0: 3840000 rects
caravel_00020006_fill_pattern_1_0: 3850000 rects
caravel_00020006_fill_pattern_1_0: 3860000 rects
caravel_00020006_fill_pattern_1_0: 3870000 rects
caravel_00020006_fill_pattern_1_0: 3880000 rects
caravel_00020006_fill_pattern_1_0: 3890000 rects
caravel_00020006_fill_pattern_1_0: 3900000 rects
caravel_00020006_fill_pattern_1_0: 3910000 rects
caravel_00020006_fill_pattern_1_0: 3920000 rects
caravel_00020006_fill_pattern_1_0: 3930000 rects
caravel_00020006_fill_pattern_1_0: 3940000 rects
caravel_00020006_fill_pattern_1_0: 3950000 rects
caravel_00020006_fill_pattern_1_0: 3960000 rects
caravel_00020006_fill_pattern_1_0: 3970000 rects
caravel_00020006_fill_pattern_1_0: 3980000 rects
caravel_00020006_fill_pattern_1_0: 3990000 rects
caravel_00020006_fill_pattern_1_0: 4000000 rects
caravel_00020006_fill_pattern_1_0: 4010000 rects
caravel_00020006_fill_pattern_1_0: 4020000 rects
caravel_00020006_fill_pattern_1_0: 4030000 rects
caravel_00020006_fill_pattern_1_0: 4040000 rects
caravel_00020006_fill_pattern_1_0: 4050000 rects
caravel_00020006_fill_pattern_1_0: 4060000 rects
caravel_00020006_fill_pattern_1_0: 4070000 rects
caravel_00020006_fill_pattern_1_0: 4080000 rects
caravel_00020006_fill_pattern_1_0: 4090000 rects
caravel_00020006_fill_pattern_1_0: 4100000 rects
caravel_00020006_fill_pattern_1_0: 4110000 rects
caravel_00020006_fill_pattern_1_0: 4120000 rects
caravel_00020006_fill_pattern_1_0: 4130000 rects
caravel_00020006_fill_pattern_1_0: 4140000 rects
caravel_00020006_fill_pattern_1_0: 4150000 rects
caravel_00020006_fill_pattern_1_0: 4160000 rects
caravel_00020006_fill_pattern_1_0: 4170000 rects
caravel_00020006_fill_pattern_1_0: 4180000 rects
caravel_00020006_fill_pattern_1_0: 4190000 rects
caravel_00020006_fill_pattern_1_0: 4200000 rects
caravel_00020006_fill_pattern_1_0: 4210000 rects
caravel_00020006_fill_pattern_1_0: 4220000 rects
caravel_00020006_fill_pattern_1_0: 4230000 rects
caravel_00020006_fill_pattern_1_0: 4240000 rects
caravel_00020006_fill_pattern_1_0: 4250000 rects
caravel_00020006_fill_pattern_1_0: 4260000 rects
caravel_00020006_fill_pattern_1_0: 4270000 rects
caravel_00020006_fill_pattern_1_0: 4280000 rects
caravel_00020006_fill_pattern_1_0: 4290000 rects
caravel_00020006_fill_pattern_1_0: 4300000 rects
caravel_00020006_fill_pattern_1_0: 4310000 rects
caravel_00020006_fill_pattern_1_0: 4320000 rects
caravel_00020006_fill_pattern_1_0: 4330000 rects
caravel_00020006_fill_pattern_1_0: 4340000 rects
caravel_00020006_fill_pattern_1_0: 4350000 rects
caravel_00020006_fill_pattern_1_0: 4360000 rects
caravel_00020006_fill_pattern_1_0: 4370000 rects
caravel_00020006_fill_pattern_1_0: 4380000 rects
caravel_00020006_fill_pattern_1_0: 4390000 rects
caravel_00020006_fill_pattern_1_0: 4400000 rects
caravel_00020006_fill_pattern_1_0: 4410000 rects
caravel_00020006_fill_pattern_1_0: 4420000 rects
caravel_00020006_fill_pattern_1_0: 4430000 rects
caravel_00020006_fill_pattern_1_0: 4440000 rects
caravel_00020006_fill_pattern_1_0: 4450000 rects
caravel_00020006_fill_pattern_1_0: 4460000 rects
caravel_00020006_fill_pattern_1_0: 4470000 rects
caravel_00020006_fill_pattern_1_0: 4480000 rects
caravel_00020006_fill_pattern_1_0: 4490000 rects
caravel_00020006_fill_pattern_1_0: 4500000 rects
caravel_00020006_fill_pattern_1_0: 4510000 rects
caravel_00020006_fill_pattern_1_0: 4520000 rects
caravel_00020006_fill_pattern_1_0: 4530000 rects
caravel_00020006_fill_pattern_1_0: 4540000 rects
caravel_00020006_fill_pattern_1_0: 4550000 rects
caravel_00020006_fill_pattern_1_0: 4560000 rects
caravel_00020006_fill_pattern_1_0: 4570000 rects
caravel_00020006_fill_pattern_1_0: 4580000 rects
caravel_00020006_fill_pattern_1_0: 4590000 rects
caravel_00020006_fill_pattern_1_0: 4600000 rects
caravel_00020006_fill_pattern_1_0: 4610000 rects
caravel_00020006_fill_pattern_1_0: 4620000 rects
caravel_00020006_fill_pattern_1_0: 4630000 rects
caravel_00020006_fill_pattern_1_0: 4640000 rects
caravel_00020006_fill_pattern_1_0: 4650000 rects
caravel_00020006_fill_pattern_1_0: 4660000 rects
caravel_00020006_fill_pattern_1_0: 4670000 rects
caravel_00020006_fill_pattern_1_0: 4680000 rects
caravel_00020006_fill_pattern_1_0: 4690000 rects
caravel_00020006_fill_pattern_1_0: 4700000 rects
caravel_00020006_fill_pattern_1_0: 4710000 rects
caravel_00020006_fill_pattern_1_0: 4720000 rects
caravel_00020006_fill_pattern_1_0: 4730000 rects
caravel_00020006_fill_pattern_1_0: 4740000 rects
caravel_00020006_fill_pattern_1_0: 4750000 rects
caravel_00020006_fill_pattern_1_0: 4760000 rects
caravel_00020006_fill_pattern_1_0: 4770000 rects
caravel_00020006_fill_pattern_1_0: 4780000 rects
caravel_00020006_fill_pattern_1_0: 4790000 rects
caravel_00020006_fill_pattern_1_0: 4800000 rects
caravel_00020006_fill_pattern_1_0: 4810000 rects
caravel_00020006_fill_pattern_1_0: 4820000 rects
caravel_00020006_fill_pattern_1_0: 4830000 rects
caravel_00020006_fill_pattern_1_0: 4840000 rects
caravel_00020006_fill_pattern_1_0: 4850000 rects
caravel_00020006_fill_pattern_1_0: 4860000 rects
caravel_00020006_fill_pattern_1_0: 4870000 rects
caravel_00020006_fill_pattern_1_0: 4880000 rects
caravel_00020006_fill_pattern_1_0: 4890000 rects
caravel_00020006_fill_pattern_1_0: 4900000 rects
caravel_00020006_fill_pattern_1_0: 4910000 rects
caravel_00020006_fill_pattern_1_0: 4920000 rects
caravel_00020006_fill_pattern_1_0: 4930000 rects
caravel_00020006_fill_pattern_1_0: 4940000 rects
caravel_00020006_fill_pattern_1_0: 4950000 rects
caravel_00020006_fill_pattern_1_0: 4960000 rects
caravel_00020006_fill_pattern_1_0: 4970000 rects
caravel_00020006_fill_pattern_1_0: 4980000 rects
caravel_00020006_fill_pattern_1_0: 4990000 rects
caravel_00020006_fill_pattern_1_0: 5000000 rects
caravel_00020006_fill_pattern_1_0: 5010000 rects
caravel_00020006_fill_pattern_1_0: 5020000 rects
caravel_00020006_fill_pattern_1_0: 5030000 rects
caravel_00020006_fill_pattern_1_0: 5040000 rects
caravel_00020006_fill_pattern_1_0: 5050000 rects
caravel_00020006_fill_pattern_1_0: 5060000 rects
caravel_00020006_fill_pattern_1_0: 5070000 rects
caravel_00020006_fill_pattern_1_0: 5080000 rects
caravel_00020006_fill_pattern_1_0: 5090000 rects
caravel_00020006_fill_pattern_1_0: 5100000 rects
caravel_00020006_fill_pattern_1_0: 5110000 rects
caravel_00020006_fill_pattern_1_0: 5120000 rects
caravel_00020006_fill_pattern_1_0: 5130000 rects
caravel_00020006_fill_pattern_1_0: 5140000 rects
caravel_00020006_fill_pattern_1_0: 5150000 rects
caravel_00020006_fill_pattern_1_0: 5160000 rects
caravel_00020006_fill_pattern_1_0: 5170000 rects
caravel_00020006_fill_pattern_1_0: 5180000 rects
caravel_00020006_fill_pattern_1_0: 5190000 rects
caravel_00020006_fill_pattern_1_0: 5200000 rects
caravel_00020006_fill_pattern_1_0: 5210000 rects
caravel_00020006_fill_pattern_1_0: 5220000 rects
caravel_00020006_fill_pattern_1_0: 5230000 rects
caravel_00020006_fill_pattern_1_0: 5240000 rects
caravel_00020006_fill_pattern_1_0: 5250000 rects
caravel_00020006_fill_pattern_1_0: 5260000 rects
caravel_00020006_fill_pattern_1_0: 5270000 rects
caravel_00020006_fill_pattern_1_0: 5280000 rects
caravel_00020006_fill_pattern_1_0: 5290000 rects
caravel_00020006_fill_pattern_1_0: 5300000 rects
caravel_00020006_fill_pattern_1_0: 5310000 rects
caravel_00020006_fill_pattern_1_0: 5320000 rects
caravel_00020006_fill_pattern_1_0: 5330000 rects
caravel_00020006_fill_pattern_1_0: 5340000 rects
caravel_00020006_fill_pattern_1_0: 5350000 rects
caravel_00020006_fill_pattern_1_0: 5360000 rects
caravel_00020006_fill_pattern_1_0: 5370000 rects
caravel_00020006_fill_pattern_1_0: 5380000 rects
caravel_00020006_fill_pattern_1_0: 5390000 rects
caravel_00020006_fill_pattern_1_0: 5400000 rects
caravel_00020006_fill_pattern_1_0: 5410000 rects
caravel_00020006_fill_pattern_1_0: 5420000 rects
caravel_00020006_fill_pattern_1_0: 5430000 rects
caravel_00020006_fill_pattern_1_0: 5440000 rects
caravel_00020006_fill_pattern_1_0: 5450000 rects
caravel_00020006_fill_pattern_1_0: 5460000 rects
caravel_00020006_fill_pattern_1_0: 5470000 rects
caravel_00020006_fill_pattern_1_0: 5480000 rects
caravel_00020006_fill_pattern_1_0: 5490000 rects
caravel_00020006_fill_pattern_1_0: 5500000 rects
caravel_00020006_fill_pattern_1_0: 5510000 rects
caravel_00020006_fill_pattern_1_0: 5520000 rects
caravel_00020006_fill_pattern_1_0: 5530000 rects
caravel_00020006_fill_pattern_1_0: 5540000 rects
caravel_00020006_fill_pattern_1_0: 5550000 rects
caravel_00020006_fill_pattern_1_0: 5560000 rects
caravel_00020006_fill_pattern_1_0: 5570000 rects
caravel_00020006_fill_pattern_1_0: 5580000 rects
caravel_00020006_fill_pattern_1_0: 5590000 rects
caravel_00020006_fill_pattern_1_0: 5600000 rects
caravel_00020006_fill_pattern_1_0: 5610000 rects
caravel_00020006_fill_pattern_1_0: 5620000 rects
caravel_00020006_fill_pattern_1_0: 5630000 rects
caravel_00020006_fill_pattern_1_0: 5640000 rects
caravel_00020006_fill_pattern_1_0: 5650000 rects
caravel_00020006_fill_pattern_1_0: 5660000 rects
caravel_00020006_fill_pattern_1_0: 5670000 rects
caravel_00020006_fill_pattern_1_0: 5680000 rects
caravel_00020006_fill_pattern_1_0: 5690000 rects
caravel_00020006_fill_pattern_1_0: 5700000 rects
caravel_00020006_fill_pattern_1_0: 5710000 rects
caravel_00020006_fill_pattern_1_0: 5720000 rects
caravel_00020006_fill_pattern_1_0: 5730000 rects
caravel_00020006_fill_pattern_1_0: 5740000 rects
caravel_00020006_fill_pattern_1_0: 5750000 rects
caravel_00020006_fill_pattern_1_0: 5760000 rects
caravel_00020006_fill_pattern_1_0: 5770000 rects
caravel_00020006_fill_pattern_1_0: 5780000 rects
caravel_00020006_fill_pattern_1_0: 5790000 rects
caravel_00020006_fill_pattern_1_0: 5800000 rects
caravel_00020006_fill_pattern_1_0: 5810000 rects
caravel_00020006_fill_pattern_1_0: 5820000 rects
caravel_00020006_fill_pattern_1_0: 5830000 rects
caravel_00020006_fill_pattern_1_0: 5840000 rects
caravel_00020006_fill_pattern_1_0: 5850000 rects
caravel_00020006_fill_pattern_1_0: 5860000 rects
caravel_00020006_fill_pattern_1_0: 5870000 rects
caravel_00020006_fill_pattern_1_0: 5880000 rects
caravel_00020006_fill_pattern_1_0: 5890000 rects
caravel_00020006_fill_pattern_1_0: 5900000 rects
caravel_00020006_fill_pattern_1_0: 5910000 rects
caravel_00020006_fill_pattern_1_0: 5920000 rects
caravel_00020006_fill_pattern_1_0: 5930000 rects
caravel_00020006_fill_pattern_1_0: 5940000 rects
caravel_00020006_fill_pattern_1_0: 5950000 rects
caravel_00020006_fill_pattern_1_0: 5960000 rects
caravel_00020006_fill_pattern_1_0: 5970000 rects
caravel_00020006_fill_pattern_1_0: 5980000 rects
caravel_00020006_fill_pattern_1_0: 5990000 rects
caravel_00020006_fill_pattern_1_0: 6000000 rects
caravel_00020006_fill_pattern_1_0: 6010000 rects
caravel_00020006_fill_pattern_1_0: 6020000 rects
caravel_00020006_fill_pattern_1_0: 6030000 rects
caravel_00020006_fill_pattern_1_0: 6040000 rects
caravel_00020006_fill_pattern_1_0: 6050000 rects
caravel_00020006_fill_pattern_1_0: 6060000 rects
caravel_00020006_fill_pattern_1_0: 6070000 rects
caravel_00020006_fill_pattern_1_0: 6080000 rects
caravel_00020006_fill_pattern_1_0: 6090000 rects
caravel_00020006_fill_pattern_1_0: 6100000 rects
caravel_00020006_fill_pattern_1_0: 6110000 rects
caravel_00020006_fill_pattern_1_0: 6120000 rects
caravel_00020006_fill_pattern_1_0: 6130000 rects
caravel_00020006_fill_pattern_1_0: 6140000 rects
caravel_00020006_fill_pattern_1_0: 6150000 rects
caravel_00020006_fill_pattern_1_0: 6160000 rects
caravel_00020006_fill_pattern_1_0: 6170000 rects
caravel_00020006_fill_pattern_1_0: 6180000 rects
caravel_00020006_fill_pattern_1_0: 6190000 rects
caravel_00020006_fill_pattern_1_0: 6200000 rects
caravel_00020006_fill_pattern_1_0: 6210000 rects
caravel_00020006_fill_pattern_1_0: 6220000 rects
caravel_00020006_fill_pattern_1_0: 6230000 rects
caravel_00020006_fill_pattern_1_0: 6240000 rects
caravel_00020006_fill_pattern_1_0: 6250000 rects
caravel_00020006_fill_pattern_1_0: 6260000 rects
caravel_00020006_fill_pattern_1_0: 6270000 rects
caravel_00020006_fill_pattern_1_0: 6280000 rects
caravel_00020006_fill_pattern_1_0: 6290000 rects
caravel_00020006_fill_pattern_1_0: 6300000 rects
caravel_00020006_fill_pattern_1_0: 6310000 rects
caravel_00020006_fill_pattern_1_0: 6320000 rects
caravel_00020006_fill_pattern_1_0: 6330000 rects
caravel_00020006_fill_pattern_1_0: 6340000 rects
caravel_00020006_fill_pattern_1_0: 6350000 rects
caravel_00020006_fill_pattern_1_0: 6360000 rects
caravel_00020006_fill_pattern_1_0: 6370000 rects
caravel_00020006_fill_pattern_1_0: 6380000 rects
caravel_00020006_fill_pattern_1_0: 6390000 rects
caravel_00020006_fill_pattern_1_0: 6400000 rects
caravel_00020006_fill_pattern_1_0: 6410000 rects
caravel_00020006_fill_pattern_1_0: 6420000 rects
caravel_00020006_fill_pattern_1_0: 6430000 rects
caravel_00020006_fill_pattern_1_0: 6440000 rects
caravel_00020006_fill_pattern_1_0: 6450000 rects
caravel_00020006_fill_pattern_1_0: 6460000 rects
caravel_00020006_fill_pattern_1_0: 6470000 rects
caravel_00020006_fill_pattern_1_0: 6480000 rects
caravel_00020006_fill_pattern_1_0: 6490000 rects
caravel_00020006_fill_pattern_1_0: 6500000 rects
caravel_00020006_fill_pattern_1_0: 6510000 rects
caravel_00020006_fill_pattern_1_0: 6520000 rects
caravel_00020006_fill_pattern_1_0: 6530000 rects
caravel_00020006_fill_pattern_1_0: 6540000 rects
caravel_00020006_fill_pattern_1_0: 6550000 rects
caravel_00020006_fill_pattern_1_0: 6560000 rects
caravel_00020006_fill_pattern_1_0: 6570000 rects
caravel_00020006_fill_pattern_1_0: 6580000 rects
caravel_00020006_fill_pattern_1_0: 6590000 rects
Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
Starting magic under Tcl interpreter
Using the terminal as the console.
Using NULL graphics device.
site.pre: In custom site.pre...
site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
Processing system .magicrc file
site.def: In custom site.def...
Sourcing design .magicrc for technology sky130A ...
2 Magic internal units = 1 Lambda
Input style sky130(vendor): scaleFactor=2, multiplier=2
Scaled tech values by 2 / 1 to match internal grid scaling
Loading sky130A Device Generator Menu ...
Loading "/mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/generate_fill_final.tcl" from command line.
CIF output style is now "wafflefill(tiled)"
Writing final GDS
Generating output for cell caravel_00020006_fill_pattern_0_0
Reading "caravel_00020006_fill_pattern_0_0".
Generating output for cell caravel_00020006_fill_pattern_1_0
Reading "caravel_00020006_fill_pattern_1_0".
Generating output for cell caravel_00020006_fill_pattern_0_1
Reading "caravel_00020006_fill_pattern_0_1".
Generating output for cell caravel_00020006_fill_pattern_1_1
Reading "caravel_00020006_fill_pattern_1_1".
Generating output for cell caravel_00020006_fill_pattern_2_0
Reading "caravel_00020006_fill_pattern_2_0".
Generating output for cell caravel_00020006_fill_pattern_2_1
Reading "caravel_00020006_fill_pattern_2_1".
Generating output for cell caravel_00020006_fill_pattern_3_0
Reading "caravel_00020006_fill_pattern_3_0".
Generating output for cell caravel_00020006_fill_pattern_3_1
Reading "caravel_00020006_fill_pattern_3_1".
Generating output for cell caravel_00020006_fill_pattern_4_0
Reading "caravel_00020006_fill_pattern_4_0".
Generating output for cell caravel_00020006_fill_pattern_4_1
Reading "caravel_00020006_fill_pattern_4_1".
Generating output for cell caravel_00020006_fill_pattern_5_0
Reading "caravel_00020006_fill_pattern_5_0".
Generating output for cell caravel_00020006_fill_pattern_5_1
Reading "caravel_00020006_fill_pattern_5_1".
Generating output for cell caravel_00020006_fill_pattern_0_2
Reading "caravel_00020006_fill_pattern_0_2".
Generating output for cell caravel_00020006_fill_pattern_1_2
Reading "caravel_00020006_fill_pattern_1_2".
Generating output for cell caravel_00020006_fill_pattern_2_2
Reading "caravel_00020006_fill_pattern_2_2".
Generating output for cell caravel_00020006_fill_pattern_3_2
Reading "caravel_00020006_fill_pattern_3_2".
Generating output for cell caravel_00020006_fill_pattern_4_2
Reading "caravel_00020006_fill_pattern_4_2".
Generating output for cell caravel_00020006_fill_pattern_5_2
Reading "caravel_00020006_fill_pattern_5_2".
Generating output for cell caravel_00020006_fill_pattern_0_3
Reading "caravel_00020006_fill_pattern_0_3".
Generating output for cell caravel_00020006_fill_pattern_1_3
Reading "caravel_00020006_fill_pattern_1_3".
Generating output for cell caravel_00020006_fill_pattern_2_3
Reading "caravel_00020006_fill_pattern_2_3".
Generating output for cell caravel_00020006_fill_pattern_3_3
Reading "caravel_00020006_fill_pattern_3_3".
Generating output for cell caravel_00020006_fill_pattern_4_3
Reading "caravel_00020006_fill_pattern_4_3".
Generating output for cell caravel_00020006_fill_pattern_5_3
Reading "caravel_00020006_fill_pattern_5_3".
Generating output for cell caravel_00020006_fill_pattern_0_4
Reading "caravel_00020006_fill_pattern_0_4".
Generating output for cell caravel_00020006_fill_pattern_1_4
Reading "caravel_00020006_fill_pattern_1_4".
Generating output for cell caravel_00020006_fill_pattern_2_4
Reading "caravel_00020006_fill_pattern_2_4".
Generating output for cell caravel_00020006_fill_pattern_3_4
Reading "caravel_00020006_fill_pattern_3_4".
Generating output for cell caravel_00020006_fill_pattern_4_4
Reading "caravel_00020006_fill_pattern_4_4".
Generating output for cell caravel_00020006_fill_pattern_5_4
Reading "caravel_00020006_fill_pattern_5_4".
Generating output for cell caravel_00020006_fill_pattern_0_5
Reading "caravel_00020006_fill_pattern_0_5".
Generating output for cell caravel_00020006_fill_pattern_1_5
Reading "caravel_00020006_fill_pattern_1_5".
Generating output for cell caravel_00020006_fill_pattern_2_5
Reading "caravel_00020006_fill_pattern_2_5".
Generating output for cell caravel_00020006_fill_pattern_3_5
Reading "caravel_00020006_fill_pattern_3_5".
Generating output for cell caravel_00020006_fill_pattern_4_5
Reading "caravel_00020006_fill_pattern_4_5".
Generating output for cell caravel_00020006_fill_pattern_5_5
Reading "caravel_00020006_fill_pattern_5_5".
Generating output for cell caravel_00020006_fill_pattern_0_6
Reading "caravel_00020006_fill_pattern_0_6".
Generating output for cell caravel_00020006_fill_pattern_1_6
Reading "caravel_00020006_fill_pattern_1_6".
Generating output for cell caravel_00020006_fill_pattern_2_6
Reading "caravel_00020006_fill_pattern_2_6".
Generating output for cell caravel_00020006_fill_pattern_3_6
Reading "caravel_00020006_fill_pattern_3_6".
Generating output for cell caravel_00020006_fill_pattern_4_6
Reading "caravel_00020006_fill_pattern_4_6".
Generating output for cell caravel_00020006_fill_pattern_5_6
Reading "caravel_00020006_fill_pattern_5_6".
Generating output for cell caravel_00020006_fill_pattern_0_7
Reading "caravel_00020006_fill_pattern_0_7".
Generating output for cell caravel_00020006_fill_pattern_1_7
Reading "caravel_00020006_fill_pattern_1_7".
Generating output for cell caravel_00020006_fill_pattern_2_7
Reading "caravel_00020006_fill_pattern_2_7".
Generating output for cell caravel_00020006_fill_pattern_3_7
Reading "caravel_00020006_fill_pattern_3_7".
Generating output for cell caravel_00020006_fill_pattern_4_7
Reading "caravel_00020006_fill_pattern_4_7".
Generating output for cell caravel_00020006_fill_pattern_5_7
Reading "caravel_00020006_fill_pattern_5_7".
Generating output for cell caravel_00020006_fill_pattern
Ended: 12/27/2021 08:05:56
Done!
Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
Starting magic under Tcl interpreter
Using the terminal as the console.
Using NULL graphics device.
site.pre: In custom site.pre...
site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
Processing system .magicrc file
site.def: In custom site.def...
Sourcing design .magicrc for technology sky130A ...
2 Magic internal units = 1 Lambda
Input style sky130(vendor): scaleFactor=2, multiplier=2
Scaled tech values by 2 / 1 to match internal grid scaling
Loading sky130A Device Generator Menu ...
Loading "/mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/generate_fill_dist.tcl" from command line.
CIF output style is now "wafflefill(tiled)"
Generating output for cell caravel_00020006_fill_pattern_5_7
Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
Starting magic under Tcl interpreter
Using the terminal as the console.
Using NULL graphics device.
site.pre: In custom site.pre...
site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
Processing system .magicrc file
site.def: In custom site.def...
Sourcing design .magicrc for technology sky130A ...
2 Magic internal units = 1 Lambda
Input style sky130(vendor): scaleFactor=2, multiplier=2
Scaled tech values by 2 / 1 to match internal grid scaling
Loading sky130A Device Generator Menu ...
Loading "/mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/generate_fill_dist.tcl" from command line.
caravel_00020006_fill_pattern_5_0: 10000 rects
caravel_00020006_fill_pattern_5_0: 20000 rects
caravel_00020006_fill_pattern_5_0: 30000 rects
caravel_00020006_fill_pattern_5_0: 40000 rects
caravel_00020006_fill_pattern_5_0: 50000 rects
caravel_00020006_fill_pattern_5_0: 60000 rects
caravel_00020006_fill_pattern_5_0: 70000 rects
caravel_00020006_fill_pattern_5_0: 80000 rects
caravel_00020006_fill_pattern_5_0: 90000 rects
caravel_00020006_fill_pattern_5_0: 100000 rects
caravel_00020006_fill_pattern_5_0: 110000 rects
caravel_00020006_fill_pattern_5_0: 120000 rects
caravel_00020006_fill_pattern_5_0: 130000 rects
caravel_00020006_fill_pattern_5_0: 140000 rects
caravel_00020006_fill_pattern_5_0: 150000 rects
caravel_00020006_fill_pattern_5_0: 160000 rects
caravel_00020006_fill_pattern_5_0: 170000 rects
caravel_00020006_fill_pattern_5_0: 180000 rects
caravel_00020006_fill_pattern_5_0: 190000 rects
caravel_00020006_fill_pattern_5_0: 200000 rects
caravel_00020006_fill_pattern_5_0: 210000 rects
caravel_00020006_fill_pattern_5_0: 220000 rects
caravel_00020006_fill_pattern_5_0: 230000 rects
caravel_00020006_fill_pattern_5_0: 240000 rects
caravel_00020006_fill_pattern_5_0: 250000 rects
caravel_00020006_fill_pattern_5_0: 260000 rects
caravel_00020006_fill_pattern_5_0: 270000 rects
caravel_00020006_fill_pattern_5_0: 280000 rects
caravel_00020006_fill_pattern_5_0: 290000 rects
caravel_00020006_fill_pattern_5_0: 300000 rects
caravel_00020006_fill_pattern_5_0: 310000 rects
CIF output style is now "wafflefill(tiled)"
Generating output for cell caravel_00020006_fill_pattern_5_0
Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
Starting magic under Tcl interpreter
Using the terminal as the console.
Using NULL graphics device.
site.pre: In custom site.pre...
site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
Processing system .magicrc file
site.def: In custom site.def...
Sourcing design .magicrc for technology sky130A ...
2 Magic internal units = 1 Lambda
Input style sky130(vendor): scaleFactor=2, multiplier=2
Scaled tech values by 2 / 1 to match internal grid scaling
Loading sky130A Device Generator Menu ...
Loading "/mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/generate_fill_dist.tcl" from command line.
caravel_00020006_fill_pattern_5_5: 10000 rects
caravel_00020006_fill_pattern_5_5: 20000 rects
caravel_00020006_fill_pattern_5_5: 30000 rects
caravel_00020006_fill_pattern_5_5: 40000 rects
caravel_00020006_fill_pattern_5_5: 50000 rects
caravel_00020006_fill_pattern_5_5: 60000 rects
caravel_00020006_fill_pattern_5_5: 70000 rects
caravel_00020006_fill_pattern_5_5: 80000 rects
caravel_00020006_fill_pattern_5_5: 90000 rects
caravel_00020006_fill_pattern_5_5: 100000 rects
caravel_00020006_fill_pattern_5_5: 110000 rects
caravel_00020006_fill_pattern_5_5: 120000 rects
caravel_00020006_fill_pattern_5_5: 130000 rects
caravel_00020006_fill_pattern_5_5: 140000 rects
caravel_00020006_fill_pattern_5_5: 150000 rects
caravel_00020006_fill_pattern_5_5: 160000 rects
caravel_00020006_fill_pattern_5_5: 170000 rects
caravel_00020006_fill_pattern_5_5: 180000 rects
caravel_00020006_fill_pattern_5_5: 190000 rects
caravel_00020006_fill_pattern_5_5: 200000 rects
caravel_00020006_fill_pattern_5_5: 210000 rects
caravel_00020006_fill_pattern_5_5: 220000 rects
caravel_00020006_fill_pattern_5_5: 230000 rects
caravel_00020006_fill_pattern_5_5: 240000 rects
caravel_00020006_fill_pattern_5_5: 250000 rects
caravel_00020006_fill_pattern_5_5: 260000 rects
caravel_00020006_fill_pattern_5_5: 270000 rects
caravel_00020006_fill_pattern_5_5: 280000 rects
caravel_00020006_fill_pattern_5_5: 290000 rects
caravel_00020006_fill_pattern_5_5: 300000 rects
caravel_00020006_fill_pattern_5_5: 310000 rects
caravel_00020006_fill_pattern_5_5: 320000 rects
caravel_00020006_fill_pattern_5_5: 330000 rects
caravel_00020006_fill_pattern_5_5: 340000 rects
caravel_00020006_fill_pattern_5_5: 350000 rects
caravel_00020006_fill_pattern_5_5: 360000 rects
caravel_00020006_fill_pattern_5_5: 370000 rects
caravel_00020006_fill_pattern_5_5: 380000 rects
caravel_00020006_fill_pattern_5_5: 390000 rects
caravel_00020006_fill_pattern_5_5: 400000 rects
caravel_00020006_fill_pattern_5_5: 410000 rects
caravel_00020006_fill_pattern_5_5: 420000 rects
caravel_00020006_fill_pattern_5_5: 430000 rects
caravel_00020006_fill_pattern_5_5: 440000 rects
caravel_00020006_fill_pattern_5_5: 450000 rects
caravel_00020006_fill_pattern_5_5: 460000 rects
caravel_00020006_fill_pattern_5_5: 470000 rects
caravel_00020006_fill_pattern_5_5: 480000 rects
caravel_00020006_fill_pattern_5_5: 490000 rects
caravel_00020006_fill_pattern_5_5: 500000 rects
caravel_00020006_fill_pattern_5_5: 510000 rects
caravel_00020006_fill_pattern_5_5: 520000 rects
caravel_00020006_fill_pattern_5_5: 530000 rects
caravel_00020006_fill_pattern_5_5: 540000 rects
caravel_00020006_fill_pattern_5_5: 550000 rects
caravel_00020006_fill_pattern_5_5: 560000 rects
caravel_00020006_fill_pattern_5_5: 570000 rects
caravel_00020006_fill_pattern_5_5: 580000 rects
caravel_00020006_fill_pattern_5_5: 590000 rects
caravel_00020006_fill_pattern_5_5: 600000 rects
caravel_00020006_fill_pattern_5_5: 610000 rects
caravel_00020006_fill_pattern_5_5: 620000 rects
caravel_00020006_fill_pattern_5_5: 630000 rects
caravel_00020006_fill_pattern_5_5: 640000 rects
CIF output style is now "wafflefill(tiled)"
Generating output for cell caravel_00020006_fill_pattern_5_5
Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
Starting magic under Tcl interpreter
Using the terminal as the console.
Using NULL graphics device.
site.pre: In custom site.pre...
site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
Processing system .magicrc file
site.def: In custom site.def...
Sourcing design .magicrc for technology sky130A ...
2 Magic internal units = 1 Lambda
Input style sky130(vendor): scaleFactor=2, multiplier=2
Scaled tech values by 2 / 1 to match internal grid scaling
Loading sky130A Device Generator Menu ...
Loading "/mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/generate_fill_dist.tcl" from command line.
caravel_00020006_fill_pattern_5_6: 10000 rects
caravel_00020006_fill_pattern_5_6: 20000 rects
caravel_00020006_fill_pattern_5_6: 30000 rects
caravel_00020006_fill_pattern_5_6: 40000 rects
caravel_00020006_fill_pattern_5_6: 50000 rects
caravel_00020006_fill_pattern_5_6: 60000 rects
caravel_00020006_fill_pattern_5_6: 70000 rects
caravel_00020006_fill_pattern_5_6: 80000 rects
caravel_00020006_fill_pattern_5_6: 90000 rects
caravel_00020006_fill_pattern_5_6: 100000 rects
caravel_00020006_fill_pattern_5_6: 110000 rects
caravel_00020006_fill_pattern_5_6: 120000 rects
caravel_00020006_fill_pattern_5_6: 130000 rects
caravel_00020006_fill_pattern_5_6: 140000 rects
caravel_00020006_fill_pattern_5_6: 150000 rects
caravel_00020006_fill_pattern_5_6: 160000 rects
caravel_00020006_fill_pattern_5_6: 170000 rects
caravel_00020006_fill_pattern_5_6: 180000 rects
caravel_00020006_fill_pattern_5_6: 190000 rects
caravel_00020006_fill_pattern_5_6: 200000 rects
caravel_00020006_fill_pattern_5_6: 210000 rects
caravel_00020006_fill_pattern_5_6: 220000 rects
caravel_00020006_fill_pattern_5_6: 230000 rects
caravel_00020006_fill_pattern_5_6: 240000 rects
caravel_00020006_fill_pattern_5_6: 250000 rects
caravel_00020006_fill_pattern_5_6: 260000 rects
caravel_00020006_fill_pattern_5_6: 270000 rects
caravel_00020006_fill_pattern_5_6: 280000 rects
caravel_00020006_fill_pattern_5_6: 290000 rects
caravel_00020006_fill_pattern_5_6: 300000 rects
caravel_00020006_fill_pattern_5_6: 310000 rects
caravel_00020006_fill_pattern_5_6: 320000 rects
caravel_00020006_fill_pattern_5_6: 330000 rects
caravel_00020006_fill_pattern_5_6: 340000 rects
caravel_00020006_fill_pattern_5_6: 350000 rects
caravel_00020006_fill_pattern_5_6: 360000 rects
caravel_00020006_fill_pattern_5_6: 370000 rects
caravel_00020006_fill_pattern_5_6: 380000 rects
caravel_00020006_fill_pattern_5_6: 390000 rects
caravel_00020006_fill_pattern_5_6: 400000 rects
caravel_00020006_fill_pattern_5_6: 410000 rects
caravel_00020006_fill_pattern_5_6: 420000 rects
caravel_00020006_fill_pattern_5_6: 430000 rects
CIF output style is now "wafflefill(tiled)"
Generating output for cell caravel_00020006_fill_pattern_5_6
Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
Starting magic under Tcl interpreter
Using the terminal as the console.
Using NULL graphics device.
site.pre: In custom site.pre...
site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
Processing system .magicrc file
site.def: In custom site.def...
Sourcing design .magicrc for technology sky130A ...
2 Magic internal units = 1 Lambda
Input style sky130(vendor): scaleFactor=2, multiplier=2
Scaled tech values by 2 / 1 to match internal grid scaling
Loading sky130A Device Generator Menu ...
Loading "/mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/generate_fill_dist.tcl" from command line.
caravel_00020006_fill_pattern_5_3: 10000 rects
caravel_00020006_fill_pattern_5_3: 20000 rects
caravel_00020006_fill_pattern_5_3: 30000 rects
caravel_00020006_fill_pattern_5_3: 40000 rects
caravel_00020006_fill_pattern_5_3: 50000 rects
caravel_00020006_fill_pattern_5_3: 60000 rects
caravel_00020006_fill_pattern_5_3: 70000 rects
caravel_00020006_fill_pattern_5_3: 80000 rects
caravel_00020006_fill_pattern_5_3: 90000 rects
caravel_00020006_fill_pattern_5_3: 100000 rects
caravel_00020006_fill_pattern_5_3: 110000 rects
caravel_00020006_fill_pattern_5_3: 120000 rects
caravel_00020006_fill_pattern_5_3: 130000 rects
caravel_00020006_fill_pattern_5_3: 140000 rects
caravel_00020006_fill_pattern_5_3: 150000 rects
caravel_00020006_fill_pattern_5_3: 160000 rects
caravel_00020006_fill_pattern_5_3: 170000 rects
caravel_00020006_fill_pattern_5_3: 180000 rects
caravel_00020006_fill_pattern_5_3: 190000 rects
caravel_00020006_fill_pattern_5_3: 200000 rects
caravel_00020006_fill_pattern_5_3: 210000 rects
caravel_00020006_fill_pattern_5_3: 220000 rects
caravel_00020006_fill_pattern_5_3: 230000 rects
caravel_00020006_fill_pattern_5_3: 240000 rects
caravel_00020006_fill_pattern_5_3: 250000 rects
caravel_00020006_fill_pattern_5_3: 260000 rects
caravel_00020006_fill_pattern_5_3: 270000 rects
caravel_00020006_fill_pattern_5_3: 280000 rects
caravel_00020006_fill_pattern_5_3: 290000 rects
caravel_00020006_fill_pattern_5_3: 300000 rects
caravel_00020006_fill_pattern_5_3: 310000 rects
caravel_00020006_fill_pattern_5_3: 320000 rects
caravel_00020006_fill_pattern_5_3: 330000 rects
caravel_00020006_fill_pattern_5_3: 340000 rects
caravel_00020006_fill_pattern_5_3: 350000 rects
caravel_00020006_fill_pattern_5_3: 360000 rects
caravel_00020006_fill_pattern_5_3: 370000 rects
caravel_00020006_fill_pattern_5_3: 380000 rects
caravel_00020006_fill_pattern_5_3: 390000 rects
caravel_00020006_fill_pattern_5_3: 400000 rects
caravel_00020006_fill_pattern_5_3: 410000 rects
caravel_00020006_fill_pattern_5_3: 420000 rects
caravel_00020006_fill_pattern_5_3: 430000 rects
caravel_00020006_fill_pattern_5_3: 440000 rects
caravel_00020006_fill_pattern_5_3: 450000 rects
caravel_00020006_fill_pattern_5_3: 460000 rects
caravel_00020006_fill_pattern_5_3: 470000 rects
caravel_00020006_fill_pattern_5_3: 480000 rects
caravel_00020006_fill_pattern_5_3: 490000 rects
caravel_00020006_fill_pattern_5_3: 500000 rects
caravel_00020006_fill_pattern_5_3: 510000 rects
caravel_00020006_fill_pattern_5_3: 520000 rects
caravel_00020006_fill_pattern_5_3: 530000 rects
caravel_00020006_fill_pattern_5_3: 540000 rects
caravel_00020006_fill_pattern_5_3: 550000 rects
caravel_00020006_fill_pattern_5_3: 560000 rects
caravel_00020006_fill_pattern_5_3: 570000 rects
caravel_00020006_fill_pattern_5_3: 580000 rects
caravel_00020006_fill_pattern_5_3: 590000 rects
caravel_00020006_fill_pattern_5_3: 600000 rects
CIF output style is now "wafflefill(tiled)"
Generating output for cell caravel_00020006_fill_pattern_5_3
Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
Starting magic under Tcl interpreter
Using the terminal as the console.
Using NULL graphics device.
site.pre: In custom site.pre...
site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
Processing system .magicrc file
site.def: In custom site.def...
Sourcing design .magicrc for technology sky130A ...
2 Magic internal units = 1 Lambda
Input style sky130(vendor): scaleFactor=2, multiplier=2
Scaled tech values by 2 / 1 to match internal grid scaling
Loading sky130A Device Generator Menu ...
Loading "/mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/generate_fill_dist.tcl" from command line.
caravel_00020006_fill_pattern_5_2: 10000 rects
caravel_00020006_fill_pattern_5_2: 20000 rects
caravel_00020006_fill_pattern_5_2: 30000 rects
caravel_00020006_fill_pattern_5_2: 40000 rects
caravel_00020006_fill_pattern_5_2: 50000 rects
caravel_00020006_fill_pattern_5_2: 60000 rects
caravel_00020006_fill_pattern_5_2: 70000 rects
caravel_00020006_fill_pattern_5_2: 80000 rects
caravel_00020006_fill_pattern_5_2: 90000 rects
caravel_00020006_fill_pattern_5_2: 100000 rects
caravel_00020006_fill_pattern_5_2: 110000 rects
caravel_00020006_fill_pattern_5_2: 120000 rects
caravel_00020006_fill_pattern_5_2: 130000 rects
caravel_00020006_fill_pattern_5_2: 140000 rects
caravel_00020006_fill_pattern_5_2: 150000 rects
caravel_00020006_fill_pattern_5_2: 160000 rects
caravel_00020006_fill_pattern_5_2: 170000 rects
caravel_00020006_fill_pattern_5_2: 180000 rects
caravel_00020006_fill_pattern_5_2: 190000 rects
caravel_00020006_fill_pattern_5_2: 200000 rects
caravel_00020006_fill_pattern_5_2: 210000 rects
caravel_00020006_fill_pattern_5_2: 220000 rects
caravel_00020006_fill_pattern_5_2: 230000 rects
caravel_00020006_fill_pattern_5_2: 240000 rects
caravel_00020006_fill_pattern_5_2: 250000 rects
caravel_00020006_fill_pattern_5_2: 260000 rects
caravel_00020006_fill_pattern_5_2: 270000 rects
caravel_00020006_fill_pattern_5_2: 280000 rects
caravel_00020006_fill_pattern_5_2: 290000 rects
caravel_00020006_fill_pattern_5_2: 300000 rects
caravel_00020006_fill_pattern_5_2: 310000 rects
caravel_00020006_fill_pattern_5_2: 320000 rects
caravel_00020006_fill_pattern_5_2: 330000 rects
caravel_00020006_fill_pattern_5_2: 340000 rects
caravel_00020006_fill_pattern_5_2: 350000 rects
caravel_00020006_fill_pattern_5_2: 360000 rects
caravel_00020006_fill_pattern_5_2: 370000 rects
caravel_00020006_fill_pattern_5_2: 380000 rects
caravel_00020006_fill_pattern_5_2: 390000 rects
caravel_00020006_fill_pattern_5_2: 400000 rects
caravel_00020006_fill_pattern_5_2: 410000 rects
caravel_00020006_fill_pattern_5_2: 420000 rects
caravel_00020006_fill_pattern_5_2: 430000 rects
caravel_00020006_fill_pattern_5_2: 440000 rects
caravel_00020006_fill_pattern_5_2: 450000 rects
caravel_00020006_fill_pattern_5_2: 460000 rects
caravel_00020006_fill_pattern_5_2: 470000 rects
caravel_00020006_fill_pattern_5_2: 480000 rects
caravel_00020006_fill_pattern_5_2: 490000 rects
caravel_00020006_fill_pattern_5_2: 500000 rects
caravel_00020006_fill_pattern_5_2: 510000 rects
caravel_00020006_fill_pattern_5_2: 520000 rects
caravel_00020006_fill_pattern_5_2: 530000 rects
caravel_00020006_fill_pattern_5_2: 540000 rects
caravel_00020006_fill_pattern_5_2: 550000 rects
caravel_00020006_fill_pattern_5_2: 560000 rects
caravel_00020006_fill_pattern_5_2: 570000 rects
caravel_00020006_fill_pattern_5_2: 580000 rects
caravel_00020006_fill_pattern_5_2: 590000 rects
caravel_00020006_fill_pattern_5_2: 600000 rects
caravel_00020006_fill_pattern_5_2: 610000 rects
caravel_00020006_fill_pattern_5_2: 620000 rects
caravel_00020006_fill_pattern_5_2: 630000 rects
caravel_00020006_fill_pattern_5_2: 640000 rects
caravel_00020006_fill_pattern_5_2: 650000 rects
caravel_00020006_fill_pattern_5_2: 660000 rects
caravel_00020006_fill_pattern_5_2: 670000 rects
caravel_00020006_fill_pattern_5_2: 680000 rects
caravel_00020006_fill_pattern_5_2: 690000 rects
caravel_00020006_fill_pattern_5_2: 700000 rects
caravel_00020006_fill_pattern_5_2: 710000 rects
caravel_00020006_fill_pattern_5_2: 720000 rects
caravel_00020006_fill_pattern_5_2: 730000 rects
caravel_00020006_fill_pattern_5_2: 740000 rects
caravel_00020006_fill_pattern_5_2: 750000 rects
caravel_00020006_fill_pattern_5_2: 760000 rects
caravel_00020006_fill_pattern_5_2: 770000 rects
caravel_00020006_fill_pattern_5_2: 780000 rects
caravel_00020006_fill_pattern_5_2: 790000 rects
caravel_00020006_fill_pattern_5_2: 800000 rects
caravel_00020006_fill_pattern_5_2: 810000 rects
caravel_00020006_fill_pattern_5_2: 820000 rects
caravel_00020006_fill_pattern_5_2: 830000 rects
caravel_00020006_fill_pattern_5_2: 840000 rects
caravel_00020006_fill_pattern_5_2: 850000 rects
caravel_00020006_fill_pattern_5_2: 860000 rects
caravel_00020006_fill_pattern_5_2: 870000 rects
caravel_00020006_fill_pattern_5_2: 880000 rects
caravel_00020006_fill_pattern_5_2: 890000 rects
caravel_00020006_fill_pattern_5_2: 900000 rects
caravel_00020006_fill_pattern_5_2: 910000 rects
caravel_00020006_fill_pattern_5_2: 920000 rects
caravel_00020006_fill_pattern_5_2: 930000 rects
caravel_00020006_fill_pattern_5_2: 940000 rects
caravel_00020006_fill_pattern_5_2: 950000 rects
CIF output style is now "wafflefill(tiled)"
Generating output for cell caravel_00020006_fill_pattern_5_2
Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
Starting magic under Tcl interpreter
Using the terminal as the console.
Using NULL graphics device.
site.pre: In custom site.pre...
site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
Processing system .magicrc file
site.def: In custom site.def...
Sourcing design .magicrc for technology sky130A ...
2 Magic internal units = 1 Lambda
Input style sky130(vendor): scaleFactor=2, multiplier=2
Scaled tech values by 2 / 1 to match internal grid scaling
Loading sky130A Device Generator Menu ...
Loading "/mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/generate_fill_dist.tcl" from command line.
caravel_00020006_fill_pattern_5_1: 10000 rects
caravel_00020006_fill_pattern_5_1: 20000 rects
caravel_00020006_fill_pattern_5_1: 30000 rects
caravel_00020006_fill_pattern_5_1: 40000 rects
caravel_00020006_fill_pattern_5_1: 50000 rects
caravel_00020006_fill_pattern_5_1: 60000 rects
caravel_00020006_fill_pattern_5_1: 70000 rects
caravel_00020006_fill_pattern_5_1: 80000 rects
caravel_00020006_fill_pattern_5_1: 90000 rects
caravel_00020006_fill_pattern_5_1: 100000 rects
caravel_00020006_fill_pattern_5_1: 110000 rects
caravel_00020006_fill_pattern_5_1: 120000 rects
caravel_00020006_fill_pattern_5_1: 130000 rects
caravel_00020006_fill_pattern_5_1: 140000 rects
caravel_00020006_fill_pattern_5_1: 150000 rects
caravel_00020006_fill_pattern_5_1: 160000 rects
caravel_00020006_fill_pattern_5_1: 170000 rects
caravel_00020006_fill_pattern_5_1: 180000 rects
caravel_00020006_fill_pattern_5_1: 190000 rects
caravel_00020006_fill_pattern_5_1: 200000 rects
caravel_00020006_fill_pattern_5_1: 210000 rects
caravel_00020006_fill_pattern_5_1: 220000 rects
caravel_00020006_fill_pattern_5_1: 230000 rects
caravel_00020006_fill_pattern_5_1: 240000 rects
caravel_00020006_fill_pattern_5_1: 250000 rects
caravel_00020006_fill_pattern_5_1: 260000 rects
caravel_00020006_fill_pattern_5_1: 270000 rects
caravel_00020006_fill_pattern_5_1: 280000 rects
caravel_00020006_fill_pattern_5_1: 290000 rects
caravel_00020006_fill_pattern_5_1: 300000 rects
caravel_00020006_fill_pattern_5_1: 310000 rects
caravel_00020006_fill_pattern_5_1: 320000 rects
caravel_00020006_fill_pattern_5_1: 330000 rects
caravel_00020006_fill_pattern_5_1: 340000 rects
caravel_00020006_fill_pattern_5_1: 350000 rects
caravel_00020006_fill_pattern_5_1: 360000 rects
caravel_00020006_fill_pattern_5_1: 370000 rects
caravel_00020006_fill_pattern_5_1: 380000 rects
caravel_00020006_fill_pattern_5_1: 390000 rects
caravel_00020006_fill_pattern_5_1: 400000 rects
caravel_00020006_fill_pattern_5_1: 410000 rects
caravel_00020006_fill_pattern_5_1: 420000 rects
caravel_00020006_fill_pattern_5_1: 430000 rects
caravel_00020006_fill_pattern_5_1: 440000 rects
caravel_00020006_fill_pattern_5_1: 450000 rects
caravel_00020006_fill_pattern_5_1: 460000 rects
caravel_00020006_fill_pattern_5_1: 470000 rects
caravel_00020006_fill_pattern_5_1: 480000 rects
caravel_00020006_fill_pattern_5_1: 490000 rects
caravel_00020006_fill_pattern_5_1: 500000 rects
caravel_00020006_fill_pattern_5_1: 510000 rects
caravel_00020006_fill_pattern_5_1: 520000 rects
caravel_00020006_fill_pattern_5_1: 530000 rects
caravel_00020006_fill_pattern_5_1: 540000 rects
caravel_00020006_fill_pattern_5_1: 550000 rects
caravel_00020006_fill_pattern_5_1: 560000 rects
caravel_00020006_fill_pattern_5_1: 570000 rects
caravel_00020006_fill_pattern_5_1: 580000 rects
caravel_00020006_fill_pattern_5_1: 590000 rects
caravel_00020006_fill_pattern_5_1: 600000 rects
caravel_00020006_fill_pattern_5_1: 610000 rects
caravel_00020006_fill_pattern_5_1: 620000 rects
caravel_00020006_fill_pattern_5_1: 630000 rects
caravel_00020006_fill_pattern_5_1: 640000 rects
caravel_00020006_fill_pattern_5_1: 650000 rects
caravel_00020006_fill_pattern_5_1: 660000 rects
caravel_00020006_fill_pattern_5_1: 670000 rects
caravel_00020006_fill_pattern_5_1: 680000 rects
caravel_00020006_fill_pattern_5_1: 690000 rects
caravel_00020006_fill_pattern_5_1: 700000 rects
caravel_00020006_fill_pattern_5_1: 710000 rects
caravel_00020006_fill_pattern_5_1: 720000 rects
caravel_00020006_fill_pattern_5_1: 730000 rects
caravel_00020006_fill_pattern_5_1: 740000 rects
caravel_00020006_fill_pattern_5_1: 750000 rects
caravel_00020006_fill_pattern_5_1: 760000 rects
caravel_00020006_fill_pattern_5_1: 770000 rects
caravel_00020006_fill_pattern_5_1: 780000 rects
caravel_00020006_fill_pattern_5_1: 790000 rects
caravel_00020006_fill_pattern_5_1: 800000 rects
caravel_00020006_fill_pattern_5_1: 810000 rects
caravel_00020006_fill_pattern_5_1: 820000 rects
caravel_00020006_fill_pattern_5_1: 830000 rects
caravel_00020006_fill_pattern_5_1: 840000 rects
caravel_00020006_fill_pattern_5_1: 850000 rects
caravel_00020006_fill_pattern_5_1: 860000 rects
caravel_00020006_fill_pattern_5_1: 870000 rects
caravel_00020006_fill_pattern_5_1: 880000 rects
caravel_00020006_fill_pattern_5_1: 890000 rects
caravel_00020006_fill_pattern_5_1: 900000 rects
caravel_00020006_fill_pattern_5_1: 910000 rects
caravel_00020006_fill_pattern_5_1: 920000 rects
CIF output style is now "wafflefill(tiled)"
Generating output for cell caravel_00020006_fill_pattern_5_1
Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
Starting magic under Tcl interpreter
Using the terminal as the console.
Using NULL graphics device.
site.pre: In custom site.pre...
site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
Processing system .magicrc file
site.def: In custom site.def...
Sourcing design .magicrc for technology sky130A ...
2 Magic internal units = 1 Lambda
Input style sky130(vendor): scaleFactor=2, multiplier=2
Scaled tech values by 2 / 1 to match internal grid scaling
Loading sky130A Device Generator Menu ...
Loading "/mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/generate_fill_dist.tcl" from command line.
caravel_00020006_fill_pattern_5_4: 10000 rects
caravel_00020006_fill_pattern_5_4: 20000 rects
caravel_00020006_fill_pattern_5_4: 30000 rects
caravel_00020006_fill_pattern_5_4: 40000 rects
caravel_00020006_fill_pattern_5_4: 50000 rects
caravel_00020006_fill_pattern_5_4: 60000 rects
caravel_00020006_fill_pattern_5_4: 70000 rects
caravel_00020006_fill_pattern_5_4: 80000 rects
caravel_00020006_fill_pattern_5_4: 90000 rects
caravel_00020006_fill_pattern_5_4: 100000 rects
caravel_00020006_fill_pattern_5_4: 110000 rects
caravel_00020006_fill_pattern_5_4: 120000 rects
caravel_00020006_fill_pattern_5_4: 130000 rects
caravel_00020006_fill_pattern_5_4: 140000 rects
caravel_00020006_fill_pattern_5_4: 150000 rects
caravel_00020006_fill_pattern_5_4: 160000 rects
caravel_00020006_fill_pattern_5_4: 170000 rects
caravel_00020006_fill_pattern_5_4: 180000 rects
caravel_00020006_fill_pattern_5_4: 190000 rects
caravel_00020006_fill_pattern_5_4: 200000 rects
caravel_00020006_fill_pattern_5_4: 210000 rects
caravel_00020006_fill_pattern_5_4: 220000 rects
caravel_00020006_fill_pattern_5_4: 230000 rects
caravel_00020006_fill_pattern_5_4: 240000 rects
caravel_00020006_fill_pattern_5_4: 250000 rects
caravel_00020006_fill_pattern_5_4: 260000 rects
caravel_00020006_fill_pattern_5_4: 270000 rects
caravel_00020006_fill_pattern_5_4: 280000 rects
caravel_00020006_fill_pattern_5_4: 290000 rects
caravel_00020006_fill_pattern_5_4: 300000 rects
caravel_00020006_fill_pattern_5_4: 310000 rects
caravel_00020006_fill_pattern_5_4: 320000 rects
caravel_00020006_fill_pattern_5_4: 330000 rects
caravel_00020006_fill_pattern_5_4: 340000 rects
caravel_00020006_fill_pattern_5_4: 350000 rects
caravel_00020006_fill_pattern_5_4: 360000 rects
caravel_00020006_fill_pattern_5_4: 370000 rects
caravel_00020006_fill_pattern_5_4: 380000 rects
caravel_00020006_fill_pattern_5_4: 390000 rects
caravel_00020006_fill_pattern_5_4: 400000 rects
caravel_00020006_fill_pattern_5_4: 410000 rects
caravel_00020006_fill_pattern_5_4: 420000 rects
caravel_00020006_fill_pattern_5_4: 430000 rects
caravel_00020006_fill_pattern_5_4: 440000 rects
caravel_00020006_fill_pattern_5_4: 450000 rects
caravel_00020006_fill_pattern_5_4: 460000 rects
caravel_00020006_fill_pattern_5_4: 470000 rects
caravel_00020006_fill_pattern_5_4: 480000 rects
caravel_00020006_fill_pattern_5_4: 490000 rects
caravel_00020006_fill_pattern_5_4: 500000 rects
caravel_00020006_fill_pattern_5_4: 510000 rects
caravel_00020006_fill_pattern_5_4: 520000 rects
caravel_00020006_fill_pattern_5_4: 530000 rects
caravel_00020006_fill_pattern_5_4: 540000 rects
caravel_00020006_fill_pattern_5_4: 550000 rects
caravel_00020006_fill_pattern_5_4: 560000 rects
caravel_00020006_fill_pattern_5_4: 570000 rects
caravel_00020006_fill_pattern_5_4: 580000 rects
caravel_00020006_fill_pattern_5_4: 590000 rects
caravel_00020006_fill_pattern_5_4: 600000 rects
caravel_00020006_fill_pattern_5_4: 610000 rects
caravel_00020006_fill_pattern_5_4: 620000 rects
caravel_00020006_fill_pattern_5_4: 630000 rects
caravel_00020006_fill_pattern_5_4: 640000 rects
caravel_00020006_fill_pattern_5_4: 650000 rects
caravel_00020006_fill_pattern_5_4: 660000 rects
caravel_00020006_fill_pattern_5_4: 670000 rects
caravel_00020006_fill_pattern_5_4: 680000 rects
caravel_00020006_fill_pattern_5_4: 690000 rects
caravel_00020006_fill_pattern_5_4: 700000 rects
caravel_00020006_fill_pattern_5_4: 710000 rects
caravel_00020006_fill_pattern_5_4: 720000 rects
caravel_00020006_fill_pattern_5_4: 730000 rects
caravel_00020006_fill_pattern_5_4: 740000 rects
caravel_00020006_fill_pattern_5_4: 750000 rects
caravel_00020006_fill_pattern_5_4: 760000 rects
caravel_00020006_fill_pattern_5_4: 770000 rects
caravel_00020006_fill_pattern_5_4: 780000 rects
caravel_00020006_fill_pattern_5_4: 790000 rects
caravel_00020006_fill_pattern_5_4: 800000 rects
caravel_00020006_fill_pattern_5_4: 810000 rects
caravel_00020006_fill_pattern_5_4: 820000 rects
caravel_00020006_fill_pattern_5_4: 830000 rects
caravel_00020006_fill_pattern_5_4: 840000 rects
caravel_00020006_fill_pattern_5_4: 850000 rects
caravel_00020006_fill_pattern_5_4: 860000 rects
caravel_00020006_fill_pattern_5_4: 870000 rects
caravel_00020006_fill_pattern_5_4: 880000 rects
caravel_00020006_fill_pattern_5_4: 890000 rects
caravel_00020006_fill_pattern_5_4: 900000 rects
caravel_00020006_fill_pattern_5_4: 910000 rects
caravel_00020006_fill_pattern_5_4: 920000 rects
caravel_00020006_fill_pattern_5_4: 930000 rects
caravel_00020006_fill_pattern_5_4: 940000 rects
caravel_00020006_fill_pattern_5_4: 950000 rects
caravel_00020006_fill_pattern_5_4: 960000 rects
caravel_00020006_fill_pattern_5_4: 970000 rects
caravel_00020006_fill_pattern_5_4: 980000 rects
caravel_00020006_fill_pattern_5_4: 990000 rects
CIF output style is now "wafflefill(tiled)"
Generating output for cell caravel_00020006_fill_pattern_5_4
Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
Starting magic under Tcl interpreter
Using the terminal as the console.
Using NULL graphics device.
site.pre: In custom site.pre...
site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
Processing system .magicrc file
site.def: In custom site.def...
Sourcing design .magicrc for technology sky130A ...
2 Magic internal units = 1 Lambda
Input style sky130(vendor): scaleFactor=2, multiplier=2
Scaled tech values by 2 / 1 to match internal grid scaling
Loading sky130A Device Generator Menu ...
Loading "/mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/generate_fill_dist.tcl" from command line.
caravel_00020006_fill_pattern_3_7: 10000 rects
caravel_00020006_fill_pattern_3_7: 20000 rects
caravel_00020006_fill_pattern_3_7: 30000 rects
caravel_00020006_fill_pattern_3_7: 40000 rects
caravel_00020006_fill_pattern_3_7: 50000 rects
CIF output style is now "wafflefill(tiled)"
Generating output for cell caravel_00020006_fill_pattern_3_7
Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
Starting magic under Tcl interpreter
Using the terminal as the console.
Using NULL graphics device.
site.pre: In custom site.pre...
site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
Processing system .magicrc file
site.def: In custom site.def...
Sourcing design .magicrc for technology sky130A ...
2 Magic internal units = 1 Lambda
Input style sky130(vendor): scaleFactor=2, multiplier=2
Scaled tech values by 2 / 1 to match internal grid scaling
Loading sky130A Device Generator Menu ...
Loading "/mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/generate_fill_dist.tcl" from command line.
caravel_00020006_fill_pattern_1_7: 10000 rects
caravel_00020006_fill_pattern_1_7: 20000 rects
caravel_00020006_fill_pattern_1_7: 30000 rects
caravel_00020006_fill_pattern_1_7: 40000 rects
caravel_00020006_fill_pattern_1_7: 50000 rects
caravel_00020006_fill_pattern_1_7: 60000 rects
caravel_00020006_fill_pattern_1_7: 70000 rects
caravel_00020006_fill_pattern_1_7: 80000 rects
caravel_00020006_fill_pattern_1_7: 90000 rects
caravel_00020006_fill_pattern_1_7: 100000 rects
caravel_00020006_fill_pattern_1_7: 110000 rects
caravel_00020006_fill_pattern_1_7: 120000 rects
caravel_00020006_fill_pattern_1_7: 130000 rects
caravel_00020006_fill_pattern_1_7: 140000 rects
caravel_00020006_fill_pattern_1_7: 150000 rects
caravel_00020006_fill_pattern_1_7: 160000 rects
caravel_00020006_fill_pattern_1_7: 170000 rects
caravel_00020006_fill_pattern_1_7: 180000 rects
caravel_00020006_fill_pattern_1_7: 190000 rects
caravel_00020006_fill_pattern_1_7: 200000 rects
caravel_00020006_fill_pattern_1_7: 210000 rects
caravel_00020006_fill_pattern_1_7: 220000 rects
caravel_00020006_fill_pattern_1_7: 230000 rects
caravel_00020006_fill_pattern_1_7: 240000 rects
caravel_00020006_fill_pattern_1_7: 250000 rects
caravel_00020006_fill_pattern_1_7: 260000 rects
caravel_00020006_fill_pattern_1_7: 270000 rects
caravel_00020006_fill_pattern_1_7: 280000 rects
caravel_00020006_fill_pattern_1_7: 290000 rects
caravel_00020006_fill_pattern_1_7: 300000 rects
caravel_00020006_fill_pattern_1_7: 310000 rects
caravel_00020006_fill_pattern_1_7: 320000 rects
caravel_00020006_fill_pattern_1_7: 330000 rects
CIF output style is now "wafflefill(tiled)"
Generating output for cell caravel_00020006_fill_pattern_1_7
Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
Starting magic under Tcl interpreter
Using the terminal as the console.
Using NULL graphics device.
site.pre: In custom site.pre...
site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
Processing system .magicrc file
site.def: In custom site.def...
Sourcing design .magicrc for technology sky130A ...
2 Magic internal units = 1 Lambda
Input style sky130(vendor): scaleFactor=2, multiplier=2
Scaled tech values by 2 / 1 to match internal grid scaling
Loading sky130A Device Generator Menu ...
Loading "/mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/generate_fill_dist.tcl" from command line.
caravel_00020006_fill_pattern_2_7: 10000 rects
caravel_00020006_fill_pattern_2_7: 20000 rects
caravel_00020006_fill_pattern_2_7: 30000 rects
caravel_00020006_fill_pattern_2_7: 40000 rects
caravel_00020006_fill_pattern_2_7: 50000 rects
caravel_00020006_fill_pattern_2_7: 60000 rects
caravel_00020006_fill_pattern_2_7: 70000 rects
caravel_00020006_fill_pattern_2_7: 80000 rects
caravel_00020006_fill_pattern_2_7: 90000 rects
caravel_00020006_fill_pattern_2_7: 100000 rects
caravel_00020006_fill_pattern_2_7: 110000 rects
caravel_00020006_fill_pattern_2_7: 120000 rects
caravel_00020006_fill_pattern_2_7: 130000 rects
caravel_00020006_fill_pattern_2_7: 140000 rects
caravel_00020006_fill_pattern_2_7: 150000 rects
caravel_00020006_fill_pattern_2_7: 160000 rects
caravel_00020006_fill_pattern_2_7: 170000 rects
caravel_00020006_fill_pattern_2_7: 180000 rects
caravel_00020006_fill_pattern_2_7: 190000 rects
caravel_00020006_fill_pattern_2_7: 200000 rects
caravel_00020006_fill_pattern_2_7: 210000 rects
caravel_00020006_fill_pattern_2_7: 220000 rects
caravel_00020006_fill_pattern_2_7: 230000 rects
caravel_00020006_fill_pattern_2_7: 240000 rects
caravel_00020006_fill_pattern_2_7: 250000 rects
caravel_00020006_fill_pattern_2_7: 260000 rects
caravel_00020006_fill_pattern_2_7: 270000 rects
caravel_00020006_fill_pattern_2_7: 280000 rects
caravel_00020006_fill_pattern_2_7: 290000 rects
caravel_00020006_fill_pattern_2_7: 300000 rects
caravel_00020006_fill_pattern_2_7: 310000 rects
caravel_00020006_fill_pattern_2_7: 320000 rects
caravel_00020006_fill_pattern_2_7: 330000 rects
caravel_00020006_fill_pattern_2_7: 340000 rects
caravel_00020006_fill_pattern_2_7: 350000 rects
caravel_00020006_fill_pattern_2_7: 360000 rects
caravel_00020006_fill_pattern_2_7: 370000 rects
caravel_00020006_fill_pattern_2_7: 380000 rects
caravel_00020006_fill_pattern_2_7: 390000 rects
caravel_00020006_fill_pattern_2_7: 400000 rects
caravel_00020006_fill_pattern_2_7: 410000 rects
caravel_00020006_fill_pattern_2_7: 420000 rects
caravel_00020006_fill_pattern_2_7: 430000 rects
caravel_00020006_fill_pattern_2_7: 440000 rects
caravel_00020006_fill_pattern_2_7: 450000 rects
caravel_00020006_fill_pattern_2_7: 460000 rects
caravel_00020006_fill_pattern_2_7: 470000 rects
caravel_00020006_fill_pattern_2_7: 480000 rects
caravel_00020006_fill_pattern_2_7: 490000 rects
caravel_00020006_fill_pattern_2_7: 500000 rects
caravel_00020006_fill_pattern_2_7: 510000 rects
caravel_00020006_fill_pattern_2_7: 520000 rects
caravel_00020006_fill_pattern_2_7: 530000 rects
caravel_00020006_fill_pattern_2_7: 540000 rects
caravel_00020006_fill_pattern_2_7: 550000 rects
caravel_00020006_fill_pattern_2_7: 560000 rects
caravel_00020006_fill_pattern_2_7: 570000 rects
caravel_00020006_fill_pattern_2_7: 580000 rects
caravel_00020006_fill_pattern_2_7: 590000 rects
caravel_00020006_fill_pattern_2_7: 600000 rects
caravel_00020006_fill_pattern_2_7: 610000 rects
caravel_00020006_fill_pattern_2_7: 620000 rects
caravel_00020006_fill_pattern_2_7: 630000 rects
caravel_00020006_fill_pattern_2_7: 640000 rects
caravel_00020006_fill_pattern_2_7: 650000 rects
caravel_00020006_fill_pattern_2_7: 660000 rects
caravel_00020006_fill_pattern_2_7: 670000 rects
caravel_00020006_fill_pattern_2_7: 680000 rects
caravel_00020006_fill_pattern_2_7: 690000 rects
caravel_00020006_fill_pattern_2_7: 700000 rects
caravel_00020006_fill_pattern_2_7: 710000 rects
caravel_00020006_fill_pattern_2_7: 720000 rects
caravel_00020006_fill_pattern_2_7: 730000 rects
caravel_00020006_fill_pattern_2_7: 740000 rects
caravel_00020006_fill_pattern_2_7: 750000 rects
caravel_00020006_fill_pattern_2_7: 760000 rects
caravel_00020006_fill_pattern_2_7: 770000 rects
caravel_00020006_fill_pattern_2_7: 780000 rects
caravel_00020006_fill_pattern_2_7: 790000 rects
caravel_00020006_fill_pattern_2_7: 800000 rects
caravel_00020006_fill_pattern_2_7: 810000 rects
caravel_00020006_fill_pattern_2_7: 820000 rects
caravel_00020006_fill_pattern_2_7: 830000 rects
caravel_00020006_fill_pattern_2_7: 840000 rects
caravel_00020006_fill_pattern_2_7: 850000 rects
caravel_00020006_fill_pattern_2_7: 860000 rects
CIF output style is now "wafflefill(tiled)"
Generating output for cell caravel_00020006_fill_pattern_2_7
Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
Starting magic under Tcl interpreter
Using the terminal as the console.
Using NULL graphics device.
site.pre: In custom site.pre...
site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
Processing system .magicrc file
site.def: In custom site.def...
Sourcing design .magicrc for technology sky130A ...
2 Magic internal units = 1 Lambda
Input style sky130(vendor): scaleFactor=2, multiplier=2
Scaled tech values by 2 / 1 to match internal grid scaling
Loading sky130A Device Generator Menu ...
Loading "/mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/generate_fill_dist.tcl" from command line.
caravel_00020006_fill_pattern_0_7: 10000 rects
caravel_00020006_fill_pattern_0_7: 20000 rects
caravel_00020006_fill_pattern_0_7: 30000 rects
caravel_00020006_fill_pattern_0_7: 40000 rects
caravel_00020006_fill_pattern_0_7: 50000 rects
CIF output style is now "wafflefill(tiled)"
Generating output for cell caravel_00020006_fill_pattern_0_7
Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
Starting magic under Tcl interpreter
Using the terminal as the console.
Using NULL graphics device.
site.pre: In custom site.pre...
site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
Processing system .magicrc file
site.def: In custom site.def...
Sourcing design .magicrc for technology sky130A ...
2 Magic internal units = 1 Lambda
Input style sky130(vendor): scaleFactor=2, multiplier=2
Scaled tech values by 2 / 1 to match internal grid scaling
Loading sky130A Device Generator Menu ...
Loading "/mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/generate_fill_dist.tcl" from command line.
caravel_00020006_fill_pattern_4_7: 10000 rects
caravel_00020006_fill_pattern_4_7: 20000 rects
caravel_00020006_fill_pattern_4_7: 30000 rects
caravel_00020006_fill_pattern_4_7: 40000 rects
caravel_00020006_fill_pattern_4_7: 50000 rects
caravel_00020006_fill_pattern_4_7: 60000 rects
caravel_00020006_fill_pattern_4_7: 70000 rects
caravel_00020006_fill_pattern_4_7: 80000 rects
caravel_00020006_fill_pattern_4_7: 90000 rects
caravel_00020006_fill_pattern_4_7: 100000 rects
caravel_00020006_fill_pattern_4_7: 110000 rects
caravel_00020006_fill_pattern_4_7: 120000 rects
caravel_00020006_fill_pattern_4_7: 130000 rects
caravel_00020006_fill_pattern_4_7: 140000 rects
caravel_00020006_fill_pattern_4_7: 150000 rects
caravel_00020006_fill_pattern_4_7: 160000 rects
caravel_00020006_fill_pattern_4_7: 170000 rects
caravel_00020006_fill_pattern_4_7: 180000 rects
caravel_00020006_fill_pattern_4_7: 190000 rects
caravel_00020006_fill_pattern_4_7: 200000 rects
caravel_00020006_fill_pattern_4_7: 210000 rects
caravel_00020006_fill_pattern_4_7: 220000 rects
caravel_00020006_fill_pattern_4_7: 230000 rects
caravel_00020006_fill_pattern_4_7: 240000 rects
caravel_00020006_fill_pattern_4_7: 250000 rects
caravel_00020006_fill_pattern_4_7: 260000 rects
caravel_00020006_fill_pattern_4_7: 270000 rects
caravel_00020006_fill_pattern_4_7: 280000 rects
CIF output style is now "wafflefill(tiled)"
Generating output for cell caravel_00020006_fill_pattern_4_7
Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
Starting magic under Tcl interpreter
Using the terminal as the console.
Using NULL graphics device.
site.pre: In custom site.pre...
site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
Processing system .magicrc file
site.def: In custom site.def...
Sourcing design .magicrc for technology sky130A ...
2 Magic internal units = 1 Lambda
Input style sky130(vendor): scaleFactor=2, multiplier=2
Scaled tech values by 2 / 1 to match internal grid scaling
Loading sky130A Device Generator Menu ...
Loading "/mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/generate_fill_dist.tcl" from command line.
CIF output style is now "wafflefill(tiled)"
Generating output for cell caravel_00020006_fill_pattern_2_5
Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
Starting magic under Tcl interpreter
Using the terminal as the console.
Using NULL graphics device.
site.pre: In custom site.pre...
site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
Processing system .magicrc file
site.def: In custom site.def...
Sourcing design .magicrc for technology sky130A ...
2 Magic internal units = 1 Lambda
Input style sky130(vendor): scaleFactor=2, multiplier=2
Scaled tech values by 2 / 1 to match internal grid scaling
Loading sky130A Device Generator Menu ...
Loading "/mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/generate_fill_dist.tcl" from command line.
caravel_00020006_fill_pattern_0_6: 10000 rects
caravel_00020006_fill_pattern_0_6: 20000 rects
caravel_00020006_fill_pattern_0_6: 30000 rects
caravel_00020006_fill_pattern_0_6: 40000 rects
caravel_00020006_fill_pattern_0_6: 50000 rects
caravel_00020006_fill_pattern_0_6: 60000 rects
caravel_00020006_fill_pattern_0_6: 70000 rects
caravel_00020006_fill_pattern_0_6: 80000 rects
caravel_00020006_fill_pattern_0_6: 90000 rects
caravel_00020006_fill_pattern_0_6: 100000 rects
caravel_00020006_fill_pattern_0_6: 110000 rects
caravel_00020006_fill_pattern_0_6: 120000 rects
caravel_00020006_fill_pattern_0_6: 130000 rects
caravel_00020006_fill_pattern_0_6: 140000 rects
caravel_00020006_fill_pattern_0_6: 150000 rects
caravel_00020006_fill_pattern_0_6: 160000 rects
caravel_00020006_fill_pattern_0_6: 170000 rects
caravel_00020006_fill_pattern_0_6: 180000 rects
caravel_00020006_fill_pattern_0_6: 190000 rects
caravel_00020006_fill_pattern_0_6: 200000 rects
caravel_00020006_fill_pattern_0_6: 210000 rects
caravel_00020006_fill_pattern_0_6: 220000 rects
caravel_00020006_fill_pattern_0_6: 230000 rects
caravel_00020006_fill_pattern_0_6: 240000 rects
caravel_00020006_fill_pattern_0_6: 250000 rects
caravel_00020006_fill_pattern_0_6: 260000 rects
caravel_00020006_fill_pattern_0_6: 270000 rects
caravel_00020006_fill_pattern_0_6: 280000 rects
caravel_00020006_fill_pattern_0_6: 290000 rects
caravel_00020006_fill_pattern_0_6: 300000 rects
caravel_00020006_fill_pattern_0_6: 310000 rects
caravel_00020006_fill_pattern_0_6: 320000 rects
caravel_00020006_fill_pattern_0_6: 330000 rects
caravel_00020006_fill_pattern_0_6: 340000 rects
caravel_00020006_fill_pattern_0_6: 350000 rects
caravel_00020006_fill_pattern_0_6: 360000 rects
caravel_00020006_fill_pattern_0_6: 370000 rects
caravel_00020006_fill_pattern_0_6: 380000 rects
caravel_00020006_fill_pattern_0_6: 390000 rects
caravel_00020006_fill_pattern_0_6: 400000 rects
caravel_00020006_fill_pattern_0_6: 410000 rects
caravel_00020006_fill_pattern_0_6: 420000 rects
caravel_00020006_fill_pattern_0_6: 430000 rects
caravel_00020006_fill_pattern_0_6: 440000 rects
caravel_00020006_fill_pattern_0_6: 450000 rects
caravel_00020006_fill_pattern_0_6: 460000 rects
caravel_00020006_fill_pattern_0_6: 470000 rects
caravel_00020006_fill_pattern_0_6: 480000 rects
caravel_00020006_fill_pattern_0_6: 490000 rects
caravel_00020006_fill_pattern_0_6: 500000 rects
caravel_00020006_fill_pattern_0_6: 510000 rects
caravel_00020006_fill_pattern_0_6: 520000 rects
caravel_00020006_fill_pattern_0_6: 530000 rects
caravel_00020006_fill_pattern_0_6: 540000 rects
caravel_00020006_fill_pattern_0_6: 550000 rects
caravel_00020006_fill_pattern_0_6: 560000 rects
caravel_00020006_fill_pattern_0_6: 570000 rects
caravel_00020006_fill_pattern_0_6: 580000 rects
caravel_00020006_fill_pattern_0_6: 590000 rects
caravel_00020006_fill_pattern_0_6: 600000 rects
CIF output style is now "wafflefill(tiled)"
Generating output for cell caravel_00020006_fill_pattern_0_6
Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
Starting magic under Tcl interpreter
Using the terminal as the console.
Using NULL graphics device.
site.pre: In custom site.pre...
site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
Processing system .magicrc file
site.def: In custom site.def...
Sourcing design .magicrc for technology sky130A ...
2 Magic internal units = 1 Lambda
Input style sky130(vendor): scaleFactor=2, multiplier=2
Scaled tech values by 2 / 1 to match internal grid scaling
Loading sky130A Device Generator Menu ...
Loading "/mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/generate_fill_dist.tcl" from command line.
CIF output style is now "wafflefill(tiled)"
Generating output for cell caravel_00020006_fill_pattern_2_4
Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
Starting magic under Tcl interpreter
Using the terminal as the console.
Using NULL graphics device.
site.pre: In custom site.pre...
site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
Processing system .magicrc file
site.def: In custom site.def...
Sourcing design .magicrc for technology sky130A ...
2 Magic internal units = 1 Lambda
Input style sky130(vendor): scaleFactor=2, multiplier=2
Scaled tech values by 2 / 1 to match internal grid scaling
Loading sky130A Device Generator Menu ...
Loading "/mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/generate_fill_dist.tcl" from command line.
CIF output style is now "wafflefill(tiled)"
Generating output for cell caravel_00020006_fill_pattern_2_6
Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
Starting magic under Tcl interpreter
Using the terminal as the console.
Using NULL graphics device.
site.pre: In custom site.pre...
site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
Processing system .magicrc file
site.def: In custom site.def...
Sourcing design .magicrc for technology sky130A ...
2 Magic internal units = 1 Lambda
Input style sky130(vendor): scaleFactor=2, multiplier=2
Scaled tech values by 2 / 1 to match internal grid scaling
Loading sky130A Device Generator Menu ...
Loading "/mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/generate_fill_dist.tcl" from command line.
Scaled magic input cell caravel_00020006_fill_pattern_1_6 geometry by factor of 2
CIF output style is now "wafflefill(tiled)"
Generating output for cell caravel_00020006_fill_pattern_1_6
Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
Starting magic under Tcl interpreter
Using the terminal as the console.
Using NULL graphics device.
site.pre: In custom site.pre...
site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
Processing system .magicrc file
site.def: In custom site.def...
Sourcing design .magicrc for technology sky130A ...
2 Magic internal units = 1 Lambda
Input style sky130(vendor): scaleFactor=2, multiplier=2
Scaled tech values by 2 / 1 to match internal grid scaling
Loading sky130A Device Generator Menu ...
Loading "/mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/generate_fill_dist.tcl" from command line.
Scaled magic input cell caravel_00020006_fill_pattern_2_3 geometry by factor of 2
CIF output style is now "wafflefill(tiled)"
Generating output for cell caravel_00020006_fill_pattern_2_3
Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
Starting magic under Tcl interpreter
Using the terminal as the console.
Using NULL graphics device.
site.pre: In custom site.pre...
site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
Processing system .magicrc file
site.def: In custom site.def...
Sourcing design .magicrc for technology sky130A ...
2 Magic internal units = 1 Lambda
Input style sky130(vendor): scaleFactor=2, multiplier=2
Scaled tech values by 2 / 1 to match internal grid scaling
Loading sky130A Device Generator Menu ...
Loading "/mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/generate_fill_dist.tcl" from command line.
CIF output style is now "wafflefill(tiled)"
Generating output for cell caravel_00020006_fill_pattern_3_2
Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
Starting magic under Tcl interpreter
Using the terminal as the console.
Using NULL graphics device.
site.pre: In custom site.pre...
site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
Processing system .magicrc file
site.def: In custom site.def...
Sourcing design .magicrc for technology sky130A ...
2 Magic internal units = 1 Lambda
Input style sky130(vendor): scaleFactor=2, multiplier=2
Scaled tech values by 2 / 1 to match internal grid scaling
Loading sky130A Device Generator Menu ...
Loading "/mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/generate_fill_dist.tcl" from command line.
CIF output style is now "wafflefill(tiled)"
Generating output for cell caravel_00020006_fill_pattern_1_3
Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
Starting magic under Tcl interpreter
Using the terminal as the console.
Using NULL graphics device.
site.pre: In custom site.pre...
site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
Processing system .magicrc file
site.def: In custom site.def...
Sourcing design .magicrc for technology sky130A ...
2 Magic internal units = 1 Lambda
Input style sky130(vendor): scaleFactor=2, multiplier=2
Scaled tech values by 2 / 1 to match internal grid scaling
Loading sky130A Device Generator Menu ...
Loading "/mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/generate_fill_dist.tcl" from command line.
CIF output style is now "wafflefill(tiled)"
Generating output for cell caravel_00020006_fill_pattern_1_5
Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
Starting magic under Tcl interpreter
Using the terminal as the console.
Using NULL graphics device.
site.pre: In custom site.pre...
site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
Processing system .magicrc file
site.def: In custom site.def...
Sourcing design .magicrc for technology sky130A ...
2 Magic internal units = 1 Lambda
Input style sky130(vendor): scaleFactor=2, multiplier=2
Scaled tech values by 2 / 1 to match internal grid scaling
Loading sky130A Device Generator Menu ...
Loading "/mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/generate_fill_dist.tcl" from command line.
CIF output style is now "wafflefill(tiled)"
Generating output for cell caravel_00020006_fill_pattern_3_5
Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
Starting magic under Tcl interpreter
Using the terminal as the console.
Using NULL graphics device.
site.pre: In custom site.pre...
site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
Processing system .magicrc file
site.def: In custom site.def...
Sourcing design .magicrc for technology sky130A ...
2 Magic internal units = 1 Lambda
Input style sky130(vendor): scaleFactor=2, multiplier=2
Scaled tech values by 2 / 1 to match internal grid scaling
Loading sky130A Device Generator Menu ...
Loading "/mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/generate_fill_dist.tcl" from command line.
CIF output style is now "wafflefill(tiled)"
Generating output for cell caravel_00020006_fill_pattern_1_2
Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
Starting magic under Tcl interpreter
Using the terminal as the console.
Using NULL graphics device.
site.pre: In custom site.pre...
site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
Processing system .magicrc file
site.def: In custom site.def...
Sourcing design .magicrc for technology sky130A ...
2 Magic internal units = 1 Lambda
Input style sky130(vendor): scaleFactor=2, multiplier=2
Scaled tech values by 2 / 1 to match internal grid scaling
Loading sky130A Device Generator Menu ...
Loading "/mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/generate_fill_dist.tcl" from command line.
CIF output style is now "wafflefill(tiled)"
Generating output for cell caravel_00020006_fill_pattern_3_4
Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
Starting magic under Tcl interpreter
Using the terminal as the console.
Using NULL graphics device.
site.pre: In custom site.pre...
site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
Processing system .magicrc file
site.def: In custom site.def...
Sourcing design .magicrc for technology sky130A ...
2 Magic internal units = 1 Lambda
Input style sky130(vendor): scaleFactor=2, multiplier=2
Scaled tech values by 2 / 1 to match internal grid scaling
Loading sky130A Device Generator Menu ...
Loading "/mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/generate_fill_dist.tcl" from command line.
CIF output style is now "wafflefill(tiled)"
Generating output for cell caravel_00020006_fill_pattern_2_2
Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
Starting magic under Tcl interpreter
Using the terminal as the console.
Using NULL graphics device.
site.pre: In custom site.pre...
site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
Processing system .magicrc file
site.def: In custom site.def...
Sourcing design .magicrc for technology sky130A ...
2 Magic internal units = 1 Lambda
Input style sky130(vendor): scaleFactor=2, multiplier=2
Scaled tech values by 2 / 1 to match internal grid scaling
Loading sky130A Device Generator Menu ...
Loading "/mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/generate_fill_dist.tcl" from command line.
caravel_00020006_fill_pattern_4_6: 10000 rects
caravel_00020006_fill_pattern_4_6: 20000 rects
caravel_00020006_fill_pattern_4_6: 30000 rects
caravel_00020006_fill_pattern_4_6: 40000 rects
caravel_00020006_fill_pattern_4_6: 50000 rects
caravel_00020006_fill_pattern_4_6: 60000 rects
caravel_00020006_fill_pattern_4_6: 70000 rects
caravel_00020006_fill_pattern_4_6: 80000 rects
caravel_00020006_fill_pattern_4_6: 90000 rects
caravel_00020006_fill_pattern_4_6: 100000 rects
caravel_00020006_fill_pattern_4_6: 110000 rects
caravel_00020006_fill_pattern_4_6: 120000 rects
caravel_00020006_fill_pattern_4_6: 130000 rects
caravel_00020006_fill_pattern_4_6: 140000 rects
caravel_00020006_fill_pattern_4_6: 150000 rects
caravel_00020006_fill_pattern_4_6: 160000 rects
caravel_00020006_fill_pattern_4_6: 170000 rects
caravel_00020006_fill_pattern_4_6: 180000 rects
caravel_00020006_fill_pattern_4_6: 190000 rects
caravel_00020006_fill_pattern_4_6: 200000 rects
caravel_00020006_fill_pattern_4_6: 210000 rects
caravel_00020006_fill_pattern_4_6: 220000 rects
caravel_00020006_fill_pattern_4_6: 230000 rects
caravel_00020006_fill_pattern_4_6: 240000 rects
caravel_00020006_fill_pattern_4_6: 250000 rects
caravel_00020006_fill_pattern_4_6: 260000 rects
caravel_00020006_fill_pattern_4_6: 270000 rects
caravel_00020006_fill_pattern_4_6: 280000 rects
caravel_00020006_fill_pattern_4_6: 290000 rects
caravel_00020006_fill_pattern_4_6: 300000 rects
caravel_00020006_fill_pattern_4_6: 310000 rects
caravel_00020006_fill_pattern_4_6: 320000 rects
caravel_00020006_fill_pattern_4_6: 330000 rects
caravel_00020006_fill_pattern_4_6: 340000 rects
caravel_00020006_fill_pattern_4_6: 350000 rects
caravel_00020006_fill_pattern_4_6: 360000 rects
caravel_00020006_fill_pattern_4_6: 370000 rects
caravel_00020006_fill_pattern_4_6: 380000 rects
caravel_00020006_fill_pattern_4_6: 390000 rects
caravel_00020006_fill_pattern_4_6: 400000 rects
caravel_00020006_fill_pattern_4_6: 410000 rects
caravel_00020006_fill_pattern_4_6: 420000 rects
CIF output style is now "wafflefill(tiled)"
Generating output for cell caravel_00020006_fill_pattern_4_6
Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
Starting magic under Tcl interpreter
Using the terminal as the console.
Using NULL graphics device.
site.pre: In custom site.pre...
site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
Processing system .magicrc file
site.def: In custom site.def...
Sourcing design .magicrc for technology sky130A ...
2 Magic internal units = 1 Lambda
Input style sky130(vendor): scaleFactor=2, multiplier=2
Scaled tech values by 2 / 1 to match internal grid scaling
Loading sky130A Device Generator Menu ...
Loading "/mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/generate_fill_dist.tcl" from command line.
CIF output style is now "wafflefill(tiled)"
Generating output for cell caravel_00020006_fill_pattern_3_3
Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
Starting magic under Tcl interpreter
Using the terminal as the console.
Using NULL graphics device.
site.pre: In custom site.pre...
site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
Processing system .magicrc file
site.def: In custom site.def...
Sourcing design .magicrc for technology sky130A ...
2 Magic internal units = 1 Lambda
Input style sky130(vendor): scaleFactor=2, multiplier=2
Scaled tech values by 2 / 1 to match internal grid scaling
Loading sky130A Device Generator Menu ...
Loading "/mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/generate_fill_dist.tcl" from command line.
CIF output style is now "wafflefill(tiled)"
Generating output for cell caravel_00020006_fill_pattern_3_6
Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
Starting magic under Tcl interpreter
Using the terminal as the console.
Using NULL graphics device.
site.pre: In custom site.pre...
site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
Processing system .magicrc file
site.def: In custom site.def...
Sourcing design .magicrc for technology sky130A ...
2 Magic internal units = 1 Lambda
Input style sky130(vendor): scaleFactor=2, multiplier=2
Scaled tech values by 2 / 1 to match internal grid scaling
Loading sky130A Device Generator Menu ...
Loading "/mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/generate_fill_dist.tcl" from command line.
Scaled magic input cell caravel_00020006_fill_pattern_1_4 geometry by factor of 2
CIF output style is now "wafflefill(tiled)"
Generating output for cell caravel_00020006_fill_pattern_1_4
Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
Starting magic under Tcl interpreter
Using the terminal as the console.
Using NULL graphics device.
site.pre: In custom site.pre...
site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
Processing system .magicrc file
site.def: In custom site.def...
Sourcing design .magicrc for technology sky130A ...
2 Magic internal units = 1 Lambda
Input style sky130(vendor): scaleFactor=2, multiplier=2
Scaled tech values by 2 / 1 to match internal grid scaling
Loading sky130A Device Generator Menu ...
Loading "/mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/generate_fill_dist.tcl" from command line.
caravel_00020006_fill_pattern_0_3: 10000 rects
caravel_00020006_fill_pattern_0_3: 20000 rects
caravel_00020006_fill_pattern_0_3: 30000 rects
caravel_00020006_fill_pattern_0_3: 40000 rects
caravel_00020006_fill_pattern_0_3: 50000 rects
caravel_00020006_fill_pattern_0_3: 60000 rects
caravel_00020006_fill_pattern_0_3: 70000 rects
caravel_00020006_fill_pattern_0_3: 80000 rects
caravel_00020006_fill_pattern_0_3: 90000 rects
caravel_00020006_fill_pattern_0_3: 100000 rects
caravel_00020006_fill_pattern_0_3: 110000 rects
caravel_00020006_fill_pattern_0_3: 120000 rects
caravel_00020006_fill_pattern_0_3: 130000 rects
caravel_00020006_fill_pattern_0_3: 140000 rects
caravel_00020006_fill_pattern_0_3: 150000 rects
caravel_00020006_fill_pattern_0_3: 160000 rects
caravel_00020006_fill_pattern_0_3: 170000 rects
caravel_00020006_fill_pattern_0_3: 180000 rects
caravel_00020006_fill_pattern_0_3: 190000 rects
caravel_00020006_fill_pattern_0_3: 200000 rects
caravel_00020006_fill_pattern_0_3: 210000 rects
caravel_00020006_fill_pattern_0_3: 220000 rects
caravel_00020006_fill_pattern_0_3: 230000 rects
caravel_00020006_fill_pattern_0_3: 240000 rects
caravel_00020006_fill_pattern_0_3: 250000 rects
caravel_00020006_fill_pattern_0_3: 260000 rects
caravel_00020006_fill_pattern_0_3: 270000 rects
caravel_00020006_fill_pattern_0_3: 280000 rects
caravel_00020006_fill_pattern_0_3: 290000 rects
caravel_00020006_fill_pattern_0_3: 300000 rects
caravel_00020006_fill_pattern_0_3: 310000 rects
caravel_00020006_fill_pattern_0_3: 320000 rects
caravel_00020006_fill_pattern_0_3: 330000 rects
caravel_00020006_fill_pattern_0_3: 340000 rects
caravel_00020006_fill_pattern_0_3: 350000 rects
caravel_00020006_fill_pattern_0_3: 360000 rects
caravel_00020006_fill_pattern_0_3: 370000 rects
caravel_00020006_fill_pattern_0_3: 380000 rects
caravel_00020006_fill_pattern_0_3: 390000 rects
caravel_00020006_fill_pattern_0_3: 400000 rects
caravel_00020006_fill_pattern_0_3: 410000 rects
caravel_00020006_fill_pattern_0_3: 420000 rects
caravel_00020006_fill_pattern_0_3: 430000 rects
caravel_00020006_fill_pattern_0_3: 440000 rects
caravel_00020006_fill_pattern_0_3: 450000 rects
caravel_00020006_fill_pattern_0_3: 460000 rects
caravel_00020006_fill_pattern_0_3: 470000 rects
caravel_00020006_fill_pattern_0_3: 480000 rects
caravel_00020006_fill_pattern_0_3: 490000 rects
caravel_00020006_fill_pattern_0_3: 500000 rects
caravel_00020006_fill_pattern_0_3: 510000 rects
caravel_00020006_fill_pattern_0_3: 520000 rects
caravel_00020006_fill_pattern_0_3: 530000 rects
caravel_00020006_fill_pattern_0_3: 540000 rects
caravel_00020006_fill_pattern_0_3: 550000 rects
caravel_00020006_fill_pattern_0_3: 560000 rects
caravel_00020006_fill_pattern_0_3: 570000 rects
caravel_00020006_fill_pattern_0_3: 580000 rects
caravel_00020006_fill_pattern_0_3: 590000 rects
caravel_00020006_fill_pattern_0_3: 600000 rects
caravel_00020006_fill_pattern_0_3: 610000 rects
caravel_00020006_fill_pattern_0_3: 620000 rects
caravel_00020006_fill_pattern_0_3: 630000 rects
caravel_00020006_fill_pattern_0_3: 640000 rects
caravel_00020006_fill_pattern_0_3: 650000 rects
caravel_00020006_fill_pattern_0_3: 660000 rects
caravel_00020006_fill_pattern_0_3: 670000 rects
caravel_00020006_fill_pattern_0_3: 680000 rects
caravel_00020006_fill_pattern_0_3: 690000 rects
caravel_00020006_fill_pattern_0_3: 700000 rects
caravel_00020006_fill_pattern_0_3: 710000 rects
caravel_00020006_fill_pattern_0_3: 720000 rects
caravel_00020006_fill_pattern_0_3: 730000 rects
caravel_00020006_fill_pattern_0_3: 740000 rects
caravel_00020006_fill_pattern_0_3: 750000 rects
caravel_00020006_fill_pattern_0_3: 760000 rects
caravel_00020006_fill_pattern_0_3: 770000 rects
caravel_00020006_fill_pattern_0_3: 780000 rects
caravel_00020006_fill_pattern_0_3: 790000 rects
caravel_00020006_fill_pattern_0_3: 800000 rects
caravel_00020006_fill_pattern_0_3: 810000 rects
caravel_00020006_fill_pattern_0_3: 820000 rects
caravel_00020006_fill_pattern_0_3: 830000 rects
caravel_00020006_fill_pattern_0_3: 840000 rects
caravel_00020006_fill_pattern_0_3: 850000 rects
caravel_00020006_fill_pattern_0_3: 860000 rects
caravel_00020006_fill_pattern_0_3: 870000 rects
caravel_00020006_fill_pattern_0_3: 880000 rects
caravel_00020006_fill_pattern_0_3: 890000 rects
caravel_00020006_fill_pattern_0_3: 900000 rects
caravel_00020006_fill_pattern_0_3: 910000 rects
caravel_00020006_fill_pattern_0_3: 920000 rects
caravel_00020006_fill_pattern_0_3: 930000 rects
caravel_00020006_fill_pattern_0_3: 940000 rects
caravel_00020006_fill_pattern_0_3: 950000 rects
caravel_00020006_fill_pattern_0_3: 960000 rects
caravel_00020006_fill_pattern_0_3: 970000 rects
caravel_00020006_fill_pattern_0_3: 980000 rects
caravel_00020006_fill_pattern_0_3: 990000 rects
caravel_00020006_fill_pattern_0_3: 1000000 rects
caravel_00020006_fill_pattern_0_3: 1010000 rects
caravel_00020006_fill_pattern_0_3: 1020000 rects
caravel_00020006_fill_pattern_0_3: 1030000 rects
caravel_00020006_fill_pattern_0_3: 1040000 rects
caravel_00020006_fill_pattern_0_3: 1050000 rects
caravel_00020006_fill_pattern_0_3: 1060000 rects
caravel_00020006_fill_pattern_0_3: 1070000 rects
caravel_00020006_fill_pattern_0_3: 1080000 rects
caravel_00020006_fill_pattern_0_3: 1090000 rects
caravel_00020006_fill_pattern_0_3: 1100000 rects
caravel_00020006_fill_pattern_0_3: 1110000 rects
caravel_00020006_fill_pattern_0_3: 1120000 rects
caravel_00020006_fill_pattern_0_3: 1130000 rects
caravel_00020006_fill_pattern_0_3: 1140000 rects
caravel_00020006_fill_pattern_0_3: 1150000 rects
caravel_00020006_fill_pattern_0_3: 1160000 rects
CIF output style is now "wafflefill(tiled)"
Generating output for cell caravel_00020006_fill_pattern_0_3
Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
Starting magic under Tcl interpreter
Using the terminal as the console.
Using NULL graphics device.
site.pre: In custom site.pre...
site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
Processing system .magicrc file
site.def: In custom site.def...
Sourcing design .magicrc for technology sky130A ...
2 Magic internal units = 1 Lambda
Input style sky130(vendor): scaleFactor=2, multiplier=2
Scaled tech values by 2 / 1 to match internal grid scaling
Loading sky130A Device Generator Menu ...
Loading "/mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/generate_fill_dist.tcl" from command line.
caravel_00020006_fill_pattern_4_3: 10000 rects
caravel_00020006_fill_pattern_4_3: 20000 rects
caravel_00020006_fill_pattern_4_3: 30000 rects
caravel_00020006_fill_pattern_4_3: 40000 rects
caravel_00020006_fill_pattern_4_3: 50000 rects
caravel_00020006_fill_pattern_4_3: 60000 rects
caravel_00020006_fill_pattern_4_3: 70000 rects
caravel_00020006_fill_pattern_4_3: 80000 rects
caravel_00020006_fill_pattern_4_3: 90000 rects
caravel_00020006_fill_pattern_4_3: 100000 rects
caravel_00020006_fill_pattern_4_3: 110000 rects
caravel_00020006_fill_pattern_4_3: 120000 rects
caravel_00020006_fill_pattern_4_3: 130000 rects
caravel_00020006_fill_pattern_4_3: 140000 rects
caravel_00020006_fill_pattern_4_3: 150000 rects
caravel_00020006_fill_pattern_4_3: 160000 rects
caravel_00020006_fill_pattern_4_3: 170000 rects
caravel_00020006_fill_pattern_4_3: 180000 rects
caravel_00020006_fill_pattern_4_3: 190000 rects
caravel_00020006_fill_pattern_4_3: 200000 rects
caravel_00020006_fill_pattern_4_3: 210000 rects
caravel_00020006_fill_pattern_4_3: 220000 rects
caravel_00020006_fill_pattern_4_3: 230000 rects
caravel_00020006_fill_pattern_4_3: 240000 rects
caravel_00020006_fill_pattern_4_3: 250000 rects
caravel_00020006_fill_pattern_4_3: 260000 rects
caravel_00020006_fill_pattern_4_3: 270000 rects
caravel_00020006_fill_pattern_4_3: 280000 rects
caravel_00020006_fill_pattern_4_3: 290000 rects
caravel_00020006_fill_pattern_4_3: 300000 rects
caravel_00020006_fill_pattern_4_3: 310000 rects
caravel_00020006_fill_pattern_4_3: 320000 rects
caravel_00020006_fill_pattern_4_3: 330000 rects
caravel_00020006_fill_pattern_4_3: 340000 rects
caravel_00020006_fill_pattern_4_3: 350000 rects
caravel_00020006_fill_pattern_4_3: 360000 rects
caravel_00020006_fill_pattern_4_3: 370000 rects
caravel_00020006_fill_pattern_4_3: 380000 rects
caravel_00020006_fill_pattern_4_3: 390000 rects
caravel_00020006_fill_pattern_4_3: 400000 rects
caravel_00020006_fill_pattern_4_3: 410000 rects
caravel_00020006_fill_pattern_4_3: 420000 rects
caravel_00020006_fill_pattern_4_3: 430000 rects
caravel_00020006_fill_pattern_4_3: 440000 rects
caravel_00020006_fill_pattern_4_3: 450000 rects
caravel_00020006_fill_pattern_4_3: 460000 rects
caravel_00020006_fill_pattern_4_3: 470000 rects
caravel_00020006_fill_pattern_4_3: 480000 rects
caravel_00020006_fill_pattern_4_3: 490000 rects
caravel_00020006_fill_pattern_4_3: 500000 rects
caravel_00020006_fill_pattern_4_3: 510000 rects
caravel_00020006_fill_pattern_4_3: 520000 rects
caravel_00020006_fill_pattern_4_3: 530000 rects
caravel_00020006_fill_pattern_4_3: 540000 rects
caravel_00020006_fill_pattern_4_3: 550000 rects
caravel_00020006_fill_pattern_4_3: 560000 rects
caravel_00020006_fill_pattern_4_3: 570000 rects
caravel_00020006_fill_pattern_4_3: 580000 rects
caravel_00020006_fill_pattern_4_3: 590000 rects
caravel_00020006_fill_pattern_4_3: 600000 rects
caravel_00020006_fill_pattern_4_3: 610000 rects
caravel_00020006_fill_pattern_4_3: 620000 rects
CIF output style is now "wafflefill(tiled)"
Generating output for cell caravel_00020006_fill_pattern_4_3
Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
Starting magic under Tcl interpreter
Using the terminal as the console.
Using NULL graphics device.
site.pre: In custom site.pre...
site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
Processing system .magicrc file
site.def: In custom site.def...
Sourcing design .magicrc for technology sky130A ...
2 Magic internal units = 1 Lambda
Input style sky130(vendor): scaleFactor=2, multiplier=2
Scaled tech values by 2 / 1 to match internal grid scaling
Loading sky130A Device Generator Menu ...
Loading "/mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/generate_fill_dist.tcl" from command line.
caravel_00020006_fill_pattern_4_2: 10000 rects
caravel_00020006_fill_pattern_4_2: 20000 rects
caravel_00020006_fill_pattern_4_2: 30000 rects
caravel_00020006_fill_pattern_4_2: 40000 rects
caravel_00020006_fill_pattern_4_2: 50000 rects
caravel_00020006_fill_pattern_4_2: 60000 rects
caravel_00020006_fill_pattern_4_2: 70000 rects
caravel_00020006_fill_pattern_4_2: 80000 rects
caravel_00020006_fill_pattern_4_2: 90000 rects
caravel_00020006_fill_pattern_4_2: 100000 rects
caravel_00020006_fill_pattern_4_2: 110000 rects
caravel_00020006_fill_pattern_4_2: 120000 rects
caravel_00020006_fill_pattern_4_2: 130000 rects
caravel_00020006_fill_pattern_4_2: 140000 rects
caravel_00020006_fill_pattern_4_2: 150000 rects
caravel_00020006_fill_pattern_4_2: 160000 rects
caravel_00020006_fill_pattern_4_2: 170000 rects
caravel_00020006_fill_pattern_4_2: 180000 rects
caravel_00020006_fill_pattern_4_2: 190000 rects
caravel_00020006_fill_pattern_4_2: 200000 rects
caravel_00020006_fill_pattern_4_2: 210000 rects
caravel_00020006_fill_pattern_4_2: 220000 rects
caravel_00020006_fill_pattern_4_2: 230000 rects
caravel_00020006_fill_pattern_4_2: 240000 rects
caravel_00020006_fill_pattern_4_2: 250000 rects
caravel_00020006_fill_pattern_4_2: 260000 rects
caravel_00020006_fill_pattern_4_2: 270000 rects
caravel_00020006_fill_pattern_4_2: 280000 rects
caravel_00020006_fill_pattern_4_2: 290000 rects
caravel_00020006_fill_pattern_4_2: 300000 rects
caravel_00020006_fill_pattern_4_2: 310000 rects
caravel_00020006_fill_pattern_4_2: 320000 rects
caravel_00020006_fill_pattern_4_2: 330000 rects
caravel_00020006_fill_pattern_4_2: 340000 rects
caravel_00020006_fill_pattern_4_2: 350000 rects
caravel_00020006_fill_pattern_4_2: 360000 rects
caravel_00020006_fill_pattern_4_2: 370000 rects
caravel_00020006_fill_pattern_4_2: 380000 rects
caravel_00020006_fill_pattern_4_2: 390000 rects
caravel_00020006_fill_pattern_4_2: 400000 rects
caravel_00020006_fill_pattern_4_2: 410000 rects
caravel_00020006_fill_pattern_4_2: 420000 rects
caravel_00020006_fill_pattern_4_2: 430000 rects
caravel_00020006_fill_pattern_4_2: 440000 rects
caravel_00020006_fill_pattern_4_2: 450000 rects
caravel_00020006_fill_pattern_4_2: 460000 rects
caravel_00020006_fill_pattern_4_2: 470000 rects
caravel_00020006_fill_pattern_4_2: 480000 rects
caravel_00020006_fill_pattern_4_2: 490000 rects
caravel_00020006_fill_pattern_4_2: 500000 rects
caravel_00020006_fill_pattern_4_2: 510000 rects
caravel_00020006_fill_pattern_4_2: 520000 rects
caravel_00020006_fill_pattern_4_2: 530000 rects
caravel_00020006_fill_pattern_4_2: 540000 rects
caravel_00020006_fill_pattern_4_2: 550000 rects
caravel_00020006_fill_pattern_4_2: 560000 rects
caravel_00020006_fill_pattern_4_2: 570000 rects
caravel_00020006_fill_pattern_4_2: 580000 rects
caravel_00020006_fill_pattern_4_2: 590000 rects
caravel_00020006_fill_pattern_4_2: 600000 rects
caravel_00020006_fill_pattern_4_2: 610000 rects
caravel_00020006_fill_pattern_4_2: 620000 rects
caravel_00020006_fill_pattern_4_2: 630000 rects
caravel_00020006_fill_pattern_4_2: 640000 rects
caravel_00020006_fill_pattern_4_2: 650000 rects
caravel_00020006_fill_pattern_4_2: 660000 rects
caravel_00020006_fill_pattern_4_2: 670000 rects
caravel_00020006_fill_pattern_4_2: 680000 rects
caravel_00020006_fill_pattern_4_2: 690000 rects
caravel_00020006_fill_pattern_4_2: 700000 rects
caravel_00020006_fill_pattern_4_2: 710000 rects
caravel_00020006_fill_pattern_4_2: 720000 rects
caravel_00020006_fill_pattern_4_2: 730000 rects
caravel_00020006_fill_pattern_4_2: 740000 rects
caravel_00020006_fill_pattern_4_2: 750000 rects
caravel_00020006_fill_pattern_4_2: 760000 rects
caravel_00020006_fill_pattern_4_2: 770000 rects
caravel_00020006_fill_pattern_4_2: 780000 rects
caravel_00020006_fill_pattern_4_2: 790000 rects
caravel_00020006_fill_pattern_4_2: 800000 rects
caravel_00020006_fill_pattern_4_2: 810000 rects
caravel_00020006_fill_pattern_4_2: 820000 rects
caravel_00020006_fill_pattern_4_2: 830000 rects
caravel_00020006_fill_pattern_4_2: 840000 rects
CIF output style is now "wafflefill(tiled)"
Generating output for cell caravel_00020006_fill_pattern_4_2
Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
Starting magic under Tcl interpreter
Using the terminal as the console.
Using NULL graphics device.
site.pre: In custom site.pre...
site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
Processing system .magicrc file
site.def: In custom site.def...
Sourcing design .magicrc for technology sky130A ...
2 Magic internal units = 1 Lambda
Input style sky130(vendor): scaleFactor=2, multiplier=2
Scaled tech values by 2 / 1 to match internal grid scaling
Loading sky130A Device Generator Menu ...
Loading "/mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/generate_fill_dist.tcl" from command line.
caravel_00020006_fill_pattern_4_5: 10000 rects
caravel_00020006_fill_pattern_4_5: 20000 rects
caravel_00020006_fill_pattern_4_5: 30000 rects
caravel_00020006_fill_pattern_4_5: 40000 rects
caravel_00020006_fill_pattern_4_5: 50000 rects
caravel_00020006_fill_pattern_4_5: 60000 rects
caravel_00020006_fill_pattern_4_5: 70000 rects
caravel_00020006_fill_pattern_4_5: 80000 rects
caravel_00020006_fill_pattern_4_5: 90000 rects
caravel_00020006_fill_pattern_4_5: 100000 rects
caravel_00020006_fill_pattern_4_5: 110000 rects
caravel_00020006_fill_pattern_4_5: 120000 rects
caravel_00020006_fill_pattern_4_5: 130000 rects
caravel_00020006_fill_pattern_4_5: 140000 rects
caravel_00020006_fill_pattern_4_5: 150000 rects
caravel_00020006_fill_pattern_4_5: 160000 rects
caravel_00020006_fill_pattern_4_5: 170000 rects
caravel_00020006_fill_pattern_4_5: 180000 rects
caravel_00020006_fill_pattern_4_5: 190000 rects
caravel_00020006_fill_pattern_4_5: 200000 rects
caravel_00020006_fill_pattern_4_5: 210000 rects
caravel_00020006_fill_pattern_4_5: 220000 rects
caravel_00020006_fill_pattern_4_5: 230000 rects
caravel_00020006_fill_pattern_4_5: 240000 rects
caravel_00020006_fill_pattern_4_5: 250000 rects
caravel_00020006_fill_pattern_4_5: 260000 rects
caravel_00020006_fill_pattern_4_5: 270000 rects
caravel_00020006_fill_pattern_4_5: 280000 rects
caravel_00020006_fill_pattern_4_5: 290000 rects
caravel_00020006_fill_pattern_4_5: 300000 rects
caravel_00020006_fill_pattern_4_5: 310000 rects
caravel_00020006_fill_pattern_4_5: 320000 rects
caravel_00020006_fill_pattern_4_5: 330000 rects
caravel_00020006_fill_pattern_4_5: 340000 rects
caravel_00020006_fill_pattern_4_5: 350000 rects
caravel_00020006_fill_pattern_4_5: 360000 rects
caravel_00020006_fill_pattern_4_5: 370000 rects
caravel_00020006_fill_pattern_4_5: 380000 rects
caravel_00020006_fill_pattern_4_5: 390000 rects
caravel_00020006_fill_pattern_4_5: 400000 rects
caravel_00020006_fill_pattern_4_5: 410000 rects
caravel_00020006_fill_pattern_4_5: 420000 rects
caravel_00020006_fill_pattern_4_5: 430000 rects
caravel_00020006_fill_pattern_4_5: 440000 rects
caravel_00020006_fill_pattern_4_5: 450000 rects
caravel_00020006_fill_pattern_4_5: 460000 rects
caravel_00020006_fill_pattern_4_5: 470000 rects
caravel_00020006_fill_pattern_4_5: 480000 rects
caravel_00020006_fill_pattern_4_5: 490000 rects
caravel_00020006_fill_pattern_4_5: 500000 rects
caravel_00020006_fill_pattern_4_5: 510000 rects
caravel_00020006_fill_pattern_4_5: 520000 rects
caravel_00020006_fill_pattern_4_5: 530000 rects
caravel_00020006_fill_pattern_4_5: 540000 rects
caravel_00020006_fill_pattern_4_5: 550000 rects
caravel_00020006_fill_pattern_4_5: 560000 rects
caravel_00020006_fill_pattern_4_5: 570000 rects
caravel_00020006_fill_pattern_4_5: 580000 rects
caravel_00020006_fill_pattern_4_5: 590000 rects
caravel_00020006_fill_pattern_4_5: 600000 rects
caravel_00020006_fill_pattern_4_5: 610000 rects
caravel_00020006_fill_pattern_4_5: 620000 rects
caravel_00020006_fill_pattern_4_5: 630000 rects
caravel_00020006_fill_pattern_4_5: 640000 rects
caravel_00020006_fill_pattern_4_5: 650000 rects
caravel_00020006_fill_pattern_4_5: 660000 rects
caravel_00020006_fill_pattern_4_5: 670000 rects
caravel_00020006_fill_pattern_4_5: 680000 rects
caravel_00020006_fill_pattern_4_5: 690000 rects
caravel_00020006_fill_pattern_4_5: 700000 rects
caravel_00020006_fill_pattern_4_5: 710000 rects
CIF output style is now "wafflefill(tiled)"
Generating output for cell caravel_00020006_fill_pattern_4_5
Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
Starting magic under Tcl interpreter
Using the terminal as the console.
Using NULL graphics device.
site.pre: In custom site.pre...
site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
Processing system .magicrc file
site.def: In custom site.def...
Sourcing design .magicrc for technology sky130A ...
2 Magic internal units = 1 Lambda
Input style sky130(vendor): scaleFactor=2, multiplier=2
Scaled tech values by 2 / 1 to match internal grid scaling
Loading sky130A Device Generator Menu ...
Loading "/mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/generate_fill_dist.tcl" from command line.
caravel_00020006_fill_pattern_4_4: 10000 rects
caravel_00020006_fill_pattern_4_4: 20000 rects
caravel_00020006_fill_pattern_4_4: 30000 rects
caravel_00020006_fill_pattern_4_4: 40000 rects
caravel_00020006_fill_pattern_4_4: 50000 rects
caravel_00020006_fill_pattern_4_4: 60000 rects
caravel_00020006_fill_pattern_4_4: 70000 rects
caravel_00020006_fill_pattern_4_4: 80000 rects
caravel_00020006_fill_pattern_4_4: 90000 rects
caravel_00020006_fill_pattern_4_4: 100000 rects
caravel_00020006_fill_pattern_4_4: 110000 rects
caravel_00020006_fill_pattern_4_4: 120000 rects
caravel_00020006_fill_pattern_4_4: 130000 rects
caravel_00020006_fill_pattern_4_4: 140000 rects
caravel_00020006_fill_pattern_4_4: 150000 rects
caravel_00020006_fill_pattern_4_4: 160000 rects
caravel_00020006_fill_pattern_4_4: 170000 rects
caravel_00020006_fill_pattern_4_4: 180000 rects
caravel_00020006_fill_pattern_4_4: 190000 rects
caravel_00020006_fill_pattern_4_4: 200000 rects
caravel_00020006_fill_pattern_4_4: 210000 rects
caravel_00020006_fill_pattern_4_4: 220000 rects
caravel_00020006_fill_pattern_4_4: 230000 rects
caravel_00020006_fill_pattern_4_4: 240000 rects
caravel_00020006_fill_pattern_4_4: 250000 rects
caravel_00020006_fill_pattern_4_4: 260000 rects
caravel_00020006_fill_pattern_4_4: 270000 rects
caravel_00020006_fill_pattern_4_4: 280000 rects
caravel_00020006_fill_pattern_4_4: 290000 rects
caravel_00020006_fill_pattern_4_4: 300000 rects
caravel_00020006_fill_pattern_4_4: 310000 rects
caravel_00020006_fill_pattern_4_4: 320000 rects
caravel_00020006_fill_pattern_4_4: 330000 rects
caravel_00020006_fill_pattern_4_4: 340000 rects
caravel_00020006_fill_pattern_4_4: 350000 rects
caravel_00020006_fill_pattern_4_4: 360000 rects
caravel_00020006_fill_pattern_4_4: 370000 rects
caravel_00020006_fill_pattern_4_4: 380000 rects
caravel_00020006_fill_pattern_4_4: 390000 rects
caravel_00020006_fill_pattern_4_4: 400000 rects
caravel_00020006_fill_pattern_4_4: 410000 rects
caravel_00020006_fill_pattern_4_4: 420000 rects
caravel_00020006_fill_pattern_4_4: 430000 rects
caravel_00020006_fill_pattern_4_4: 440000 rects
caravel_00020006_fill_pattern_4_4: 450000 rects
caravel_00020006_fill_pattern_4_4: 460000 rects
caravel_00020006_fill_pattern_4_4: 470000 rects
caravel_00020006_fill_pattern_4_4: 480000 rects
caravel_00020006_fill_pattern_4_4: 490000 rects
caravel_00020006_fill_pattern_4_4: 500000 rects
caravel_00020006_fill_pattern_4_4: 510000 rects
caravel_00020006_fill_pattern_4_4: 520000 rects
caravel_00020006_fill_pattern_4_4: 530000 rects
caravel_00020006_fill_pattern_4_4: 540000 rects
caravel_00020006_fill_pattern_4_4: 550000 rects
caravel_00020006_fill_pattern_4_4: 560000 rects
caravel_00020006_fill_pattern_4_4: 570000 rects
caravel_00020006_fill_pattern_4_4: 580000 rects
caravel_00020006_fill_pattern_4_4: 590000 rects
caravel_00020006_fill_pattern_4_4: 600000 rects
caravel_00020006_fill_pattern_4_4: 610000 rects
caravel_00020006_fill_pattern_4_4: 620000 rects
caravel_00020006_fill_pattern_4_4: 630000 rects
caravel_00020006_fill_pattern_4_4: 640000 rects
caravel_00020006_fill_pattern_4_4: 650000 rects
caravel_00020006_fill_pattern_4_4: 660000 rects
caravel_00020006_fill_pattern_4_4: 670000 rects
caravel_00020006_fill_pattern_4_4: 680000 rects
caravel_00020006_fill_pattern_4_4: 690000 rects
caravel_00020006_fill_pattern_4_4: 700000 rects
caravel_00020006_fill_pattern_4_4: 710000 rects
caravel_00020006_fill_pattern_4_4: 720000 rects
caravel_00020006_fill_pattern_4_4: 730000 rects
caravel_00020006_fill_pattern_4_4: 740000 rects
caravel_00020006_fill_pattern_4_4: 750000 rects
caravel_00020006_fill_pattern_4_4: 760000 rects
caravel_00020006_fill_pattern_4_4: 770000 rects
caravel_00020006_fill_pattern_4_4: 780000 rects
caravel_00020006_fill_pattern_4_4: 790000 rects
caravel_00020006_fill_pattern_4_4: 800000 rects
caravel_00020006_fill_pattern_4_4: 810000 rects
caravel_00020006_fill_pattern_4_4: 820000 rects
caravel_00020006_fill_pattern_4_4: 830000 rects
caravel_00020006_fill_pattern_4_4: 840000 rects
caravel_00020006_fill_pattern_4_4: 850000 rects
caravel_00020006_fill_pattern_4_4: 860000 rects
caravel_00020006_fill_pattern_4_4: 870000 rects
caravel_00020006_fill_pattern_4_4: 880000 rects
CIF output style is now "wafflefill(tiled)"
Generating output for cell caravel_00020006_fill_pattern_4_4
caravel_00020006_fill_pattern_0_4: 1560000 rects
caravel_00020006_fill_pattern_0_4: 1570000 rects
caravel_00020006_fill_pattern_0_4: 1580000 rects
caravel_00020006_fill_pattern_0_4: 1590000 rects
caravel_00020006_fill_pattern_0_4: 1600000 rects
caravel_00020006_fill_pattern_0_4: 1610000 rects
caravel_00020006_fill_pattern_0_4: 1620000 rects
caravel_00020006_fill_pattern_0_4: 1630000 rects
caravel_00020006_fill_pattern_0_4: 1640000 rects
caravel_00020006_fill_pattern_0_4: 1650000 rects
caravel_00020006_fill_pattern_0_4: 1660000 rects
caravel_00020006_fill_pattern_0_4: 1670000 rects
caravel_00020006_fill_pattern_0_4: 1680000 rects
caravel_00020006_fill_pattern_0_4: 1690000 rects
caravel_00020006_fill_pattern_0_4: 1700000 rects
caravel_00020006_fill_pattern_0_4: 1710000 rects
caravel_00020006_fill_pattern_0_4: 1720000 rects
caravel_00020006_fill_pattern_0_4: 1730000 rects
caravel_00020006_fill_pattern_0_4: 1740000 rects
caravel_00020006_fill_pattern_0_4: 1750000 rects
caravel_00020006_fill_pattern_0_4: 1760000 rects
caravel_00020006_fill_pattern_0_4: 1770000 rects
caravel_00020006_fill_pattern_0_4: 1780000 rects
caravel_00020006_fill_pattern_0_4: 1790000 rects
CIF output style is now "wafflefill(tiled)"
Generating output for cell caravel_00020006_fill_pattern_0_4
caravel_00020006_fill_pattern_0_2: 1560000 rects
caravel_00020006_fill_pattern_0_2: 1570000 rects
caravel_00020006_fill_pattern_0_2: 1580000 rects
caravel_00020006_fill_pattern_0_2: 1590000 rects
caravel_00020006_fill_pattern_0_2: 1600000 rects
caravel_00020006_fill_pattern_0_2: 1610000 rects
caravel_00020006_fill_pattern_0_2: 1620000 rects
caravel_00020006_fill_pattern_0_2: 1630000 rects
caravel_00020006_fill_pattern_0_2: 1640000 rects
caravel_00020006_fill_pattern_0_2: 1650000 rects
caravel_00020006_fill_pattern_0_2: 1660000 rects
caravel_00020006_fill_pattern_0_2: 1670000 rects
caravel_00020006_fill_pattern_0_2: 1680000 rects
caravel_00020006_fill_pattern_0_2: 1690000 rects
caravel_00020006_fill_pattern_0_2: 1700000 rects
caravel_00020006_fill_pattern_0_2: 1710000 rects
caravel_00020006_fill_pattern_0_2: 1720000 rects
caravel_00020006_fill_pattern_0_2: 1730000 rects
caravel_00020006_fill_pattern_0_2: 1740000 rects
caravel_00020006_fill_pattern_0_2: 1750000 rects
caravel_00020006_fill_pattern_0_2: 1760000 rects
caravel_00020006_fill_pattern_0_2: 1770000 rects
caravel_00020006_fill_pattern_0_2: 1780000 rects
caravel_00020006_fill_pattern_0_2: 1790000 rects
caravel_00020006_fill_pattern_0_2: 1800000 rects
caravel_00020006_fill_pattern_0_2: 1810000 rects
caravel_00020006_fill_pattern_0_2: 1820000 rects
CIF output style is now "wafflefill(tiled)"
Generating output for cell caravel_00020006_fill_pattern_0_2
caravel_00020006_fill_pattern_0_5: 1560000 rects
caravel_00020006_fill_pattern_0_5: 1570000 rects
caravel_00020006_fill_pattern_0_5: 1580000 rects
caravel_00020006_fill_pattern_0_5: 1590000 rects
caravel_00020006_fill_pattern_0_5: 1600000 rects
caravel_00020006_fill_pattern_0_5: 1610000 rects
caravel_00020006_fill_pattern_0_5: 1620000 rects
caravel_00020006_fill_pattern_0_5: 1630000 rects
caravel_00020006_fill_pattern_0_5: 1640000 rects
caravel_00020006_fill_pattern_0_5: 1650000 rects
caravel_00020006_fill_pattern_0_5: 1660000 rects
caravel_00020006_fill_pattern_0_5: 1670000 rects
caravel_00020006_fill_pattern_0_5: 1680000 rects
caravel_00020006_fill_pattern_0_5: 1690000 rects
caravel_00020006_fill_pattern_0_5: 1700000 rects
caravel_00020006_fill_pattern_0_5: 1710000 rects
caravel_00020006_fill_pattern_0_5: 1720000 rects
caravel_00020006_fill_pattern_0_5: 1730000 rects
caravel_00020006_fill_pattern_0_5: 1740000 rects
caravel_00020006_fill_pattern_0_5: 1750000 rects
caravel_00020006_fill_pattern_0_5: 1760000 rects
caravel_00020006_fill_pattern_0_5: 1770000 rects
caravel_00020006_fill_pattern_0_5: 1780000 rects
caravel_00020006_fill_pattern_0_5: 1790000 rects
caravel_00020006_fill_pattern_0_5: 1800000 rects
caravel_00020006_fill_pattern_0_5: 1810000 rects
caravel_00020006_fill_pattern_0_5: 1820000 rects
CIF output style is now "wafflefill(tiled)"
Generating output for cell caravel_00020006_fill_pattern_0_5
caravel_00020006_fill_pattern_4_0: 1560000 rects
caravel_00020006_fill_pattern_4_0: 1570000 rects
caravel_00020006_fill_pattern_4_0: 1580000 rects
caravel_00020006_fill_pattern_4_0: 1590000 rects
caravel_00020006_fill_pattern_4_0: 1600000 rects
caravel_00020006_fill_pattern_4_0: 1610000 rects
caravel_00020006_fill_pattern_4_0: 1620000 rects
caravel_00020006_fill_pattern_4_0: 1630000 rects
caravel_00020006_fill_pattern_4_0: 1640000 rects
caravel_00020006_fill_pattern_4_0: 1650000 rects
caravel_00020006_fill_pattern_4_0: 1660000 rects
caravel_00020006_fill_pattern_4_0: 1670000 rects
caravel_00020006_fill_pattern_4_0: 1680000 rects
caravel_00020006_fill_pattern_4_0: 1690000 rects
caravel_00020006_fill_pattern_4_0: 1700000 rects
caravel_00020006_fill_pattern_4_0: 1710000 rects
caravel_00020006_fill_pattern_4_0: 1720000 rects
caravel_00020006_fill_pattern_4_0: 1730000 rects
caravel_00020006_fill_pattern_4_0: 1740000 rects
caravel_00020006_fill_pattern_4_0: 1750000 rects
caravel_00020006_fill_pattern_4_0: 1760000 rects
caravel_00020006_fill_pattern_4_0: 1770000 rects
caravel_00020006_fill_pattern_4_0: 1780000 rects
caravel_00020006_fill_pattern_4_0: 1790000 rects
caravel_00020006_fill_pattern_4_0: 1800000 rects
caravel_00020006_fill_pattern_4_0: 1810000 rects
caravel_00020006_fill_pattern_4_0: 1820000 rects
caravel_00020006_fill_pattern_4_0: 1830000 rects
caravel_00020006_fill_pattern_4_0: 1840000 rects
caravel_00020006_fill_pattern_4_0: 1850000 rects
caravel_00020006_fill_pattern_4_0: 1860000 rects
caravel_00020006_fill_pattern_4_0: 1870000 rects
caravel_00020006_fill_pattern_4_0: 1880000 rects
caravel_00020006_fill_pattern_4_0: 1890000 rects
caravel_00020006_fill_pattern_4_0: 1900000 rects
caravel_00020006_fill_pattern_4_0: 1910000 rects
caravel_00020006_fill_pattern_4_0: 1920000 rects
caravel_00020006_fill_pattern_4_0: 1930000 rects
caravel_00020006_fill_pattern_4_0: 1940000 rects
caravel_00020006_fill_pattern_4_0: 1950000 rects
caravel_00020006_fill_pattern_4_0: 1960000 rects
caravel_00020006_fill_pattern_4_0: 1970000 rects
caravel_00020006_fill_pattern_4_0: 1980000 rects
caravel_00020006_fill_pattern_4_0: 1990000 rects
caravel_00020006_fill_pattern_4_0: 2000000 rects
caravel_00020006_fill_pattern_4_0: 2010000 rects
caravel_00020006_fill_pattern_4_0: 2020000 rects
caravel_00020006_fill_pattern_4_0: 2030000 rects
caravel_00020006_fill_pattern_4_0: 2040000 rects
caravel_00020006_fill_pattern_4_0: 2050000 rects
caravel_00020006_fill_pattern_4_0: 2060000 rects
caravel_00020006_fill_pattern_4_0: 2070000 rects
caravel_00020006_fill_pattern_4_0: 2080000 rects
caravel_00020006_fill_pattern_4_0: 2090000 rects
caravel_00020006_fill_pattern_4_0: 2100000 rects
caravel_00020006_fill_pattern_4_0: 2110000 rects
caravel_00020006_fill_pattern_4_0: 2120000 rects
caravel_00020006_fill_pattern_4_0: 2130000 rects
caravel_00020006_fill_pattern_4_0: 2140000 rects
caravel_00020006_fill_pattern_4_0: 2150000 rects
caravel_00020006_fill_pattern_4_0: 2160000 rects
caravel_00020006_fill_pattern_4_0: 2170000 rects
caravel_00020006_fill_pattern_4_0: 2180000 rects
caravel_00020006_fill_pattern_4_0: 2190000 rects
caravel_00020006_fill_pattern_4_0: 2200000 rects
caravel_00020006_fill_pattern_4_0: 2210000 rects
caravel_00020006_fill_pattern_4_0: 2220000 rects
caravel_00020006_fill_pattern_4_0: 2230000 rects
caravel_00020006_fill_pattern_4_0: 2240000 rects
caravel_00020006_fill_pattern_4_0: 2250000 rects
caravel_00020006_fill_pattern_4_0: 2260000 rects
caravel_00020006_fill_pattern_4_0: 2270000 rects
caravel_00020006_fill_pattern_4_0: 2280000 rects
caravel_00020006_fill_pattern_4_0: 2290000 rects
caravel_00020006_fill_pattern_4_0: 2300000 rects
caravel_00020006_fill_pattern_4_0: 2310000 rects
caravel_00020006_fill_pattern_4_0: 2320000 rects
caravel_00020006_fill_pattern_4_0: 2330000 rects
caravel_00020006_fill_pattern_4_0: 2340000 rects
caravel_00020006_fill_pattern_4_0: 2350000 rects
caravel_00020006_fill_pattern_4_0: 2360000 rects
caravel_00020006_fill_pattern_4_0: 2370000 rects
caravel_00020006_fill_pattern_4_0: 2380000 rects
caravel_00020006_fill_pattern_4_0: 2390000 rects
caravel_00020006_fill_pattern_4_0: 2400000 rects
caravel_00020006_fill_pattern_4_0: 2410000 rects
caravel_00020006_fill_pattern_4_0: 2420000 rects
caravel_00020006_fill_pattern_4_0: 2430000 rects
caravel_00020006_fill_pattern_4_0: 2440000 rects
caravel_00020006_fill_pattern_4_0: 2450000 rects
caravel_00020006_fill_pattern_4_0: 2460000 rects
caravel_00020006_fill_pattern_4_0: 2470000 rects
caravel_00020006_fill_pattern_4_0: 2480000 rects
caravel_00020006_fill_pattern_4_0: 2490000 rects
caravel_00020006_fill_pattern_4_0: 2500000 rects
caravel_00020006_fill_pattern_4_0: 2510000 rects
caravel_00020006_fill_pattern_4_0: 2520000 rects
caravel_00020006_fill_pattern_4_0: 2530000 rects
caravel_00020006_fill_pattern_4_0: 2540000 rects
caravel_00020006_fill_pattern_4_0: 2550000 rects
caravel_00020006_fill_pattern_4_0: 2560000 rects
CIF output style is now "wafflefill(tiled)"
Generating output for cell caravel_00020006_fill_pattern_4_0
caravel_00020006_fill_pattern_4_1: 1560000 rects
caravel_00020006_fill_pattern_4_1: 1570000 rects
caravel_00020006_fill_pattern_4_1: 1580000 rects
caravel_00020006_fill_pattern_4_1: 1590000 rects
caravel_00020006_fill_pattern_4_1: 1600000 rects
caravel_00020006_fill_pattern_4_1: 1610000 rects
caravel_00020006_fill_pattern_4_1: 1620000 rects
caravel_00020006_fill_pattern_4_1: 1630000 rects
caravel_00020006_fill_pattern_4_1: 1640000 rects
caravel_00020006_fill_pattern_4_1: 1650000 rects
caravel_00020006_fill_pattern_4_1: 1660000 rects
caravel_00020006_fill_pattern_4_1: 1670000 rects
caravel_00020006_fill_pattern_4_1: 1680000 rects
caravel_00020006_fill_pattern_4_1: 1690000 rects
caravel_00020006_fill_pattern_4_1: 1700000 rects
caravel_00020006_fill_pattern_4_1: 1710000 rects
caravel_00020006_fill_pattern_4_1: 1720000 rects
caravel_00020006_fill_pattern_4_1: 1730000 rects
caravel_00020006_fill_pattern_4_1: 1740000 rects
caravel_00020006_fill_pattern_4_1: 1750000 rects
caravel_00020006_fill_pattern_4_1: 1760000 rects
caravel_00020006_fill_pattern_4_1: 1770000 rects
caravel_00020006_fill_pattern_4_1: 1780000 rects
caravel_00020006_fill_pattern_4_1: 1790000 rects
caravel_00020006_fill_pattern_4_1: 1800000 rects
caravel_00020006_fill_pattern_4_1: 1810000 rects
caravel_00020006_fill_pattern_4_1: 1820000 rects
caravel_00020006_fill_pattern_4_1: 1830000 rects
caravel_00020006_fill_pattern_4_1: 1840000 rects
caravel_00020006_fill_pattern_4_1: 1850000 rects
caravel_00020006_fill_pattern_4_1: 1860000 rects
caravel_00020006_fill_pattern_4_1: 1870000 rects
caravel_00020006_fill_pattern_4_1: 1880000 rects
caravel_00020006_fill_pattern_4_1: 1890000 rects
caravel_00020006_fill_pattern_4_1: 1900000 rects
caravel_00020006_fill_pattern_4_1: 1910000 rects
caravel_00020006_fill_pattern_4_1: 1920000 rects
caravel_00020006_fill_pattern_4_1: 1930000 rects
caravel_00020006_fill_pattern_4_1: 1940000 rects
caravel_00020006_fill_pattern_4_1: 1950000 rects
caravel_00020006_fill_pattern_4_1: 1960000 rects
caravel_00020006_fill_pattern_4_1: 1970000 rects
caravel_00020006_fill_pattern_4_1: 1980000 rects
caravel_00020006_fill_pattern_4_1: 1990000 rects
caravel_00020006_fill_pattern_4_1: 2000000 rects
caravel_00020006_fill_pattern_4_1: 2010000 rects
caravel_00020006_fill_pattern_4_1: 2020000 rects
caravel_00020006_fill_pattern_4_1: 2030000 rects
caravel_00020006_fill_pattern_4_1: 2040000 rects
caravel_00020006_fill_pattern_4_1: 2050000 rects
caravel_00020006_fill_pattern_4_1: 2060000 rects
caravel_00020006_fill_pattern_4_1: 2070000 rects
caravel_00020006_fill_pattern_4_1: 2080000 rects
caravel_00020006_fill_pattern_4_1: 2090000 rects
caravel_00020006_fill_pattern_4_1: 2100000 rects
caravel_00020006_fill_pattern_4_1: 2110000 rects
caravel_00020006_fill_pattern_4_1: 2120000 rects
caravel_00020006_fill_pattern_4_1: 2130000 rects
caravel_00020006_fill_pattern_4_1: 2140000 rects
caravel_00020006_fill_pattern_4_1: 2150000 rects
caravel_00020006_fill_pattern_4_1: 2160000 rects
caravel_00020006_fill_pattern_4_1: 2170000 rects
caravel_00020006_fill_pattern_4_1: 2180000 rects
caravel_00020006_fill_pattern_4_1: 2190000 rects
caravel_00020006_fill_pattern_4_1: 2200000 rects
caravel_00020006_fill_pattern_4_1: 2210000 rects
caravel_00020006_fill_pattern_4_1: 2220000 rects
caravel_00020006_fill_pattern_4_1: 2230000 rects
caravel_00020006_fill_pattern_4_1: 2240000 rects
caravel_00020006_fill_pattern_4_1: 2250000 rects
caravel_00020006_fill_pattern_4_1: 2260000 rects
caravel_00020006_fill_pattern_4_1: 2270000 rects
caravel_00020006_fill_pattern_4_1: 2280000 rects
caravel_00020006_fill_pattern_4_1: 2290000 rects
caravel_00020006_fill_pattern_4_1: 2300000 rects
caravel_00020006_fill_pattern_4_1: 2310000 rects
caravel_00020006_fill_pattern_4_1: 2320000 rects
caravel_00020006_fill_pattern_4_1: 2330000 rects
caravel_00020006_fill_pattern_4_1: 2340000 rects
caravel_00020006_fill_pattern_4_1: 2350000 rects
caravel_00020006_fill_pattern_4_1: 2360000 rects
caravel_00020006_fill_pattern_4_1: 2370000 rects
caravel_00020006_fill_pattern_4_1: 2380000 rects
caravel_00020006_fill_pattern_4_1: 2390000 rects
caravel_00020006_fill_pattern_4_1: 2400000 rects
caravel_00020006_fill_pattern_4_1: 2410000 rects
caravel_00020006_fill_pattern_4_1: 2420000 rects
caravel_00020006_fill_pattern_4_1: 2430000 rects
caravel_00020006_fill_pattern_4_1: 2440000 rects
caravel_00020006_fill_pattern_4_1: 2450000 rects
caravel_00020006_fill_pattern_4_1: 2460000 rects
caravel_00020006_fill_pattern_4_1: 2470000 rects
caravel_00020006_fill_pattern_4_1: 2480000 rects
caravel_00020006_fill_pattern_4_1: 2490000 rects
caravel_00020006_fill_pattern_4_1: 2500000 rects
caravel_00020006_fill_pattern_4_1: 2510000 rects
caravel_00020006_fill_pattern_4_1: 2520000 rects
caravel_00020006_fill_pattern_4_1: 2530000 rects
caravel_00020006_fill_pattern_4_1: 2540000 rects
caravel_00020006_fill_pattern_4_1: 2550000 rects
caravel_00020006_fill_pattern_4_1: 2560000 rects
caravel_00020006_fill_pattern_4_1: 2570000 rects
caravel_00020006_fill_pattern_4_1: 2580000 rects
caravel_00020006_fill_pattern_4_1: 2590000 rects
caravel_00020006_fill_pattern_4_1: 2600000 rects
caravel_00020006_fill_pattern_4_1: 2610000 rects
caravel_00020006_fill_pattern_4_1: 2620000 rects
caravel_00020006_fill_pattern_4_1: 2630000 rects
caravel_00020006_fill_pattern_4_1: 2640000 rects
caravel_00020006_fill_pattern_4_1: 2650000 rects
caravel_00020006_fill_pattern_4_1: 2660000 rects
caravel_00020006_fill_pattern_4_1: 2670000 rects
caravel_00020006_fill_pattern_4_1: 2680000 rects
caravel_00020006_fill_pattern_4_1: 2690000 rects
caravel_00020006_fill_pattern_4_1: 2700000 rects
caravel_00020006_fill_pattern_4_1: 2710000 rects
caravel_00020006_fill_pattern_4_1: 2720000 rects
caravel_00020006_fill_pattern_4_1: 2730000 rects
caravel_00020006_fill_pattern_4_1: 2740000 rects
caravel_00020006_fill_pattern_4_1: 2750000 rects
caravel_00020006_fill_pattern_4_1: 2760000 rects
caravel_00020006_fill_pattern_4_1: 2770000 rects
caravel_00020006_fill_pattern_4_1: 2780000 rects
caravel_00020006_fill_pattern_4_1: 2790000 rects
caravel_00020006_fill_pattern_4_1: 2800000 rects
caravel_00020006_fill_pattern_4_1: 2810000 rects
caravel_00020006_fill_pattern_4_1: 2820000 rects
caravel_00020006_fill_pattern_4_1: 2830000 rects
caravel_00020006_fill_pattern_4_1: 2840000 rects
caravel_00020006_fill_pattern_4_1: 2850000 rects
caravel_00020006_fill_pattern_4_1: 2860000 rects
caravel_00020006_fill_pattern_4_1: 2870000 rects
caravel_00020006_fill_pattern_4_1: 2880000 rects
caravel_00020006_fill_pattern_4_1: 2890000 rects
caravel_00020006_fill_pattern_4_1: 2900000 rects
caravel_00020006_fill_pattern_4_1: 2910000 rects
caravel_00020006_fill_pattern_4_1: 2920000 rects
caravel_00020006_fill_pattern_4_1: 2930000 rects
caravel_00020006_fill_pattern_4_1: 2940000 rects
caravel_00020006_fill_pattern_4_1: 2950000 rects
caravel_00020006_fill_pattern_4_1: 2960000 rects
caravel_00020006_fill_pattern_4_1: 2970000 rects
caravel_00020006_fill_pattern_4_1: 2980000 rects
caravel_00020006_fill_pattern_4_1: 2990000 rects
caravel_00020006_fill_pattern_4_1: 3000000 rects
caravel_00020006_fill_pattern_4_1: 3010000 rects
caravel_00020006_fill_pattern_4_1: 3020000 rects
caravel_00020006_fill_pattern_4_1: 3030000 rects
caravel_00020006_fill_pattern_4_1: 3040000 rects
caravel_00020006_fill_pattern_4_1: 3050000 rects
caravel_00020006_fill_pattern_4_1: 3060000 rects
caravel_00020006_fill_pattern_4_1: 3070000 rects
caravel_00020006_fill_pattern_4_1: 3080000 rects
caravel_00020006_fill_pattern_4_1: 3090000 rects
CIF output style is now "wafflefill(tiled)"
Generating output for cell caravel_00020006_fill_pattern_4_1
caravel_00020006_fill_pattern_0_1: 4920000 rects
caravel_00020006_fill_pattern_0_1: 4930000 rects
caravel_00020006_fill_pattern_0_1: 4940000 rects
caravel_00020006_fill_pattern_0_1: 4950000 rects
caravel_00020006_fill_pattern_0_1: 4960000 rects
caravel_00020006_fill_pattern_0_1: 4970000 rects
caravel_00020006_fill_pattern_0_1: 4980000 rects
caravel_00020006_fill_pattern_0_1: 4990000 rects
caravel_00020006_fill_pattern_0_1: 5000000 rects
caravel_00020006_fill_pattern_0_1: 5010000 rects
caravel_00020006_fill_pattern_0_1: 5020000 rects
caravel_00020006_fill_pattern_0_1: 5030000 rects
caravel_00020006_fill_pattern_0_1: 5040000 rects
caravel_00020006_fill_pattern_0_1: 5050000 rects
caravel_00020006_fill_pattern_0_1: 5060000 rects
caravel_00020006_fill_pattern_0_1: 5070000 rects
caravel_00020006_fill_pattern_0_1: 5080000 rects
caravel_00020006_fill_pattern_0_1: 5090000 rects
caravel_00020006_fill_pattern_0_1: 5100000 rects
caravel_00020006_fill_pattern_0_1: 5110000 rects
caravel_00020006_fill_pattern_0_1: 5120000 rects
caravel_00020006_fill_pattern_0_1: 5130000 rects
caravel_00020006_fill_pattern_0_1: 5140000 rects
caravel_00020006_fill_pattern_0_1: 5150000 rects
caravel_00020006_fill_pattern_0_1: 5160000 rects
caravel_00020006_fill_pattern_0_1: 5170000 rects
caravel_00020006_fill_pattern_0_1: 5180000 rects
caravel_00020006_fill_pattern_0_1: 5190000 rects
caravel_00020006_fill_pattern_0_1: 5200000 rects
caravel_00020006_fill_pattern_0_1: 5210000 rects
caravel_00020006_fill_pattern_0_1: 5220000 rects
caravel_00020006_fill_pattern_0_1: 5230000 rects
caravel_00020006_fill_pattern_0_1: 5240000 rects
caravel_00020006_fill_pattern_0_1: 5250000 rects
caravel_00020006_fill_pattern_0_1: 5260000 rects
caravel_00020006_fill_pattern_0_1: 5270000 rects
caravel_00020006_fill_pattern_0_1: 5280000 rects
caravel_00020006_fill_pattern_0_1: 5290000 rects
caravel_00020006_fill_pattern_0_1: 5300000 rects
caravel_00020006_fill_pattern_0_1: 5310000 rects
caravel_00020006_fill_pattern_0_1: 5320000 rects
caravel_00020006_fill_pattern_0_1: 5330000 rects
caravel_00020006_fill_pattern_0_1: 5340000 rects
caravel_00020006_fill_pattern_0_1: 5350000 rects
caravel_00020006_fill_pattern_0_1: 5360000 rects
CIF output style is now "wafflefill(tiled)"
Generating output for cell caravel_00020006_fill_pattern_0_1
caravel_00020006_fill_pattern_1_1: 3240000 rects
caravel_00020006_fill_pattern_1_1: 3250000 rects
caravel_00020006_fill_pattern_1_1: 3260000 rects
caravel_00020006_fill_pattern_1_1: 3270000 rects
caravel_00020006_fill_pattern_1_1: 3280000 rects
caravel_00020006_fill_pattern_1_1: 3290000 rects
caravel_00020006_fill_pattern_1_1: 3300000 rects
caravel_00020006_fill_pattern_1_1: 3310000 rects
caravel_00020006_fill_pattern_1_1: 3320000 rects
caravel_00020006_fill_pattern_1_1: 3330000 rects
caravel_00020006_fill_pattern_1_1: 3340000 rects
caravel_00020006_fill_pattern_1_1: 3350000 rects
caravel_00020006_fill_pattern_1_1: 3360000 rects
caravel_00020006_fill_pattern_1_1: 3370000 rects
caravel_00020006_fill_pattern_1_1: 3380000 rects
caravel_00020006_fill_pattern_1_1: 3390000 rects
caravel_00020006_fill_pattern_1_1: 3400000 rects
caravel_00020006_fill_pattern_1_1: 3410000 rects
caravel_00020006_fill_pattern_1_1: 3420000 rects
caravel_00020006_fill_pattern_1_1: 3430000 rects
caravel_00020006_fill_pattern_1_1: 3440000 rects
caravel_00020006_fill_pattern_1_1: 3450000 rects
caravel_00020006_fill_pattern_1_1: 3460000 rects
caravel_00020006_fill_pattern_1_1: 3470000 rects
caravel_00020006_fill_pattern_1_1: 3480000 rects
caravel_00020006_fill_pattern_1_1: 3490000 rects
caravel_00020006_fill_pattern_1_1: 3500000 rects
caravel_00020006_fill_pattern_1_1: 3510000 rects
caravel_00020006_fill_pattern_1_1: 3520000 rects
caravel_00020006_fill_pattern_1_1: 3530000 rects
caravel_00020006_fill_pattern_1_1: 3540000 rects
caravel_00020006_fill_pattern_1_1: 3550000 rects
caravel_00020006_fill_pattern_1_1: 3560000 rects
caravel_00020006_fill_pattern_1_1: 3570000 rects
caravel_00020006_fill_pattern_1_1: 3580000 rects
caravel_00020006_fill_pattern_1_1: 3590000 rects
caravel_00020006_fill_pattern_1_1: 3600000 rects
caravel_00020006_fill_pattern_1_1: 3610000 rects
caravel_00020006_fill_pattern_1_1: 3620000 rects
caravel_00020006_fill_pattern_1_1: 3630000 rects
caravel_00020006_fill_pattern_1_1: 3640000 rects
caravel_00020006_fill_pattern_1_1: 3650000 rects
caravel_00020006_fill_pattern_1_1: 3660000 rects
caravel_00020006_fill_pattern_1_1: 3670000 rects
caravel_00020006_fill_pattern_1_1: 3680000 rects
caravel_00020006_fill_pattern_1_1: 3690000 rects
caravel_00020006_fill_pattern_1_1: 3700000 rects
caravel_00020006_fill_pattern_1_1: 3710000 rects
caravel_00020006_fill_pattern_1_1: 3720000 rects
caravel_00020006_fill_pattern_1_1: 3730000 rects
caravel_00020006_fill_pattern_1_1: 3740000 rects
caravel_00020006_fill_pattern_1_1: 3750000 rects
caravel_00020006_fill_pattern_1_1: 3760000 rects
caravel_00020006_fill_pattern_1_1: 3770000 rects
caravel_00020006_fill_pattern_1_1: 3780000 rects
caravel_00020006_fill_pattern_1_1: 3790000 rects
caravel_00020006_fill_pattern_1_1: 3800000 rects
caravel_00020006_fill_pattern_1_1: 3810000 rects
caravel_00020006_fill_pattern_1_1: 3820000 rects
caravel_00020006_fill_pattern_1_1: 3830000 rects
caravel_00020006_fill_pattern_1_1: 3840000 rects
caravel_00020006_fill_pattern_1_1: 3850000 rects
caravel_00020006_fill_pattern_1_1: 3860000 rects
caravel_00020006_fill_pattern_1_1: 3870000 rects
caravel_00020006_fill_pattern_1_1: 3880000 rects
caravel_00020006_fill_pattern_1_1: 3890000 rects
caravel_00020006_fill_pattern_1_1: 3900000 rects
caravel_00020006_fill_pattern_1_1: 3910000 rects
caravel_00020006_fill_pattern_1_1: 3920000 rects
caravel_00020006_fill_pattern_1_1: 3930000 rects
caravel_00020006_fill_pattern_1_1: 3940000 rects
caravel_00020006_fill_pattern_1_1: 3950000 rects
caravel_00020006_fill_pattern_1_1: 3960000 rects
caravel_00020006_fill_pattern_1_1: 3970000 rects
caravel_00020006_fill_pattern_1_1: 3980000 rects
caravel_00020006_fill_pattern_1_1: 3990000 rects
caravel_00020006_fill_pattern_1_1: 4000000 rects
caravel_00020006_fill_pattern_1_1: 4010000 rects
caravel_00020006_fill_pattern_1_1: 4020000 rects
caravel_00020006_fill_pattern_1_1: 4030000 rects
caravel_00020006_fill_pattern_1_1: 4040000 rects
CIF output style is now "wafflefill(tiled)"
Generating output for cell caravel_00020006_fill_pattern_1_1
caravel_00020006_fill_pattern_0_0: 4920000 rects
caravel_00020006_fill_pattern_0_0: 4930000 rects
caravel_00020006_fill_pattern_0_0: 4940000 rects
caravel_00020006_fill_pattern_0_0: 4950000 rects
caravel_00020006_fill_pattern_0_0: 4960000 rects
caravel_00020006_fill_pattern_0_0: 4970000 rects
caravel_00020006_fill_pattern_0_0: 4980000 rects
caravel_00020006_fill_pattern_0_0: 4990000 rects
caravel_00020006_fill_pattern_0_0: 5000000 rects
caravel_00020006_fill_pattern_0_0: 5010000 rects
caravel_00020006_fill_pattern_0_0: 5020000 rects
caravel_00020006_fill_pattern_0_0: 5030000 rects
caravel_00020006_fill_pattern_0_0: 5040000 rects
caravel_00020006_fill_pattern_0_0: 5050000 rects
caravel_00020006_fill_pattern_0_0: 5060000 rects
caravel_00020006_fill_pattern_0_0: 5070000 rects
caravel_00020006_fill_pattern_0_0: 5080000 rects
caravel_00020006_fill_pattern_0_0: 5090000 rects
caravel_00020006_fill_pattern_0_0: 5100000 rects
caravel_00020006_fill_pattern_0_0: 5110000 rects
caravel_00020006_fill_pattern_0_0: 5120000 rects
caravel_00020006_fill_pattern_0_0: 5130000 rects
caravel_00020006_fill_pattern_0_0: 5140000 rects
caravel_00020006_fill_pattern_0_0: 5150000 rects
caravel_00020006_fill_pattern_0_0: 5160000 rects
caravel_00020006_fill_pattern_0_0: 5170000 rects
caravel_00020006_fill_pattern_0_0: 5180000 rects
caravel_00020006_fill_pattern_0_0: 5190000 rects
caravel_00020006_fill_pattern_0_0: 5200000 rects
caravel_00020006_fill_pattern_0_0: 5210000 rects
caravel_00020006_fill_pattern_0_0: 5220000 rects
caravel_00020006_fill_pattern_0_0: 5230000 rects
caravel_00020006_fill_pattern_0_0: 5240000 rects
caravel_00020006_fill_pattern_0_0: 5250000 rects
caravel_00020006_fill_pattern_0_0: 5260000 rects
caravel_00020006_fill_pattern_0_0: 5270000 rects
caravel_00020006_fill_pattern_0_0: 5280000 rects
caravel_00020006_fill_pattern_0_0: 5290000 rects
caravel_00020006_fill_pattern_0_0: 5300000 rects
caravel_00020006_fill_pattern_0_0: 5310000 rects
caravel_00020006_fill_pattern_0_0: 5320000 rects
caravel_00020006_fill_pattern_0_0: 5330000 rects
caravel_00020006_fill_pattern_0_0: 5340000 rects
caravel_00020006_fill_pattern_0_0: 5350000 rects
caravel_00020006_fill_pattern_0_0: 5360000 rects
caravel_00020006_fill_pattern_0_0: 5370000 rects
caravel_00020006_fill_pattern_0_0: 5380000 rects
caravel_00020006_fill_pattern_0_0: 5390000 rects
caravel_00020006_fill_pattern_0_0: 5400000 rects
caravel_00020006_fill_pattern_0_0: 5410000 rects
caravel_00020006_fill_pattern_0_0: 5420000 rects
caravel_00020006_fill_pattern_0_0: 5430000 rects
caravel_00020006_fill_pattern_0_0: 5440000 rects
caravel_00020006_fill_pattern_0_0: 5450000 rects
caravel_00020006_fill_pattern_0_0: 5460000 rects
caravel_00020006_fill_pattern_0_0: 5470000 rects
caravel_00020006_fill_pattern_0_0: 5480000 rects
caravel_00020006_fill_pattern_0_0: 5490000 rects
caravel_00020006_fill_pattern_0_0: 5500000 rects
caravel_00020006_fill_pattern_0_0: 5510000 rects
caravel_00020006_fill_pattern_0_0: 5520000 rects
caravel_00020006_fill_pattern_0_0: 5530000 rects
caravel_00020006_fill_pattern_0_0: 5540000 rects
caravel_00020006_fill_pattern_0_0: 5550000 rects
caravel_00020006_fill_pattern_0_0: 5560000 rects
caravel_00020006_fill_pattern_0_0: 5570000 rects
caravel_00020006_fill_pattern_0_0: 5580000 rects
caravel_00020006_fill_pattern_0_0: 5590000 rects
caravel_00020006_fill_pattern_0_0: 5600000 rects
caravel_00020006_fill_pattern_0_0: 5610000 rects
caravel_00020006_fill_pattern_0_0: 5620000 rects
caravel_00020006_fill_pattern_0_0: 5630000 rects
caravel_00020006_fill_pattern_0_0: 5640000 rects
caravel_00020006_fill_pattern_0_0: 5650000 rects
caravel_00020006_fill_pattern_0_0: 5660000 rects
caravel_00020006_fill_pattern_0_0: 5670000 rects
caravel_00020006_fill_pattern_0_0: 5680000 rects
caravel_00020006_fill_pattern_0_0: 5690000 rects
caravel_00020006_fill_pattern_0_0: 5700000 rects
caravel_00020006_fill_pattern_0_0: 5710000 rects
caravel_00020006_fill_pattern_0_0: 5720000 rects
caravel_00020006_fill_pattern_0_0: 5730000 rects
caravel_00020006_fill_pattern_0_0: 5740000 rects
caravel_00020006_fill_pattern_0_0: 5750000 rects
caravel_00020006_fill_pattern_0_0: 5760000 rects
caravel_00020006_fill_pattern_0_0: 5770000 rects
caravel_00020006_fill_pattern_0_0: 5780000 rects
caravel_00020006_fill_pattern_0_0: 5790000 rects
caravel_00020006_fill_pattern_0_0: 5800000 rects
caravel_00020006_fill_pattern_0_0: 5810000 rects
caravel_00020006_fill_pattern_0_0: 5820000 rects
caravel_00020006_fill_pattern_0_0: 5830000 rects
caravel_00020006_fill_pattern_0_0: 5840000 rects
caravel_00020006_fill_pattern_0_0: 5850000 rects
caravel_00020006_fill_pattern_0_0: 5860000 rects
caravel_00020006_fill_pattern_0_0: 5870000 rects
caravel_00020006_fill_pattern_0_0: 5880000 rects
caravel_00020006_fill_pattern_0_0: 5890000 rects
caravel_00020006_fill_pattern_0_0: 5900000 rects
caravel_00020006_fill_pattern_0_0: 5910000 rects
caravel_00020006_fill_pattern_0_0: 5920000 rects
caravel_00020006_fill_pattern_0_0: 5930000 rects
caravel_00020006_fill_pattern_0_0: 5940000 rects
caravel_00020006_fill_pattern_0_0: 5950000 rects
caravel_00020006_fill_pattern_0_0: 5960000 rects
caravel_00020006_fill_pattern_0_0: 5970000 rects
caravel_00020006_fill_pattern_0_0: 5980000 rects
caravel_00020006_fill_pattern_0_0: 5990000 rects
caravel_00020006_fill_pattern_0_0: 6000000 rects
caravel_00020006_fill_pattern_0_0: 6010000 rects
caravel_00020006_fill_pattern_0_0: 6020000 rects
caravel_00020006_fill_pattern_0_0: 6030000 rects
caravel_00020006_fill_pattern_0_0: 6040000 rects
caravel_00020006_fill_pattern_0_0: 6050000 rects
caravel_00020006_fill_pattern_0_0: 6060000 rects
caravel_00020006_fill_pattern_0_0: 6070000 rects
caravel_00020006_fill_pattern_0_0: 6080000 rects
caravel_00020006_fill_pattern_0_0: 6090000 rects
caravel_00020006_fill_pattern_0_0: 6100000 rects
caravel_00020006_fill_pattern_0_0: 6110000 rects
caravel_00020006_fill_pattern_0_0: 6120000 rects
caravel_00020006_fill_pattern_0_0: 6130000 rects
caravel_00020006_fill_pattern_0_0: 6140000 rects
caravel_00020006_fill_pattern_0_0: 6150000 rects
caravel_00020006_fill_pattern_0_0: 6160000 rects
caravel_00020006_fill_pattern_0_0: 6170000 rects
caravel_00020006_fill_pattern_0_0: 6180000 rects
caravel_00020006_fill_pattern_0_0: 6190000 rects
caravel_00020006_fill_pattern_0_0: 6200000 rects
caravel_00020006_fill_pattern_0_0: 6210000 rects
caravel_00020006_fill_pattern_0_0: 6220000 rects
CIF output style is now "wafflefill(tiled)"
Generating output for cell caravel_00020006_fill_pattern_0_0
caravel_00020006_fill_pattern_3_1: 3240000 rects
caravel_00020006_fill_pattern_3_1: 3250000 rects
caravel_00020006_fill_pattern_3_1: 3260000 rects
caravel_00020006_fill_pattern_3_1: 3270000 rects
caravel_00020006_fill_pattern_3_1: 3280000 rects
caravel_00020006_fill_pattern_3_1: 3290000 rects
caravel_00020006_fill_pattern_3_1: 3300000 rects
caravel_00020006_fill_pattern_3_1: 3310000 rects
caravel_00020006_fill_pattern_3_1: 3320000 rects
caravel_00020006_fill_pattern_3_1: 3330000 rects
caravel_00020006_fill_pattern_3_1: 3340000 rects
caravel_00020006_fill_pattern_3_1: 3350000 rects
caravel_00020006_fill_pattern_3_1: 3360000 rects
caravel_00020006_fill_pattern_3_1: 3370000 rects
caravel_00020006_fill_pattern_3_1: 3380000 rects
caravel_00020006_fill_pattern_3_1: 3390000 rects
caravel_00020006_fill_pattern_3_1: 3400000 rects
caravel_00020006_fill_pattern_3_1: 3410000 rects
caravel_00020006_fill_pattern_3_1: 3420000 rects
caravel_00020006_fill_pattern_3_1: 3430000 rects
caravel_00020006_fill_pattern_3_1: 3440000 rects
caravel_00020006_fill_pattern_3_1: 3450000 rects
caravel_00020006_fill_pattern_3_1: 3460000 rects
caravel_00020006_fill_pattern_3_1: 3470000 rects
caravel_00020006_fill_pattern_3_1: 3480000 rects
caravel_00020006_fill_pattern_3_1: 3490000 rects
caravel_00020006_fill_pattern_3_1: 3500000 rects
caravel_00020006_fill_pattern_3_1: 3510000 rects
caravel_00020006_fill_pattern_3_1: 3520000 rects
caravel_00020006_fill_pattern_3_1: 3530000 rects
caravel_00020006_fill_pattern_3_1: 3540000 rects
caravel_00020006_fill_pattern_3_1: 3550000 rects
caravel_00020006_fill_pattern_3_1: 3560000 rects
caravel_00020006_fill_pattern_3_1: 3570000 rects
caravel_00020006_fill_pattern_3_1: 3580000 rects
caravel_00020006_fill_pattern_3_1: 3590000 rects
caravel_00020006_fill_pattern_3_1: 3600000 rects
caravel_00020006_fill_pattern_3_1: 3610000 rects
caravel_00020006_fill_pattern_3_1: 3620000 rects
caravel_00020006_fill_pattern_3_1: 3630000 rects
caravel_00020006_fill_pattern_3_1: 3640000 rects
caravel_00020006_fill_pattern_3_1: 3650000 rects
caravel_00020006_fill_pattern_3_1: 3660000 rects
caravel_00020006_fill_pattern_3_1: 3670000 rects
caravel_00020006_fill_pattern_3_1: 3680000 rects
caravel_00020006_fill_pattern_3_1: 3690000 rects
caravel_00020006_fill_pattern_3_1: 3700000 rects
caravel_00020006_fill_pattern_3_1: 3710000 rects
caravel_00020006_fill_pattern_3_1: 3720000 rects
caravel_00020006_fill_pattern_3_1: 3730000 rects
caravel_00020006_fill_pattern_3_1: 3740000 rects
caravel_00020006_fill_pattern_3_1: 3750000 rects
caravel_00020006_fill_pattern_3_1: 3760000 rects
caravel_00020006_fill_pattern_3_1: 3770000 rects
caravel_00020006_fill_pattern_3_1: 3780000 rects
caravel_00020006_fill_pattern_3_1: 3790000 rects
caravel_00020006_fill_pattern_3_1: 3800000 rects
caravel_00020006_fill_pattern_3_1: 3810000 rects
caravel_00020006_fill_pattern_3_1: 3820000 rects
caravel_00020006_fill_pattern_3_1: 3830000 rects
caravel_00020006_fill_pattern_3_1: 3840000 rects
caravel_00020006_fill_pattern_3_1: 3850000 rects
caravel_00020006_fill_pattern_3_1: 3860000 rects
caravel_00020006_fill_pattern_3_1: 3870000 rects
caravel_00020006_fill_pattern_3_1: 3880000 rects
caravel_00020006_fill_pattern_3_1: 3890000 rects
caravel_00020006_fill_pattern_3_1: 3900000 rects
caravel_00020006_fill_pattern_3_1: 3910000 rects
caravel_00020006_fill_pattern_3_1: 3920000 rects
caravel_00020006_fill_pattern_3_1: 3930000 rects
caravel_00020006_fill_pattern_3_1: 3940000 rects
CIF output style is now "wafflefill(tiled)"
Generating output for cell caravel_00020006_fill_pattern_3_1
caravel_00020006_fill_pattern_3_0: 4920000 rects
caravel_00020006_fill_pattern_3_0: 4930000 rects
caravel_00020006_fill_pattern_3_0: 4940000 rects
caravel_00020006_fill_pattern_3_0: 4950000 rects
caravel_00020006_fill_pattern_3_0: 4960000 rects
caravel_00020006_fill_pattern_3_0: 4970000 rects
caravel_00020006_fill_pattern_3_0: 4980000 rects
caravel_00020006_fill_pattern_3_0: 4990000 rects
caravel_00020006_fill_pattern_3_0: 5000000 rects
caravel_00020006_fill_pattern_3_0: 5010000 rects
caravel_00020006_fill_pattern_3_0: 5020000 rects
caravel_00020006_fill_pattern_3_0: 5030000 rects
caravel_00020006_fill_pattern_3_0: 5040000 rects
caravel_00020006_fill_pattern_3_0: 5050000 rects
caravel_00020006_fill_pattern_3_0: 5060000 rects
caravel_00020006_fill_pattern_3_0: 5070000 rects
caravel_00020006_fill_pattern_3_0: 5080000 rects
caravel_00020006_fill_pattern_3_0: 5090000 rects
caravel_00020006_fill_pattern_3_0: 5100000 rects
caravel_00020006_fill_pattern_3_0: 5110000 rects
caravel_00020006_fill_pattern_3_0: 5120000 rects
caravel_00020006_fill_pattern_3_0: 5130000 rects
caravel_00020006_fill_pattern_3_0: 5140000 rects
caravel_00020006_fill_pattern_3_0: 5150000 rects
caravel_00020006_fill_pattern_3_0: 5160000 rects
caravel_00020006_fill_pattern_3_0: 5170000 rects
caravel_00020006_fill_pattern_3_0: 5180000 rects
caravel_00020006_fill_pattern_3_0: 5190000 rects
caravel_00020006_fill_pattern_3_0: 5200000 rects
caravel_00020006_fill_pattern_3_0: 5210000 rects
caravel_00020006_fill_pattern_3_0: 5220000 rects
caravel_00020006_fill_pattern_3_0: 5230000 rects
caravel_00020006_fill_pattern_3_0: 5240000 rects
caravel_00020006_fill_pattern_3_0: 5250000 rects
caravel_00020006_fill_pattern_3_0: 5260000 rects
caravel_00020006_fill_pattern_3_0: 5270000 rects
caravel_00020006_fill_pattern_3_0: 5280000 rects
caravel_00020006_fill_pattern_3_0: 5290000 rects
caravel_00020006_fill_pattern_3_0: 5300000 rects
caravel_00020006_fill_pattern_3_0: 5310000 rects
caravel_00020006_fill_pattern_3_0: 5320000 rects
caravel_00020006_fill_pattern_3_0: 5330000 rects
caravel_00020006_fill_pattern_3_0: 5340000 rects
caravel_00020006_fill_pattern_3_0: 5350000 rects
caravel_00020006_fill_pattern_3_0: 5360000 rects
caravel_00020006_fill_pattern_3_0: 5370000 rects
caravel_00020006_fill_pattern_3_0: 5380000 rects
caravel_00020006_fill_pattern_3_0: 5390000 rects
caravel_00020006_fill_pattern_3_0: 5400000 rects
caravel_00020006_fill_pattern_3_0: 5410000 rects
caravel_00020006_fill_pattern_3_0: 5420000 rects
caravel_00020006_fill_pattern_3_0: 5430000 rects
caravel_00020006_fill_pattern_3_0: 5440000 rects
caravel_00020006_fill_pattern_3_0: 5450000 rects
caravel_00020006_fill_pattern_3_0: 5460000 rects
caravel_00020006_fill_pattern_3_0: 5470000 rects
caravel_00020006_fill_pattern_3_0: 5480000 rects
caravel_00020006_fill_pattern_3_0: 5490000 rects
caravel_00020006_fill_pattern_3_0: 5500000 rects
caravel_00020006_fill_pattern_3_0: 5510000 rects
caravel_00020006_fill_pattern_3_0: 5520000 rects
caravel_00020006_fill_pattern_3_0: 5530000 rects
caravel_00020006_fill_pattern_3_0: 5540000 rects
caravel_00020006_fill_pattern_3_0: 5550000 rects
caravel_00020006_fill_pattern_3_0: 5560000 rects
caravel_00020006_fill_pattern_3_0: 5570000 rects
caravel_00020006_fill_pattern_3_0: 5580000 rects
caravel_00020006_fill_pattern_3_0: 5590000 rects
caravel_00020006_fill_pattern_3_0: 5600000 rects
caravel_00020006_fill_pattern_3_0: 5610000 rects
caravel_00020006_fill_pattern_3_0: 5620000 rects
caravel_00020006_fill_pattern_3_0: 5630000 rects
caravel_00020006_fill_pattern_3_0: 5640000 rects
caravel_00020006_fill_pattern_3_0: 5650000 rects
caravel_00020006_fill_pattern_3_0: 5660000 rects
caravel_00020006_fill_pattern_3_0: 5670000 rects
caravel_00020006_fill_pattern_3_0: 5680000 rects
caravel_00020006_fill_pattern_3_0: 5690000 rects
caravel_00020006_fill_pattern_3_0: 5700000 rects
caravel_00020006_fill_pattern_3_0: 5710000 rects
caravel_00020006_fill_pattern_3_0: 5720000 rects
caravel_00020006_fill_pattern_3_0: 5730000 rects
caravel_00020006_fill_pattern_3_0: 5740000 rects
caravel_00020006_fill_pattern_3_0: 5750000 rects
caravel_00020006_fill_pattern_3_0: 5760000 rects
caravel_00020006_fill_pattern_3_0: 5770000 rects
caravel_00020006_fill_pattern_3_0: 5780000 rects
caravel_00020006_fill_pattern_3_0: 5790000 rects
caravel_00020006_fill_pattern_3_0: 5800000 rects
caravel_00020006_fill_pattern_3_0: 5810000 rects
caravel_00020006_fill_pattern_3_0: 5820000 rects
caravel_00020006_fill_pattern_3_0: 5830000 rects
caravel_00020006_fill_pattern_3_0: 5840000 rects
caravel_00020006_fill_pattern_3_0: 5850000 rects
caravel_00020006_fill_pattern_3_0: 5860000 rects
caravel_00020006_fill_pattern_3_0: 5870000 rects
caravel_00020006_fill_pattern_3_0: 5880000 rects
caravel_00020006_fill_pattern_3_0: 5890000 rects
caravel_00020006_fill_pattern_3_0: 5900000 rects
caravel_00020006_fill_pattern_3_0: 5910000 rects
caravel_00020006_fill_pattern_3_0: 5920000 rects
caravel_00020006_fill_pattern_3_0: 5930000 rects
caravel_00020006_fill_pattern_3_0: 5940000 rects
caravel_00020006_fill_pattern_3_0: 5950000 rects
caravel_00020006_fill_pattern_3_0: 5960000 rects
caravel_00020006_fill_pattern_3_0: 5970000 rects
caravel_00020006_fill_pattern_3_0: 5980000 rects
caravel_00020006_fill_pattern_3_0: 5990000 rects
CIF output style is now "wafflefill(tiled)"
Generating output for cell caravel_00020006_fill_pattern_3_0
caravel_00020006_fill_pattern_2_1: 3240000 rects
caravel_00020006_fill_pattern_2_1: 3250000 rects
caravel_00020006_fill_pattern_2_1: 3260000 rects
caravel_00020006_fill_pattern_2_1: 3270000 rects
caravel_00020006_fill_pattern_2_1: 3280000 rects
caravel_00020006_fill_pattern_2_1: 3290000 rects
caravel_00020006_fill_pattern_2_1: 3300000 rects
caravel_00020006_fill_pattern_2_1: 3310000 rects
caravel_00020006_fill_pattern_2_1: 3320000 rects
caravel_00020006_fill_pattern_2_1: 3330000 rects
caravel_00020006_fill_pattern_2_1: 3340000 rects
caravel_00020006_fill_pattern_2_1: 3350000 rects
caravel_00020006_fill_pattern_2_1: 3360000 rects
caravel_00020006_fill_pattern_2_1: 3370000 rects
caravel_00020006_fill_pattern_2_1: 3380000 rects
caravel_00020006_fill_pattern_2_1: 3390000 rects
caravel_00020006_fill_pattern_2_1: 3400000 rects
caravel_00020006_fill_pattern_2_1: 3410000 rects
caravel_00020006_fill_pattern_2_1: 3420000 rects
caravel_00020006_fill_pattern_2_1: 3430000 rects
caravel_00020006_fill_pattern_2_1: 3440000 rects
caravel_00020006_fill_pattern_2_1: 3450000 rects
caravel_00020006_fill_pattern_2_1: 3460000 rects
caravel_00020006_fill_pattern_2_1: 3470000 rects
caravel_00020006_fill_pattern_2_1: 3480000 rects
caravel_00020006_fill_pattern_2_1: 3490000 rects
caravel_00020006_fill_pattern_2_1: 3500000 rects
caravel_00020006_fill_pattern_2_1: 3510000 rects
caravel_00020006_fill_pattern_2_1: 3520000 rects
caravel_00020006_fill_pattern_2_1: 3530000 rects
caravel_00020006_fill_pattern_2_1: 3540000 rects
caravel_00020006_fill_pattern_2_1: 3550000 rects
caravel_00020006_fill_pattern_2_1: 3560000 rects
caravel_00020006_fill_pattern_2_1: 3570000 rects
caravel_00020006_fill_pattern_2_1: 3580000 rects
caravel_00020006_fill_pattern_2_1: 3590000 rects
caravel_00020006_fill_pattern_2_1: 3600000 rects
caravel_00020006_fill_pattern_2_1: 3610000 rects
caravel_00020006_fill_pattern_2_1: 3620000 rects
caravel_00020006_fill_pattern_2_1: 3630000 rects
caravel_00020006_fill_pattern_2_1: 3640000 rects
caravel_00020006_fill_pattern_2_1: 3650000 rects
caravel_00020006_fill_pattern_2_1: 3660000 rects
caravel_00020006_fill_pattern_2_1: 3670000 rects
caravel_00020006_fill_pattern_2_1: 3680000 rects
caravel_00020006_fill_pattern_2_1: 3690000 rects
caravel_00020006_fill_pattern_2_1: 3700000 rects
caravel_00020006_fill_pattern_2_1: 3710000 rects
caravel_00020006_fill_pattern_2_1: 3720000 rects
caravel_00020006_fill_pattern_2_1: 3730000 rects
caravel_00020006_fill_pattern_2_1: 3740000 rects
caravel_00020006_fill_pattern_2_1: 3750000 rects
caravel_00020006_fill_pattern_2_1: 3760000 rects
caravel_00020006_fill_pattern_2_1: 3770000 rects
caravel_00020006_fill_pattern_2_1: 3780000 rects
caravel_00020006_fill_pattern_2_1: 3790000 rects
caravel_00020006_fill_pattern_2_1: 3800000 rects
caravel_00020006_fill_pattern_2_1: 3810000 rects
caravel_00020006_fill_pattern_2_1: 3820000 rects
caravel_00020006_fill_pattern_2_1: 3830000 rects
caravel_00020006_fill_pattern_2_1: 3840000 rects
caravel_00020006_fill_pattern_2_1: 3850000 rects
caravel_00020006_fill_pattern_2_1: 3860000 rects
caravel_00020006_fill_pattern_2_1: 3870000 rects
caravel_00020006_fill_pattern_2_1: 3880000 rects
caravel_00020006_fill_pattern_2_1: 3890000 rects
caravel_00020006_fill_pattern_2_1: 3900000 rects
caravel_00020006_fill_pattern_2_1: 3910000 rects
caravel_00020006_fill_pattern_2_1: 3920000 rects
caravel_00020006_fill_pattern_2_1: 3930000 rects
caravel_00020006_fill_pattern_2_1: 3940000 rects
caravel_00020006_fill_pattern_2_1: 3950000 rects
caravel_00020006_fill_pattern_2_1: 3960000 rects
caravel_00020006_fill_pattern_2_1: 3970000 rects
caravel_00020006_fill_pattern_2_1: 3980000 rects
caravel_00020006_fill_pattern_2_1: 3990000 rects
caravel_00020006_fill_pattern_2_1: 4000000 rects
caravel_00020006_fill_pattern_2_1: 4010000 rects
caravel_00020006_fill_pattern_2_1: 4020000 rects
caravel_00020006_fill_pattern_2_1: 4030000 rects
caravel_00020006_fill_pattern_2_1: 4040000 rects
caravel_00020006_fill_pattern_2_1: 4050000 rects
caravel_00020006_fill_pattern_2_1: 4060000 rects
caravel_00020006_fill_pattern_2_1: 4070000 rects
caravel_00020006_fill_pattern_2_1: 4080000 rects
caravel_00020006_fill_pattern_2_1: 4090000 rects
caravel_00020006_fill_pattern_2_1: 4100000 rects
caravel_00020006_fill_pattern_2_1: 4110000 rects
caravel_00020006_fill_pattern_2_1: 4120000 rects
caravel_00020006_fill_pattern_2_1: 4130000 rects
caravel_00020006_fill_pattern_2_1: 4140000 rects
caravel_00020006_fill_pattern_2_1: 4150000 rects
caravel_00020006_fill_pattern_2_1: 4160000 rects
caravel_00020006_fill_pattern_2_1: 4170000 rects
caravel_00020006_fill_pattern_2_1: 4180000 rects
caravel_00020006_fill_pattern_2_1: 4190000 rects
caravel_00020006_fill_pattern_2_1: 4200000 rects
caravel_00020006_fill_pattern_2_1: 4210000 rects
caravel_00020006_fill_pattern_2_1: 4220000 rects
caravel_00020006_fill_pattern_2_1: 4230000 rects
caravel_00020006_fill_pattern_2_1: 4240000 rects
caravel_00020006_fill_pattern_2_1: 4250000 rects
caravel_00020006_fill_pattern_2_1: 4260000 rects
caravel_00020006_fill_pattern_2_1: 4270000 rects
caravel_00020006_fill_pattern_2_1: 4280000 rects
caravel_00020006_fill_pattern_2_1: 4290000 rects
caravel_00020006_fill_pattern_2_1: 4300000 rects
caravel_00020006_fill_pattern_2_1: 4310000 rects
caravel_00020006_fill_pattern_2_1: 4320000 rects
caravel_00020006_fill_pattern_2_1: 4330000 rects
caravel_00020006_fill_pattern_2_1: 4340000 rects
caravel_00020006_fill_pattern_2_1: 4350000 rects
caravel_00020006_fill_pattern_2_1: 4360000 rects
caravel_00020006_fill_pattern_2_1: 4370000 rects
caravel_00020006_fill_pattern_2_1: 4380000 rects
caravel_00020006_fill_pattern_2_1: 4390000 rects
caravel_00020006_fill_pattern_2_1: 4400000 rects
caravel_00020006_fill_pattern_2_1: 4410000 rects
caravel_00020006_fill_pattern_2_1: 4420000 rects
caravel_00020006_fill_pattern_2_1: 4430000 rects
caravel_00020006_fill_pattern_2_1: 4440000 rects
caravel_00020006_fill_pattern_2_1: 4450000 rects
caravel_00020006_fill_pattern_2_1: 4460000 rects
caravel_00020006_fill_pattern_2_1: 4470000 rects
caravel_00020006_fill_pattern_2_1: 4480000 rects
caravel_00020006_fill_pattern_2_1: 4490000 rects
caravel_00020006_fill_pattern_2_1: 4500000 rects
caravel_00020006_fill_pattern_2_1: 4510000 rects
caravel_00020006_fill_pattern_2_1: 4520000 rects
caravel_00020006_fill_pattern_2_1: 4530000 rects
caravel_00020006_fill_pattern_2_1: 4540000 rects
caravel_00020006_fill_pattern_2_1: 4550000 rects
caravel_00020006_fill_pattern_2_1: 4560000 rects
caravel_00020006_fill_pattern_2_1: 4570000 rects
caravel_00020006_fill_pattern_2_1: 4580000 rects
caravel_00020006_fill_pattern_2_1: 4590000 rects
caravel_00020006_fill_pattern_2_1: 4600000 rects
caravel_00020006_fill_pattern_2_1: 4610000 rects
caravel_00020006_fill_pattern_2_1: 4620000 rects
caravel_00020006_fill_pattern_2_1: 4630000 rects
caravel_00020006_fill_pattern_2_1: 4640000 rects
caravel_00020006_fill_pattern_2_1: 4650000 rects
caravel_00020006_fill_pattern_2_1: 4660000 rects
caravel_00020006_fill_pattern_2_1: 4670000 rects
caravel_00020006_fill_pattern_2_1: 4680000 rects
caravel_00020006_fill_pattern_2_1: 4690000 rects
caravel_00020006_fill_pattern_2_1: 4700000 rects
caravel_00020006_fill_pattern_2_1: 4710000 rects
caravel_00020006_fill_pattern_2_1: 4720000 rects
caravel_00020006_fill_pattern_2_1: 4730000 rects
caravel_00020006_fill_pattern_2_1: 4740000 rects
caravel_00020006_fill_pattern_2_1: 4750000 rects
caravel_00020006_fill_pattern_2_1: 4760000 rects
caravel_00020006_fill_pattern_2_1: 4770000 rects
caravel_00020006_fill_pattern_2_1: 4780000 rects
caravel_00020006_fill_pattern_2_1: 4790000 rects
caravel_00020006_fill_pattern_2_1: 4800000 rects
caravel_00020006_fill_pattern_2_1: 4810000 rects
caravel_00020006_fill_pattern_2_1: 4820000 rects
CIF output style is now "wafflefill(tiled)"
Generating output for cell caravel_00020006_fill_pattern_2_1
caravel_00020006_fill_pattern_2_0: 4920000 rects
caravel_00020006_fill_pattern_2_0: 4930000 rects
caravel_00020006_fill_pattern_2_0: 4940000 rects
caravel_00020006_fill_pattern_2_0: 4950000 rects
caravel_00020006_fill_pattern_2_0: 4960000 rects
caravel_00020006_fill_pattern_2_0: 4970000 rects
caravel_00020006_fill_pattern_2_0: 4980000 rects
caravel_00020006_fill_pattern_2_0: 4990000 rects
caravel_00020006_fill_pattern_2_0: 5000000 rects
caravel_00020006_fill_pattern_2_0: 5010000 rects
caravel_00020006_fill_pattern_2_0: 5020000 rects
caravel_00020006_fill_pattern_2_0: 5030000 rects
caravel_00020006_fill_pattern_2_0: 5040000 rects
caravel_00020006_fill_pattern_2_0: 5050000 rects
caravel_00020006_fill_pattern_2_0: 5060000 rects
caravel_00020006_fill_pattern_2_0: 5070000 rects
caravel_00020006_fill_pattern_2_0: 5080000 rects
caravel_00020006_fill_pattern_2_0: 5090000 rects
caravel_00020006_fill_pattern_2_0: 5100000 rects
caravel_00020006_fill_pattern_2_0: 5110000 rects
caravel_00020006_fill_pattern_2_0: 5120000 rects
caravel_00020006_fill_pattern_2_0: 5130000 rects
caravel_00020006_fill_pattern_2_0: 5140000 rects
caravel_00020006_fill_pattern_2_0: 5150000 rects
caravel_00020006_fill_pattern_2_0: 5160000 rects
caravel_00020006_fill_pattern_2_0: 5170000 rects
caravel_00020006_fill_pattern_2_0: 5180000 rects
caravel_00020006_fill_pattern_2_0: 5190000 rects
caravel_00020006_fill_pattern_2_0: 5200000 rects
caravel_00020006_fill_pattern_2_0: 5210000 rects
caravel_00020006_fill_pattern_2_0: 5220000 rects
caravel_00020006_fill_pattern_2_0: 5230000 rects
caravel_00020006_fill_pattern_2_0: 5240000 rects
caravel_00020006_fill_pattern_2_0: 5250000 rects
caravel_00020006_fill_pattern_2_0: 5260000 rects
caravel_00020006_fill_pattern_2_0: 5270000 rects
caravel_00020006_fill_pattern_2_0: 5280000 rects
caravel_00020006_fill_pattern_2_0: 5290000 rects
caravel_00020006_fill_pattern_2_0: 5300000 rects
caravel_00020006_fill_pattern_2_0: 5310000 rects
caravel_00020006_fill_pattern_2_0: 5320000 rects
caravel_00020006_fill_pattern_2_0: 5330000 rects
caravel_00020006_fill_pattern_2_0: 5340000 rects
caravel_00020006_fill_pattern_2_0: 5350000 rects
caravel_00020006_fill_pattern_2_0: 5360000 rects
caravel_00020006_fill_pattern_2_0: 5370000 rects
caravel_00020006_fill_pattern_2_0: 5380000 rects
caravel_00020006_fill_pattern_2_0: 5390000 rects
caravel_00020006_fill_pattern_2_0: 5400000 rects
caravel_00020006_fill_pattern_2_0: 5410000 rects
caravel_00020006_fill_pattern_2_0: 5420000 rects
caravel_00020006_fill_pattern_2_0: 5430000 rects
caravel_00020006_fill_pattern_2_0: 5440000 rects
caravel_00020006_fill_pattern_2_0: 5450000 rects
caravel_00020006_fill_pattern_2_0: 5460000 rects
caravel_00020006_fill_pattern_2_0: 5470000 rects
caravel_00020006_fill_pattern_2_0: 5480000 rects
caravel_00020006_fill_pattern_2_0: 5490000 rects
caravel_00020006_fill_pattern_2_0: 5500000 rects
caravel_00020006_fill_pattern_2_0: 5510000 rects
caravel_00020006_fill_pattern_2_0: 5520000 rects
caravel_00020006_fill_pattern_2_0: 5530000 rects
caravel_00020006_fill_pattern_2_0: 5540000 rects
caravel_00020006_fill_pattern_2_0: 5550000 rects
caravel_00020006_fill_pattern_2_0: 5560000 rects
caravel_00020006_fill_pattern_2_0: 5570000 rects
caravel_00020006_fill_pattern_2_0: 5580000 rects
caravel_00020006_fill_pattern_2_0: 5590000 rects
caravel_00020006_fill_pattern_2_0: 5600000 rects
caravel_00020006_fill_pattern_2_0: 5610000 rects
caravel_00020006_fill_pattern_2_0: 5620000 rects
caravel_00020006_fill_pattern_2_0: 5630000 rects
caravel_00020006_fill_pattern_2_0: 5640000 rects
caravel_00020006_fill_pattern_2_0: 5650000 rects
caravel_00020006_fill_pattern_2_0: 5660000 rects
caravel_00020006_fill_pattern_2_0: 5670000 rects
caravel_00020006_fill_pattern_2_0: 5680000 rects
caravel_00020006_fill_pattern_2_0: 5690000 rects
caravel_00020006_fill_pattern_2_0: 5700000 rects
caravel_00020006_fill_pattern_2_0: 5710000 rects
caravel_00020006_fill_pattern_2_0: 5720000 rects
caravel_00020006_fill_pattern_2_0: 5730000 rects
caravel_00020006_fill_pattern_2_0: 5740000 rects
caravel_00020006_fill_pattern_2_0: 5750000 rects
caravel_00020006_fill_pattern_2_0: 5760000 rects
caravel_00020006_fill_pattern_2_0: 5770000 rects
caravel_00020006_fill_pattern_2_0: 5780000 rects
caravel_00020006_fill_pattern_2_0: 5790000 rects
caravel_00020006_fill_pattern_2_0: 5800000 rects
caravel_00020006_fill_pattern_2_0: 5810000 rects
caravel_00020006_fill_pattern_2_0: 5820000 rects
caravel_00020006_fill_pattern_2_0: 5830000 rects
caravel_00020006_fill_pattern_2_0: 5840000 rects
caravel_00020006_fill_pattern_2_0: 5850000 rects
caravel_00020006_fill_pattern_2_0: 5860000 rects
caravel_00020006_fill_pattern_2_0: 5870000 rects
caravel_00020006_fill_pattern_2_0: 5880000 rects
caravel_00020006_fill_pattern_2_0: 5890000 rects
caravel_00020006_fill_pattern_2_0: 5900000 rects
caravel_00020006_fill_pattern_2_0: 5910000 rects
caravel_00020006_fill_pattern_2_0: 5920000 rects
caravel_00020006_fill_pattern_2_0: 5930000 rects
caravel_00020006_fill_pattern_2_0: 5940000 rects
caravel_00020006_fill_pattern_2_0: 5950000 rects
caravel_00020006_fill_pattern_2_0: 5960000 rects
caravel_00020006_fill_pattern_2_0: 5970000 rects
caravel_00020006_fill_pattern_2_0: 5980000 rects
caravel_00020006_fill_pattern_2_0: 5990000 rects
caravel_00020006_fill_pattern_2_0: 6000000 rects
caravel_00020006_fill_pattern_2_0: 6010000 rects
caravel_00020006_fill_pattern_2_0: 6020000 rects
caravel_00020006_fill_pattern_2_0: 6030000 rects
caravel_00020006_fill_pattern_2_0: 6040000 rects
caravel_00020006_fill_pattern_2_0: 6050000 rects
caravel_00020006_fill_pattern_2_0: 6060000 rects
caravel_00020006_fill_pattern_2_0: 6070000 rects
caravel_00020006_fill_pattern_2_0: 6080000 rects
caravel_00020006_fill_pattern_2_0: 6090000 rects
caravel_00020006_fill_pattern_2_0: 6100000 rects
caravel_00020006_fill_pattern_2_0: 6110000 rects
caravel_00020006_fill_pattern_2_0: 6120000 rects
caravel_00020006_fill_pattern_2_0: 6130000 rects
caravel_00020006_fill_pattern_2_0: 6140000 rects
caravel_00020006_fill_pattern_2_0: 6150000 rects
caravel_00020006_fill_pattern_2_0: 6160000 rects
caravel_00020006_fill_pattern_2_0: 6170000 rects
caravel_00020006_fill_pattern_2_0: 6180000 rects
caravel_00020006_fill_pattern_2_0: 6190000 rects
caravel_00020006_fill_pattern_2_0: 6200000 rects
caravel_00020006_fill_pattern_2_0: 6210000 rects
caravel_00020006_fill_pattern_2_0: 6220000 rects
caravel_00020006_fill_pattern_2_0: 6230000 rects
caravel_00020006_fill_pattern_2_0: 6240000 rects
caravel_00020006_fill_pattern_2_0: 6250000 rects
caravel_00020006_fill_pattern_2_0: 6260000 rects
caravel_00020006_fill_pattern_2_0: 6270000 rects
caravel_00020006_fill_pattern_2_0: 6280000 rects
caravel_00020006_fill_pattern_2_0: 6290000 rects
caravel_00020006_fill_pattern_2_0: 6300000 rects
caravel_00020006_fill_pattern_2_0: 6310000 rects
CIF output style is now "wafflefill(tiled)"
Generating output for cell caravel_00020006_fill_pattern_2_0
caravel_00020006_fill_pattern_1_0: 6600000 rects
caravel_00020006_fill_pattern_1_0: 6610000 rects
caravel_00020006_fill_pattern_1_0: 6620000 rects
caravel_00020006_fill_pattern_1_0: 6630000 rects
caravel_00020006_fill_pattern_1_0: 6640000 rects
caravel_00020006_fill_pattern_1_0: 6650000 rects
caravel_00020006_fill_pattern_1_0: 6660000 rects
caravel_00020006_fill_pattern_1_0: 6670000 rects
caravel_00020006_fill_pattern_1_0: 6680000 rects
caravel_00020006_fill_pattern_1_0: 6690000 rects
caravel_00020006_fill_pattern_1_0: 6700000 rects
caravel_00020006_fill_pattern_1_0: 6710000 rects
caravel_00020006_fill_pattern_1_0: 6720000 rects
caravel_00020006_fill_pattern_1_0: 6730000 rects
caravel_00020006_fill_pattern_1_0: 6740000 rects
caravel_00020006_fill_pattern_1_0: 6750000 rects
caravel_00020006_fill_pattern_1_0: 6760000 rects
caravel_00020006_fill_pattern_1_0: 6770000 rects
caravel_00020006_fill_pattern_1_0: 6780000 rects
caravel_00020006_fill_pattern_1_0: 6790000 rects
caravel_00020006_fill_pattern_1_0: 6800000 rects
caravel_00020006_fill_pattern_1_0: 6810000 rects
caravel_00020006_fill_pattern_1_0: 6820000 rects
caravel_00020006_fill_pattern_1_0: 6830000 rects
caravel_00020006_fill_pattern_1_0: 6840000 rects
caravel_00020006_fill_pattern_1_0: 6850000 rects
caravel_00020006_fill_pattern_1_0: 6860000 rects
caravel_00020006_fill_pattern_1_0: 6870000 rects
caravel_00020006_fill_pattern_1_0: 6880000 rects
caravel_00020006_fill_pattern_1_0: 6890000 rects
caravel_00020006_fill_pattern_1_0: 6900000 rects
caravel_00020006_fill_pattern_1_0: 6910000 rects
caravel_00020006_fill_pattern_1_0: 6920000 rects
caravel_00020006_fill_pattern_1_0: 6930000 rects
caravel_00020006_fill_pattern_1_0: 6940000 rects
caravel_00020006_fill_pattern_1_0: 6950000 rects
caravel_00020006_fill_pattern_1_0: 6960000 rects
caravel_00020006_fill_pattern_1_0: 6970000 rects
caravel_00020006_fill_pattern_1_0: 6980000 rects
caravel_00020006_fill_pattern_1_0: 6990000 rects
caravel_00020006_fill_pattern_1_0: 7000000 rects
caravel_00020006_fill_pattern_1_0: 7010000 rects
caravel_00020006_fill_pattern_1_0: 7020000 rects
caravel_00020006_fill_pattern_1_0: 7030000 rects
caravel_00020006_fill_pattern_1_0: 7040000 rects
caravel_00020006_fill_pattern_1_0: 7050000 rects
caravel_00020006_fill_pattern_1_0: 7060000 rects
caravel_00020006_fill_pattern_1_0: 7070000 rects
caravel_00020006_fill_pattern_1_0: 7080000 rects
caravel_00020006_fill_pattern_1_0: 7090000 rects
caravel_00020006_fill_pattern_1_0: 7100000 rects
caravel_00020006_fill_pattern_1_0: 7110000 rects
caravel_00020006_fill_pattern_1_0: 7120000 rects
caravel_00020006_fill_pattern_1_0: 7130000 rects
caravel_00020006_fill_pattern_1_0: 7140000 rects
caravel_00020006_fill_pattern_1_0: 7150000 rects
caravel_00020006_fill_pattern_1_0: 7160000 rects
caravel_00020006_fill_pattern_1_0: 7170000 rects
caravel_00020006_fill_pattern_1_0: 7180000 rects
caravel_00020006_fill_pattern_1_0: 7190000 rects
caravel_00020006_fill_pattern_1_0: 7200000 rects
caravel_00020006_fill_pattern_1_0: 7210000 rects
caravel_00020006_fill_pattern_1_0: 7220000 rects
caravel_00020006_fill_pattern_1_0: 7230000 rects
caravel_00020006_fill_pattern_1_0: 7240000 rects
caravel_00020006_fill_pattern_1_0: 7250000 rects
caravel_00020006_fill_pattern_1_0: 7260000 rects
caravel_00020006_fill_pattern_1_0: 7270000 rects
caravel_00020006_fill_pattern_1_0: 7280000 rects
caravel_00020006_fill_pattern_1_0: 7290000 rects
caravel_00020006_fill_pattern_1_0: 7300000 rects
caravel_00020006_fill_pattern_1_0: 7310000 rects
caravel_00020006_fill_pattern_1_0: 7320000 rects
caravel_00020006_fill_pattern_1_0: 7330000 rects
caravel_00020006_fill_pattern_1_0: 7340000 rects
caravel_00020006_fill_pattern_1_0: 7350000 rects
caravel_00020006_fill_pattern_1_0: 7360000 rects
caravel_00020006_fill_pattern_1_0: 7370000 rects
caravel_00020006_fill_pattern_1_0: 7380000 rects
caravel_00020006_fill_pattern_1_0: 7390000 rects
caravel_00020006_fill_pattern_1_0: 7400000 rects
caravel_00020006_fill_pattern_1_0: 7410000 rects
caravel_00020006_fill_pattern_1_0: 7420000 rects
caravel_00020006_fill_pattern_1_0: 7430000 rects
caravel_00020006_fill_pattern_1_0: 7440000 rects
caravel_00020006_fill_pattern_1_0: 7450000 rects
caravel_00020006_fill_pattern_1_0: 7460000 rects
caravel_00020006_fill_pattern_1_0: 7470000 rects
caravel_00020006_fill_pattern_1_0: 7480000 rects
caravel_00020006_fill_pattern_1_0: 7490000 rects
caravel_00020006_fill_pattern_1_0: 7500000 rects
caravel_00020006_fill_pattern_1_0: 7510000 rects
caravel_00020006_fill_pattern_1_0: 7520000 rects
caravel_00020006_fill_pattern_1_0: 7530000 rects
caravel_00020006_fill_pattern_1_0: 7540000 rects
CIF output style is now "wafflefill(tiled)"
Generating output for cell caravel_00020006_fill_pattern_1_0
#python3 /mnt/shuttles/shuttle/mpw-two/caravel/scripts/generate_fill.py 00020006 caravan /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip -keep 2>&1 | tee ./signoff/build/generate_fill.out
make[1]: Leaving directory `/mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip'
Generate fill completed.
-------------------------------------------------------------------------------------------
{{ STEP 3 }} fill generated for mpw-two, slot-006 : opencryo_testchip
-------------------------------------------------------------------------------------------
okfatal: ambiguous argument 'main': unknown revision or path not in the working tree.
Use '--' to separate paths from revisions, like this:
'git <command> [<revision>...] -- [<file>...]'
USER_ID is set to 00020006
PROJECT is set to caravan
All files are uncompressed!
cd /mnt/shuttles/shuttle/mpw-two/caravel && \
make uncompress
make[1]: Entering directory `/mnt/shuttles/shuttle/mpw-two/caravel'
All files are uncompressed!
make[1]: Leaving directory `/mnt/shuttles/shuttle/mpw-two/caravel'
make -f /mnt/shuttles/shuttle/mpw-two/caravel/Makefile __final
make[1]: Entering directory `/mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip'
python3 /mnt/shuttles/shuttle/mpw-two/caravel/scripts/compositor.py 00020006 caravan /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip /mnt/shuttles/shuttle/mpw-two/caravel/mag /mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/gds -keep
Building final GDS file caravel_00020006.gds
Magic 8.3 revision 234 - Compiled on Tue Nov 30 13:45:49 PST 2021.
Starting magic under Tcl interpreter
Using the terminal as the console.
Using NULL graphics device.
site.pre: In custom site.pre...
site.pre: altered 'path sys' to: . $CAD_ROOT/magic/sys/ef-lib-magic/sys/style $CAD_ROOT/magic/sys $CAD_ROOT/magic/sys/current
Processing system .magicrc file
site.def: In custom site.def...
Sourcing design .magicrc for technology sky130A ...
2 Magic internal units = 1 Lambda
Input style sky130(vendor): scaleFactor=2, multiplier=2
Scaled tech values by 2 / 1 to match internal grid scaling
Loading sky130A Device Generator Menu ...
Loading "/mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip/mag/compose_final.tcl" from command line.
caravan: 10000 rects
caravan: 20000 rects
caravan: 30000 rects
caravan: 40000 rects
caravan: 50000 rects
Scaled magic input cell advSeal_6um_gen geometry by factor of 2
Writing final GDS. . .
Scaled magic input cell seal_ring_corner_abstract geometry by factor of 2
Scaled magic input cell open_source geometry by factor of 2
Scaled magic input cell caravan_motto geometry by factor of 2
Scaled magic input cell font_22 geometry by factor of 24
Scaled magic input cell font_64 geometry by factor of 24
Scaled magic input cell font_61 geometry by factor of 24
Scaled magic input cell font_6F geometry by factor of 24
Scaled magic input cell font_52 geometry by factor of 24
Scaled magic input cell font_6E geometry by factor of 24
Scaled magic input cell font_65 geometry by factor of 24
Scaled magic input cell font_70 geometry by factor of 24
Scaled magic input cell font_4F geometry by factor of 24
Scaled magic input cell font_68 geometry by factor of 24
Scaled magic input cell font_54 geometry by factor of 24
Scaled magic input cell font_67 geometry by factor of 24
Scaled magic input cell font_69 geometry by factor of 24
Scaled magic input cell font_76 geometry by factor of 24
Scaled magic input cell font_72 geometry by factor of 24
Scaled magic input cell font_44 geometry by factor of 24
Scaled magic input cell font_73 geometry by factor of 24
Scaled magic input cell font_6C geometry by factor of 24
Scaled magic input cell font_62 geometry by factor of 24
Scaled magic input cell font_66 geometry by factor of 24
Scaled magic input cell font_29 geometry by factor of 24
Scaled magic input cell font_43 geometry by factor of 24
Scaled magic input cell font_20 geometry by factor of 24
Scaled magic input cell font_28 geometry by factor of 24
Scaled magic input cell font_56 geometry by factor of 24
Scaled magic input cell font_32 geometry by factor of 24
Scaled magic input cell font_2D geometry by factor of 24
Scaled magic input cell font_4B geometry by factor of 24
Scaled magic input cell font_50 geometry by factor of 24
Scaled magic input cell font_74 geometry by factor of 24
Scaled magic input cell font_57 geometry by factor of 24
Scaled magic input cell font_6B geometry by factor of 24
Scaled magic input cell font_79 geometry by factor of 24
Scaled magic input cell font_53 geometry by factor of 24
Scaled magic input cell font_47 geometry by factor of 24
Scaled magic input cell font_31 geometry by factor of 24
Scaled magic input cell font_30 geometry by factor of 24
Scaled magic input cell font_6D geometry by factor of 24
Scaled magic input cell font_4E geometry by factor of 24
Scaled magic input cell user_id_textblock geometry by factor of 2
Scaled magic input cell alpha_0 geometry by factor of 2
Scaled magic input cell user_analog_project_wrapper geometry by factor of 2
Processing timestamp mismatches: sky130_fd_io__top_xres4v2, sky130_ef_io__vssd_lvc_clamped_pad, sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um, sky130_ef_io__vccd_lvc_clamped_pad, sky130_ef_io__vssd_lvc_clamped3_pad, sky130_ef_io__vdda_hvc_clamped_pad, sky130_ef_io__vddio_hvc_clamped_pad, sky130_ef_io__gpiov2_pad_wrapped, sky130_ef_io__vccd_lvc_clamped3_pad, sky130_ef_io__vssio_hvc_clamped_pad, sky130_ef_io__disconnect_vdda_slice_5um, sky130_ef_io__top_power_hvc, sky130_ef_io__vssa_hvc_clamped_pad, sky130_ef_io__analog_pad, sky130_ef_io__com_bus_slice_10um, sky130_ef_io__com_bus_slice_20um, sky130_ef_io__com_bus_slice_1um, sky130_ef_io__com_bus_slice_5um, sky130_ef_io__corner_pad, chip_io_alt, sky130_fd_sc_hd__decap_4, sky130_fd_sc_hd__tapvpwrvgnd_1, sky130_fd_sc_hd__decap_3, sky130_fd_sc_hd__fill_1, sky130_fd_sc_hd__decap_12, sky130_fd_sc_hd__fill_2, sky130_fd_sc_hd__conb_1, sky130_fd_sc_hd__decap_6, spare_logic_block, mgmt_protect, gpio_defaults_block, gpio_control_block, user_id_programming, digital_pll, housekeeping, caravel_clocking, xres_buf, seal_ring_corner_abstract.
Generating output for cell advSeal_6um_gen
Reading "sealring_slots".
Reading "seal_ring_slots_array".
Reading "sr_polygon00011".
Reading "sr_polygon00039".
Reading "sr_polygon00035".
Reading "sr_polygon00015".
Reading "sr_polygon00007".
Reading "sr_polygon00001".
Reading "sr_polygon00002".
Reading "sr_polygon00003".
Reading "sr_polygon00004".
Reading "sr_polygon00005".
Reading "sr_polygon00006".
Reading "nikon_sealring_shape".
Reading "sr_polygon00019".
Reading "sr_polygon00023".
Reading "sr_polygon00027".
Reading "sr_polygon00031".
Reading "sr_polygon00036".
Reading "sr_polygon00032".
Reading "sr_polygon00016".
Reading "sr_polygon00020".
Reading "sr_polygon00024".
Reading "sr_polygon00028".
Reading "seal_ring_corner".
Reading "advSeal_6um_gen".
Generating output for cell caravel_00020006_fill_pattern
Reading "caravel_00020006_fill_pattern_0_0".
Reading "caravel_00020006_fill_pattern_1_0".
Reading "caravel_00020006_fill_pattern_0_1".
Reading "caravel_00020006_fill_pattern_1_1".
Reading "caravel_00020006_fill_pattern_2_0".
Reading "caravel_00020006_fill_pattern_2_1".
Reading "caravel_00020006_fill_pattern_3_0".
Reading "caravel_00020006_fill_pattern_3_1".
Reading "caravel_00020006_fill_pattern_4_0".
Reading "caravel_00020006_fill_pattern_4_1".
Reading "caravel_00020006_fill_pattern_5_0".
Reading "caravel_00020006_fill_pattern_5_1".
Reading "caravel_00020006_fill_pattern_0_2".
Reading "caravel_00020006_fill_pattern_1_2".
Reading "caravel_00020006_fill_pattern_2_2".
Reading "caravel_00020006_fill_pattern_3_2".
Reading "caravel_00020006_fill_pattern_4_2".
Reading "caravel_00020006_fill_pattern_5_2".
Reading "caravel_00020006_fill_pattern_0_3".
Reading "caravel_00020006_fill_pattern_1_3".
Reading "caravel_00020006_fill_pattern_2_3".
Reading "caravel_00020006_fill_pattern_3_3".
Reading "caravel_00020006_fill_pattern_4_3".
Reading "caravel_00020006_fill_pattern_5_3".
Reading "caravel_00020006_fill_pattern_0_4".
Reading "caravel_00020006_fill_pattern_1_4".
Reading "caravel_00020006_fill_pattern_2_4".
Reading "caravel_00020006_fill_pattern_3_4".
Reading "caravel_00020006_fill_pattern_4_4".
Reading "caravel_00020006_fill_pattern_5_4".
Reading "caravel_00020006_fill_pattern_0_5".
Reading "caravel_00020006_fill_pattern_1_5".
Reading "caravel_00020006_fill_pattern_2_5".
Reading "caravel_00020006_fill_pattern_3_5".
Reading "caravel_00020006_fill_pattern_4_5".
Reading "caravel_00020006_fill_pattern_5_5".
Reading "caravel_00020006_fill_pattern_0_6".
Reading "caravel_00020006_fill_pattern_1_6".
Reading "caravel_00020006_fill_pattern_2_6".
Reading "caravel_00020006_fill_pattern_3_6".
Reading "caravel_00020006_fill_pattern_4_6".
Reading "caravel_00020006_fill_pattern_5_6".
Reading "caravel_00020006_fill_pattern_0_7".
Reading "caravel_00020006_fill_pattern_1_7".
Reading "caravel_00020006_fill_pattern_2_7".
Reading "caravel_00020006_fill_pattern_3_7".
Reading "caravel_00020006_fill_pattern_4_7".
Reading "caravel_00020006_fill_pattern_5_7".
Reading "caravel_00020006_fill_pattern".
Generating output for cell caravan
Reading "sky130_fd_sc_hvl__decap_8".
Reading "sky130_fd_sc_hvl__diode_2".
Reading "sky130_fd_sc_hvl__decap_4".
Reading "sky130_fd_sc_hvl__fill_2".
Reading "sky130_fd_sc_hvl__fill_1".
Reading "sky130_fd_sc_hvl__lsbufhv2lv_1".
Reading "xres_buf".
Reading "open_source".
Reading "font_22".
Reading "font_64".
Reading "font_61".
Reading "font_6F".
Reading "font_52".
Reading "font_6E".
Reading "font_65".
Reading "font_70".
Reading "font_4F".
Reading "font_68".
Reading "font_54".
Reading "font_67".
Reading "font_69".
Reading "font_76".
Reading "font_72".
Reading "font_44".
Reading "caravan_motto".
Reading "caravan_logo".
Reading "font_73".
Reading "font_6C".
Reading "font_62".
Reading "font_66".
Reading "font_29".
Reading "font_43".
Reading "font_20".
Reading "font_28".
Reading "font_56".
Reading "font_32".
Reading "font_2D".
Reading "font_4B".
Reading "font_50".
Reading "font_74".
Reading "font_57".
Reading "font_6B".
Reading "font_79".
Reading "font_53".
Reading "font_47".
Reading "font_31".
Reading "font_30".
Reading "font_6D".
Reading "font_4E".
Reading "copyright_block_a".
Reading "alpha_2".
Reading "alpha_6".
Reading "alpha_0".
Reading "user_id_textblock".
Reading "sky130_fd_sc_hd__xor2_1".
Reading "sky130_fd_sc_hd__nand3_1".
Reading "sky130_fd_sc_hd__nor3b_2".
Reading "sky130_fd_sc_hd__xnor2_1".
Reading "sky130_fd_sc_hd__nor3b_1".
Reading "sky130_fd_sc_hd__dlygate4sd1_1".
Reading "sky130_fd_sc_hd__dfstp_4".
Reading "sky130_fd_sc_hd__dfstp_2".
Reading "sky130_fd_sc_hd__dfrtn_1".
Reading "sky130_fd_sc_hd__o211a_1".
Reading "sky130_fd_sc_hd__o211ai_4".
Reading "sky130_fd_sc_hd__a21o_1".
Reading "sky130_fd_sc_hd__o21bai_1".
Reading "sky130_fd_sc_hd__nand3b_1".
Reading "sky130_fd_sc_hd__o21ai_1".
Reading "sky130_fd_sc_hd__nor3_1".
Reading "sky130_fd_sc_hd__a21bo_1".
Reading "sky130_fd_sc_hd__nor2_1".
Reading "sky130_fd_sc_hd__o21a_1".
Reading "sky130_fd_sc_hd__dfxtp_1".
Reading "sky130_fd_sc_hd__dfrtp_4".
Reading "sky130_fd_sc_hd__dfstp_1".
Reading "sky130_fd_sc_hd__o2bb2ai_2".
Reading "sky130_fd_sc_hd__dfrtp_2".
Reading "sky130_fd_sc_hd__mux2_1".
Reading "sky130_fd_sc_hd__dfrtp_1".
Reading "sky130_fd_sc_hd__buf_1".
Reading "sky130_fd_sc_hd__or2b_1".
Reading "sky130_fd_sc_hd__clkdlybuf4s25_1".
Reading "sky130_fd_sc_hd__dlymetal6s2s_1".
Reading "sky130_fd_sc_hd__inv_4".
Reading "sky130_fd_sc_hd__clkbuf_16".
Reading "sky130_fd_sc_hd__buf_12".
Reading "sky130_fd_sc_hd__buf_2".
Reading "sky130_fd_sc_hd__clkbuf_2".
Reading "sky130_fd_sc_hd__clkinv_2".
Reading "sky130_fd_sc_hd__clkinv_4".
Reading "sky130_fd_sc_hd__and2_1".
Reading "sky130_fd_sc_hd__nand2_1".
Reading "sky130_fd_sc_hd__diode_2".
Reading "sky130_fd_sc_hd__clkbuf_4".
Reading "sky130_fd_sc_hd__clkbuf_1".
Reading "sky130_fd_sc_hd__mux2_2".
Reading "sky130_fd_sc_hd__decap_8".
Reading "sky130_fd_sc_hd__fill_2".
Reading "sky130_fd_sc_hd__nand2_2".
Reading "sky130_fd_sc_hd__conb_1".
Reading "sky130_fd_sc_hd__fill_1".
Reading "sky130_fd_sc_hd__decap_12".
Reading "sky130_fd_sc_hd__decap_4".
Reading "sky130_fd_sc_hd__decap_3".
Reading "sky130_fd_sc_hd__tapvpwrvgnd_1".
Reading "sky130_fd_sc_hd__decap_6".
Reading "sky130_fd_sc_hd__inv_2".
Reading "caravel_clocking".
Reading "sky130_fd_sc_hd__o2111ai_2".
Reading "sky130_fd_sc_hd__and4_2".
Reading "sky130_fd_sc_hd__a21o_2".
Reading "sky130_fd_sc_hd__a21bo_2".
Reading "sky130_fd_sc_hd__o221a_2".
Reading "sky130_fd_sc_hd__o22ai_2".
Reading "sky130_fd_sc_hd__o221ai_2".
Reading "sky130_fd_sc_hd__o22a_2".
Reading "sky130_fd_sc_hd__a221o_2".
Reading "sky130_fd_sc_hd__o211a_2".
Reading "sky130_fd_sc_hd__o2bb2a_2".
Reading "sky130_fd_sc_hd__a22o_2".
Reading "sky130_fd_sc_hd__a32o_2".
Reading "sky130_fd_sc_hd__o32a_2".
Reading "sky130_fd_sc_hd__o21ai_2".
Reading "sky130_fd_sc_hd__and3_2".
Reading "sky130_fd_sc_hd__or4_2".
Reading "sky130_fd_sc_hd__or3_2".
Reading "sky130_fd_sc_hd__or2_2".
Reading "sky130_fd_sc_hd__o311a_2".
Reading "sky130_fd_sc_hd__einvp_2".
Reading "sky130_fd_sc_hd__clkinv_1".
Reading "sky130_fd_sc_hd__einvn_8".
Reading "sky130_fd_sc_hd__einvn_4".
Reading "sky130_fd_sc_hd__o21a_2".
Reading "sky130_fd_sc_hd__and2_2".
Reading "sky130_fd_sc_hd__o31a_2".
Reading "sky130_fd_sc_hd__o41a_2".
Reading "sky130_fd_sc_hd__a31o_2".
Reading "sky130_fd_sc_hd__einvp_1".
Reading "sky130_fd_sc_hd__a2bb2o_2".
Reading "sky130_fd_sc_hd__a311o_2".
Reading "sky130_fd_sc_hd__a21oi_2".
Reading "sky130_fd_sc_hd__a22oi_2".
Reading "sky130_fd_sc_hd__clkinv_8".
Reading "sky130_fd_sc_hd__nor2_2".
Reading "digital_pll".
Reading "sky130_fd_sc_hd__ebufn_8".
Reading "sky130_fd_sc_hd__or2_1".
Reading "sky130_fd_sc_hd__dfbbn_1".
Reading "sky130_fd_sc_hd__buf_6".
Reading "sky130_fd_sc_hd__einvp_8".
Reading "gpio_logic_high".
Reading "gpio_control_block".
Reading "sky130_fd_sc_hd__a221o_1".
Reading "sky130_fd_sc_hd__or4bb_1".
Reading "sky130_fd_sc_hd__or4b_1".
Reading "sky130_fd_sc_hd__or4_1".
Reading "sky130_fd_sc_hd__nand4_1".
Reading "sky130_fd_sc_hd__nand4bb_1".
Reading "sky130_fd_sc_hd__a22o_1".
Reading "sky130_fd_sc_hd__or3_1".
Reading "sky130_fd_sc_hd__o22a_1".
Reading "sky130_fd_sc_hd__o2bb2a_1".
Reading "sky130_fd_sc_hd__or3_4".
Reading "sky130_fd_sc_hd__mux2_8".
Reading "sky130_fd_sc_hd__a22oi_4".
Reading "sky130_fd_sc_hd__or3b_1".
Reading "sky130_fd_sc_hd__a2bb2o_1".
Reading "sky130_fd_sc_hd__and3_1".
Reading "sky130_fd_sc_hd__a211o_1".
Reading "sky130_fd_sc_hd__a21oi_1".
Reading "sky130_fd_sc_hd__or2_4".
Reading "sky130_fd_sc_hd__or4bb_4".
Reading "sky130_fd_sc_hd__o22ai_1".
Reading "sky130_fd_sc_hd__o32a_1".
Reading "sky130_fd_sc_hd__o31ai_4".
Reading "sky130_fd_sc_hd__or2b_2".
Reading "sky130_fd_sc_hd__clkinvlp_2".
Reading "sky130_fd_sc_hd__a311o_1".
Reading "sky130_fd_sc_hd__and4b_1".
Reading "sky130_fd_sc_hd__o221a_1".
Reading "sky130_fd_sc_hd__nor3_4".
Reading "sky130_fd_sc_hd__or4_4".
Reading "sky130_fd_sc_hd__or4b_2".
Reading "sky130_fd_sc_hd__nor2_4".
Reading "sky130_fd_sc_hd__a31o_1".
Reading "sky130_fd_sc_hd__nor4_1".
Reading "sky130_fd_sc_hd__a32o_1".
Reading "sky130_fd_sc_hd__ebufn_2".
Reading "sky130_fd_sc_hd__or3b_2".
Reading "sky130_fd_sc_hd__a22oi_1".
Reading "sky130_fd_sc_hd__mux2_4".
Reading "sky130_fd_sc_hd__a41o_1".
Reading "sky130_fd_sc_hd__o22ai_4".
Reading "sky130_fd_sc_hd__a41o_2".
Reading "sky130_fd_sc_hd__a311oi_1".
Reading "sky130_fd_sc_hd__o21ba_1".
Reading "sky130_fd_sc_hd__o2111ai_1".
Reading "sky130_fd_sc_hd__o311a_1".
Reading "sky130_fd_sc_hd__a211o_4".
Reading "sky130_fd_sc_hd__o221ai_1".
Reading "sky130_fd_sc_hd__o31a_1".
Reading "sky130_fd_sc_hd__o22a_4".
Reading "sky130_fd_sc_hd__o211ai_1".
Reading "sky130_fd_sc_hd__nand3_4".
Reading "sky130_fd_sc_hd__o2111ai_4".
Reading "sky130_fd_sc_hd__and4_1".
Reading "sky130_fd_sc_hd__o2111a_1".
Reading "sky130_fd_sc_hd__o221ai_4".
Reading "sky130_fd_sc_hd__nor4_2".
Reading "sky130_fd_sc_hd__a2111o_2".
Reading "sky130_fd_sc_hd__and3_4".
Reading "sky130_fd_sc_hd__and4bb_1".
Reading "sky130_fd_sc_hd__o211ai_2".
Reading "sky130_fd_sc_hd__o2111a_2".
Reading "sky130_fd_sc_hd__nand4_4".
Reading "sky130_fd_sc_hd__nand4b_4".
Reading "sky130_fd_sc_hd__a311oi_2".
Reading "sky130_fd_sc_hd__a2111o_1".
Reading "sky130_fd_sc_hd__nor3_2".
Reading "sky130_fd_sc_hd__nand4_2".
Reading "sky130_fd_sc_hd__or4b_4".
Reading "sky130_fd_sc_hd__and3b_1".
Reading "sky130_fd_sc_hd__o221a_4".
Reading "sky130_fd_sc_hd__or3b_4".
Reading "sky130_fd_sc_hd__o21ai_4".
Reading "sky130_fd_sc_hd__nor2_8".
Reading "sky130_fd_sc_hd__a31oi_1".
Reading "sky130_fd_sc_hd__clkbuf_8".
Reading "sky130_fd_sc_hd__inv_12".
Reading "sky130_fd_sc_hd__and2b_1".
Reading "sky130_fd_sc_hd__buf_8".
Reading "sky130_fd_sc_hd__nand2_8".
Reading "sky130_fd_sc_hd__nand2_4".
Reading "sky130_fd_sc_hd__buf_4".
Reading "sky130_fd_sc_hd__inv_6".
Reading "sky130_fd_sc_hd__inv_8".
Reading "housekeeping".
Reading "JK_sky130_fd_sc_hd__decap_3".
Reading "JK_sky130_fd_sc_hd__conb_1".
Reading "JK_sky130_fd_sc_hd__fill_1".
Reading "JK_sky130_fd_sc_hd__decap_8".
Reading "JK_sky130_fd_sc_hd__fill_2".
Reading "JK_sky130_fd_sc_hd__decap_6".
Reading "JK_sky130_fd_sc_hd__tapvpwrvgnd_1".
Reading "JK_sky130_fd_sc_hd__decap_4".
Reading "JK_sky130_fd_sc_hd__decap_12".
Reading "user_id_programming".
Reading "gpio_defaults_block_1803".
Reading "YJ_sky130_fd_pr__nfet_g5v0d10v5_TGFUGS".
Reading "YJ_sky130_fd_pr__nfet_g5v0d10v5_ZK8HQC".
Reading "YJ_sky130_fd_pr__pfet_g5v0d10v5_ZEUEFZ".
Reading "YJ_sky130_fd_pr__pfet_g5v0d10v5_3YBPVB".
Reading "YJ_sky130_fd_pr__nfet_g5v0d10v5_PKVMTM".
Reading "YJ_sky130_fd_pr__pfet_g5v0d10v5_YUHPBG".
Reading "YJ_sky130_fd_pr__pfet_g5v0d10v5_YEUEBV".
Reading "YJ_sky130_fd_pr__pfet_g5v0d10v5_YUHPXE".
Reading "YJ_sky130_fd_sc_hvl__schmittbuf_1".
Reading "YJ_sky130_fd_sc_hvl__buf_8".
Reading "YJ_sky130_fd_sc_hvl__fill_4".
Reading "YJ_sky130_fd_sc_hvl__inv_8".
Reading "YJ_sky130_fd_pr__res_xhigh_po_0p69_S5N9F3".
Reading "YJ_sky130_fd_pr__cap_mim_m3_2_W5U4AW".
Reading "YJ_sky130_fd_pr__cap_mim_m3_1_WRT4AW".
Reading "simple_por".
Reading "OA_sky130_fd_sc_hd__decap_3".
Reading "OA_sky130_fd_sc_hd__tapvpwrvgnd_1".
Reading "OA_sky130_fd_sc_hd__decap_12".
Reading "OA_sky130_fd_sc_hd__decap_6".
Reading "OA_sky130_fd_sc_hd__ebufn_2".
Reading "OA_sky130_fd_sc_hd__decap_4".
Reading "OA_sky130_fd_sc_hd__diode_2".
Reading "OA_sky130_fd_sc_hd__fill_1".
Reading "OA_sky130_fd_sc_hd__fill_2".
Reading "OA_sky130_fd_sc_hd__dfxtp_1".
Reading "OA_sky130_fd_sc_hd__decap_8".
Reading "OA_sky130_fd_sc_hd__mux2_1".
Reading "OA_sky130_fd_sc_hd__mux4_1".
Reading "OA_sky130_fd_sc_hd__inv_1".
Reading "OA_sky130_fd_sc_hd__and2_1".
Reading "OA_sky130_fd_sc_hd__dlclkp_1".
Reading "OA_sky130_fd_sc_hd__conb_1".
Reading "OA_sky130_fd_sc_hd__clkbuf_2".
Reading "OA_sky130_fd_sc_hd__clkbuf_16".
Reading "OA_sky130_fd_sc_hd__and3b_4".
Reading "OA_sky130_fd_sc_hd__and2_2".
Reading "OA_sky130_fd_sc_hd__nor3b_4".
Reading "OA_sky130_fd_sc_hd__clkbuf_1".
Reading "OA_sky130_fd_sc_hd__and4bb_2".
Reading "OA_sky130_fd_sc_hd__nor4b_2".
Reading "OA_sky130_fd_sc_hd__and3_4".
Reading "OA_sky130_fd_sc_hd__and4b_2".
Reading "OA_sky130_fd_sc_hd__and4_2".
Reading "OA_sky130_fd_sc_hd__and2b_2".
Reading "OA_sky130_fd_sc_hd__clkbuf_4".
Reading "OA_DFFRAM".
Reading "OA_sky130_fd_sc_hd__dlygate4sd3_1".
Reading "OA_sky130_fd_sc_hd__buf_8".
Reading "OA_sky130_fd_sc_hd__buf_6".
Reading "OA_sky130_fd_sc_hd__dfxtp_2".
Reading "OA_sky130_fd_sc_hd__a221o_1".
Reading "OA_sky130_fd_sc_hd__buf_2".
Reading "OA_sky130_fd_sc_hd__a22o_1".
Reading "OA_sky130_fd_sc_hd__dfxtp_4".
Reading "OA_sky130_fd_sc_hd__nand3_4".
Reading "OA_sky130_fd_sc_hd__a221o_2".
Reading "OA_sky130_fd_sc_hd__dlymetal6s2s_1".
Reading "OA_sky130_fd_sc_hd__buf_12".
Reading "OA_sky130_fd_sc_hd__a2111o_1".
Reading "OA_sky130_fd_sc_hd__and4_1".
Reading "OA_sky130_fd_sc_hd__a2111o_2".
Reading "OA_sky130_fd_sc_hd__o211a_1".
Reading "OA_sky130_fd_sc_hd__and3_1".
Reading "OA_sky130_fd_sc_hd__inv_2".
Reading "OA_sky130_fd_sc_hd__and2b_1".
Reading "OA_sky130_fd_sc_hd__nand3b_1".
Reading "OA_sky130_fd_sc_hd__a2111oi_2".
Reading "OA_sky130_fd_sc_hd__a22o_2".
Reading "OA_sky130_fd_sc_hd__a221o_4".
Reading "OA_sky130_fd_sc_hd__nor3b_1".
Reading "OA_sky130_fd_sc_hd__nor3_4".
Reading "OA_sky130_fd_sc_hd__clkinv_8".
Reading "OA_sky130_fd_sc_hd__inv_12".
Reading "OA_sky130_fd_sc_hd__and3b_1".
Reading "OA_sky130_fd_sc_hd__nor3b_2".
Reading "OA_sky130_fd_sc_hd__or2_1".
Reading "OA_sky130_fd_sc_hd__buf_4".
Reading "OA_sky130_fd_sc_hd__a211oi_1".
Reading "OA_sky130_fd_sc_hd__inv_6".
Reading "OA_sky130_fd_sc_hd__nand2_1".
Reading "OA_sky130_fd_sc_hd__nand3b_4".
Reading "OA_sky130_fd_sc_hd__nand3_1".
Reading "OA_sky130_fd_sc_hd__inv_16".
Reading "OA_sky130_fd_sc_hd__o2111a_1".
Reading "OA_sky130_fd_sc_hd__a21oi_1".
Reading "OA_sky130_fd_sc_hd__o21ai_4".
Reading "OA_sky130_fd_sc_hd__mux2_2".
Reading "OA_sky130_fd_sc_hd__inv_4".
Reading "OA_sky130_fd_sc_hd__clkinv_2".
Reading "OA_sky130_fd_sc_hd__a21bo_1".
Reading "OA_sky130_fd_sc_hd__o21a_1".
Reading "OA_sky130_fd_sc_hd__a211o_2".
Reading "OA_sky130_fd_sc_hd__or2b_1".
Reading "OA_sky130_fd_sc_hd__a21oi_4".
Reading "OA_sky130_fd_sc_hd__o21ai_1".
Reading "OA_sky130_fd_sc_hd__a21boi_1".
Reading "OA_sky130_fd_sc_hd__nor3_1".
Reading "OA_sky130_fd_sc_hd__nor2_2".
Reading "OA_sky130_fd_sc_hd__a31oi_1".
Reading "OA_sky130_fd_sc_hd__a21o_1".
Reading "OA_sky130_fd_sc_hd__o21a_2".
Reading "OA_sky130_fd_sc_hd__o21bai_1".
Reading "OA_sky130_fd_sc_hd__o211ai_1".
Reading "OA_sky130_fd_sc_hd__o31ai_1".
Reading "OA_sky130_fd_sc_hd__nor2_1".
Reading "OA_sky130_fd_sc_hd__xor2_4".
Reading "OA_sky130_fd_sc_hd__nand3_2".
Reading "OA_sky130_fd_sc_hd__o21ba_1".
Reading "OA_sky130_fd_sc_hd__a41oi_1".
Reading "OA_sky130_fd_sc_hd__nor3_2".
Reading "OA_sky130_fd_sc_hd__o41ai_1".
Reading "OA_sky130_fd_sc_hd__o21bai_2".
Reading "OA_sky130_fd_sc_hd__xnor2_4".
Reading "OA_sky130_fd_sc_hd__clkbuf_8".
Reading "OA_sky130_fd_sc_hd__a41oi_4".
Reading "OA_sky130_fd_sc_hd__xnor2_2".
Reading "OA_sky130_fd_sc_hd__or3b_1".
Reading "OA_sky130_fd_sc_hd__nand2_4".
Reading "OA_sky130_fd_sc_hd__xnor2_1".
Reading "OA_sky130_fd_sc_hd__nand2_8".
Reading "OA_sky130_fd_sc_hd__xor2_1".
Reading "OA_sky130_fd_sc_hd__nor2b_2".
Reading "OA_sky130_fd_sc_hd__o211a_2".
Reading "OA_sky130_fd_sc_hd__o31a_1".
Reading "OA_sky130_fd_sc_hd__mux2_8".
Reading "OA_sky130_fd_sc_hd__mux2_4".
Reading "OA_sky130_fd_sc_hd__a21oi_2".
Reading "OA_sky130_fd_sc_hd__a21o_2".
Reading "OA_sky130_fd_sc_hd__o21bai_4".
Reading "OA_sky130_fd_sc_hd__a211o_1".
Reading "OA_sky130_fd_sc_hd__a311o_1".
Reading "OA_sky130_fd_sc_hd__a22oi_4".
Reading "OA_sky130_fd_sc_hd__o2111a_4".
Reading "OA_sky130_fd_sc_hd__o22a_1".
Reading "OA_sky130_fd_sc_hd__a31o_1".
Reading "OA_sky130_fd_sc_hd__and4_4".
Reading "OA_sky130_fd_sc_hd__a22oi_2".
Reading "OA_sky130_fd_sc_hd__o41a_2".
Reading "OA_sky130_fd_sc_hd__nand2_2".
Reading "OA_sky130_fd_sc_hd__nor2_8".
Reading "OA_sky130_fd_sc_hd__a32oi_2".
Reading "OA_sky130_fd_sc_hd__a221oi_4".
Reading "OA_sky130_fd_sc_hd__nor2b_4".
Reading "OA_sky130_fd_sc_hd__or4_2".
Reading "OA_sky130_fd_sc_hd__a211oi_2".
Reading "OA_sky130_fd_sc_hd__clkinv_4".
Reading "OA_sky130_fd_sc_hd__a2bb2oi_1".
Reading "OA_sky130_fd_sc_hd__a31oi_4".
Reading "OA_sky130_fd_sc_hd__nand3b_2".
Reading "OA_sky130_fd_sc_hd__a21boi_2".
Reading "OA_sky130_fd_sc_hd__nor2_4".
Reading "OA_sky130_fd_sc_hd__o311ai_1".
Reading "OA_sky130_fd_sc_hd__a41oi_2".
Reading "OA_sky130_fd_sc_hd__or3b_4".
Reading "OA_sky130_fd_sc_hd__clkinv_16".
Reading "OA_sky130_fd_sc_hd__o211ai_4".
Reading "OA_sky130_fd_sc_hd__o21ba_4".
Reading "OA_sky130_fd_sc_hd__o2111ai_2".
Reading "OA_sky130_fd_sc_hd__o2111ai_1".
Reading "OA_sky130_fd_sc_hd__a32o_1".
Reading "OA_sky130_fd_sc_hd__a311oi_1".
Reading "OA_sky130_fd_sc_hd__a2111o_4".
Reading "OA_sky130_fd_sc_hd__or4_4".
Reading "OA_sky130_fd_sc_hd__o21ai_2".
Reading "OA_sky130_fd_sc_hd__a2111oi_1".
Reading "OA_sky130_fd_sc_hd__a211oi_4".
Reading "OA_sky130_fd_sc_hd__o311ai_4".
Reading "OA_sky130_fd_sc_hd__a2111oi_4".
Reading "OA_sky130_fd_sc_hd__mux4_2".
Reading "OA_sky130_fd_sc_hd__or2b_4".
Reading "OA_sky130_fd_sc_hd__o21a_4".
Reading "OA_sky130_fd_sc_hd__and4b_4".
Reading "OA_sky130_fd_sc_hd__o2111ai_4".
Reading "OA_sky130_fd_sc_hd__or2_2".
Reading "OA_sky130_fd_sc_hd__o22ai_1".
Reading "OA_sky130_fd_sc_hd__o2bb2ai_1".
Reading "OA_sky130_fd_sc_hd__a32oi_1".
Reading "OA_sky130_fd_sc_hd__inv_8".
Reading "OA_sky130_fd_sc_hd__a21boi_4".
Reading "OA_sky130_fd_sc_hd__or4_1".
Reading "OA_sky130_fd_sc_hd__o32ai_1".
Reading "OA_sky130_fd_sc_hd__a41o_1".
Reading "OA_sky130_fd_sc_hd__xor2_2".
Reading "OA_sky130_fd_sc_hd__o221ai_1".
Reading "OA_sky130_fd_sc_hd__o32a_1".
Reading "OA_sky130_fd_sc_hd__o41a_1".
Reading "OA_sky130_fd_sc_hd__a22oi_1".
Reading "OA_sky130_fd_sc_hd__and3_2".
Reading "OA_sky130_fd_sc_hd__o221a_2".
Reading "OA_sky130_fd_sc_hd__o221a_1".
Reading "OA_sky130_fd_sc_hd__and2_4".
Reading "OA_sky130_fd_sc_hd__or3_1".
Reading "OA_sky130_fd_sc_hd__o22ai_2".
Reading "OA_sky130_fd_sc_hd__a221oi_2".
Reading "OA_sky130_fd_sc_hd__a221oi_1".
Reading "OA_sky130_fd_sc_hd__o211ai_2".
Reading "OA_sky130_fd_sc_hd__o311ai_2".
Reading "OA_sky130_fd_sc_hd__o31ai_4".
Reading "OA_sky130_fd_sc_hd__a311oi_2".
Reading "OA_sky130_fd_sc_hd__a31oi_2".
Reading "OA_sky130_fd_sc_hd__o311a_2".
Reading "OA_sky130_fd_sc_hd__o41ai_4".
Reading "OA_sky130_fd_sc_hd__or3_2".
Reading "OA_sky130_fd_sc_hd__o31a_4".
Reading "OA_sky130_fd_sc_hd__o21ba_2".
Reading "OA_sky130_fd_sc_hd__a311oi_4".
Reading "OA_sky130_fd_sc_hd__and2b_4".
Reading "OA_sky130_fd_sc_hd__o2bb2ai_2".
Reading "OA_sky130_fd_sc_hd__o2bb2ai_4".
Reading "OA_sky130_fd_sc_hd__o22a_2".
Reading "OA_sky130_fd_sc_hd__and4b_1".
Reading "OA_sky130_fd_sc_hd__nand2b_1".
Reading "OA_sky130_fd_sc_hd__o2111a_2".
Reading "OA_sky130_fd_sc_hd__a2bb2oi_4".
Reading "OA_sky130_fd_sc_hd__a2bb2oi_2".
Reading "OA_sky130_fd_sc_hd__dlymetal6s4s_1".
Reading "OA_sky130_fd_sc_hd__or3_4".
Reading "OA_sky130_fd_sc_hd__a22o_4".
Reading "OA_sky130_fd_sc_hd__a32oi_4".
Reading "OA_sky130_fd_sc_hd__o311a_1".
Reading "OA_sky130_fd_sc_hd__a21o_4".
Reading "OA_sky130_fd_sc_hd__or4b_1".
Reading "OA_sky130_fd_sc_hd__a32o_4".
Reading "OA_sky130_fd_sc_hd__and3b_2".
Reading "OA_sky130_fd_sc_hd__o32ai_4".
Reading "OA_sky130_fd_sc_hd__or2b_2".
Reading "OA_sky130_fd_sc_hd__o221ai_2".
Reading "OA_sky130_fd_sc_hd__a31o_4".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_contact_39".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_contact_19".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_contact_14".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_contact_13".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_contact_7".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_contact_38".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_contact_33".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_contact_32".
Reading "OA_sky130_fd_bd_sram__openram_dff".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_row_addr_dff".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_wmask_dff".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_cr_3".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_col_addr_dff".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_data_dff".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_contact_16".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_contact_29".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_contact_28".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_contact_11".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_nmos_m1_w0_360_sli_dli_da_p".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_contact_12".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pmos_m1_w1_120_sli_dli_da_p".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pinv_1".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_nmos_m1_w0_740_sactive_dli".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_nmos_m1_w0_740_sli_dactive".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pmos_m1_w1_120_sli_dli".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pnand2_1".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_nmos_m3_w1_680_sli_dli_da_p".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pmos_m3_w1_680_sli_dli_da_p".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pinv_3".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_nmos_m2_w0_740_sli_dli_da_p".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pmos_m2_w1_260_sli_dli_da_p".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pinv_2".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_dff_buf_0".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_dff_buf_array".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_nmos_m7_w1_680_sli_dli_da_p".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pmos_m7_w2_000_sli_dli_da_p".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pinv_4".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pdriver_1".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pnand2_0".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pand2_1".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_nmos_m24_w2_000_sli_dli_da_p".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pmos_m24_w2_000_sli_dli_da_p".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pinv_14".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_nmos_m8_w2_000_sli_dli_da_p".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pmos_m8_w2_000_sli_dli_da_p".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pinv_13".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_nmos_m3_w2_000_sli_dli_da_p".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pmos_m3_w2_000_sli_dli_da_p".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pinv_12".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pinv_11".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pinv_0".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pdriver_3".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pinv_17".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_delay_chain".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_nmos_m18_w2_000_sli_dli_da_p".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pmos_m18_w2_000_sli_dli_da_p".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pinv_16".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pdriver_5".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_nmos_m1_w0_740_sactive_dactive".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pnand3".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pand3_0".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_nmos_m22_w2_000_sli_dli_da_p".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pmos_m22_w2_000_sli_dli_da_p".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pinv_15".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pdriver_4".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pand3".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_nmos_m42_w2_000_sli_dli_da_p".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pmos_m42_w2_000_sli_dli_da_p".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pinv_10".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_nmos_m15_w2_000_sli_dli_da_p".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pmos_m15_w2_000_sli_dli_da_p".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pinv_9".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_nmos_m5_w2_000_sli_dli_da_p".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pmos_m5_w2_000_sli_dli_da_p".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pinv_8".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_nmos_m2_w1_260_sli_dli_da_p".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pmos_m2_w2_000_sli_dli_da_p".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pinv_7".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pdriver_2".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_control_logic_rw".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_cr_5".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_dff_buf_array_0".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_nmos_m41_w2_000_sli_dli_da_p".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pmos_m41_w2_000_sli_dli_da_p".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pinv_20".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_nmos_m14_w2_000_sli_dli_da_p".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pmos_m14_w2_000_sli_dli_da_p".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pinv_19".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_nmos_m5_w1_680_sli_dli_da_p".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pinv_18".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pdriver_6".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_control_logic_r".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_cr_4".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_contact_20".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_contact_17".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pdriver_0".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pand2_0".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_hierarchical_predecode2x4_0".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_contact_15".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_nmos_m1_w7_000_sli_dli_da_p".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pmos_m1_w7_000_sli_dli_da_p".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pinv_dec_0".
Reading "OA_sky130_fd_bd_sram__openram_dp_nand2_dec".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_and2_dec_0".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_wordline_driver".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_wordline_driver_array".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pinv_dec".
Reading "OA_sky130_fd_bd_sram__openram_dp_nand3_dec".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_and3_dec".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_hierarchical_predecode3x8".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_and2_dec".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_hierarchical_predecode2x4".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_hierarchical_decoder".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_port_address_0".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_port_address".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_contact_27".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_contact_26".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_contact_25".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_contact_24".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_nmos_m1_w2_880_sli_dli".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_column_mux_0".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_column_mux_array_0".
Reading "OA_sky130_fd_bd_sram__openram_sense_amp".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_sense_amp_array".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_contact_23".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_contact_21".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_contact_22".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pmos_m1_w0_550_sli_dli".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_precharge_1".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_precharge_array_0".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_port_data_0".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_column_mux".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_column_mux_array".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pinv".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pdriver".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pnand2".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_pand2".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_write_mask_and_array".
Reading "OA_sky130_fd_bd_sram__openram_write_driver".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_write_driver_array".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_precharge_0".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_precharge_array".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_port_data".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_contact_9".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_contact_8".
Reading "OA_sky130_fd_bd_sram__openram_dp_cell_cap_row".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_row_cap_array_0".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_row_cap_array".
Reading "OA_sky130_fd_bd_sram__openram_dp_cell_cap_col".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_col_cap_array".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_col_cap_array_0".
Reading "OA_sky130_fd_bd_sram__openram_dp_cell_dummy".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_dummy_array".
Reading "OA_sky130_fd_bd_sram__openram_dp_cell_replica".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_replica_column_0".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_replica_column".
Reading "OA_sky130_fd_bd_sram__openram_dp_cell".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_bitcell_array".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_replica_bitcell_array".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8_bank".
Reading "OA_sky130_sram_2kbyte_1rw1r_32x512_8".
Reading "OA_sky130_fd_sc_hd__o32ai_2".
Reading "OA_sky130_fd_sc_hd__a21bo_2".
Reading "OA_sky130_fd_sc_hd__o31ai_2".
Reading "OA_sky130_fd_sc_hd__o41ai_2".
Reading "OA_sky130_fd_sc_hd__o211a_4".
Reading "OA_mgmt_core".
Reading "mgmt_core_wrapper".
Reading "sky130_fd_sc_hd__and2b_2".
Reading "sky130_fd_sc_hd__clkinv_16".
Reading "sky130_fd_sc_hd__and2_4".
Reading "sky130_fd_sc_hd__inv_16".
Reading "sky130_fd_sc_hvl__conb_1".
Reading "mgmt_protect_hv".
Reading "mprj_logic_high".
Reading "mprj2_logic_high".
Reading "mgmt_protect".
Reading "sky130_fd_sc_hd__dfbbp_1".
Reading "spare_logic_block".
Reading "gpio_defaults_block_0403".
Reading "sky130_fd_io__corner_bus_overlay".
Reading "sky130_ef_io__corner_pad".
Reading "sky130_ef_io__com_bus_slice_20um".
Reading "sky130_ef_io__com_bus_slice_5um".
Reading "sky130_ef_io__com_bus_slice_1um".
Reading "sky130_ef_io__com_bus_slice_10um".
Reading "sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um".
Reading "sky130_ef_io__hvc_vdda_overlay".
Reading "sky130_fd_io__com_bus_slice".
Reading "sky130_fd_io__com_bus_hookup".
Reading "sky130_fd_io__overlay_vssa_hvc".
Reading "sky130_fd_pr__hvdfl1sd__example_5595914180851".
Reading "sky130_fd_pr__model__nfet_highvoltage__example_55959141808664".
Reading "sky130_fd_io__sio_clamp_pcap_4x5".
Reading "sky130_fd_pr__model__nfet_highvoltage__example_55959141808680".
Reading "sky130_fd_io__esd_rcclamp_nfetcap".
Reading "sky130_fd_pr__dfl1sd__example_55959141808336".
Reading "sky130_fd_pr__dfl1sd2__example_55959141808666".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808665".
Reading "sky130_fd_pr__dfl1sd__example_55959141808678".
Reading "sky130_fd_pr__dfl1sd2__example_55959141808679".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808677".
Reading "sky130_fd_pr__via_pol1__example_5595914180839".
Reading "sky130_fd_pr__res_bent_po__example_55959141808667".
Reading "sky130_fd_pr__via_l1m1__example_55959141808660".
Reading "sky130_fd_pr__dfl1__example_55959141808663".
Reading "sky130_fd_pr__hvdftpl1s__example_55959141808671".
Reading "sky130_fd_pr__hvdftpl1s2__example_55959141808672".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808673".
Reading "sky130_fd_pr__res_bent_po__example_55959141808668".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808670".
Reading "sky130_fd_pr__dfl1__example_55959141808662".
Reading "sky130_fd_pr__via_l1m1__example_55959141808661".
Reading "sky130_fd_pr__hvdftpl1s__example_55959141808675".
Reading "sky130_fd_pr__hvdftpl1s2__example_55959141808676".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808674".
Reading "sky130_fd_pr__via_l1m1__example_559591418084".
Reading "sky130_fd_pr__res_bent_po__example_55959141808669".
Reading "sky130_fd_pr__gendlring__example_559591418081".
Reading "sky130_fd_pr__genrivetdlring__example_559591418082".
Reading "sky130_fd_pr__padplhp__example_559591418080".
Reading "sky130_fd_io__pad_esd".
Reading "sky130_fd_io__com_busses_esd".
Reading "sky130_fd_io__top_ground_hvc_wpad".
Reading "sky130_ef_io__vssa_hvc_clamped_pad".
Reading "sky130_fd_pr__hvdfm1sd2__example_55959141808717".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808716".
Reading "sky130_fd_pr__hvdfm1sd2__example_55959141808719".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808718".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808720".
Reading "sky130_fd_pr__hvdfm1sd2__example_5595914180890".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808721".
Reading "sky130_fd_pr__hvdfm1sd2__example_55959141808243".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808722".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808723".
Reading "sky130_fd_io__xres_inv_hysv2".
Reading "sky130_fd_pr__res_generic_po__example_5595914180838".
Reading "sky130_fd_io__tk_tie_r_out_esd".
Reading "sky130_fd_pr__hvdfm1sd2__example_55959141808765".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808764".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808767".
Reading "sky130_fd_io__res250_sub_small".
Reading "sky130_fd_io__res250only_small".
Reading "sky130_fd_io__tk_em1o_cdns_55959141808289".
Reading "sky130_fd_pr__via_pol1__example_5595914180833".
Reading "sky130_fd_pr__res_bent_po__example_55959141808715".
Reading "sky130_fd_pr__res_bent_po__example_5595914180862".
Reading "sky130_fd_pr__res_bent_po__example_5595914180863".
Reading "sky130_fd_io__tk_em1s_cdns_55959141808288".
Reading "sky130_fd_io__tk_em1s_cdns_5595914180859".
Reading "sky130_fd_pr__res_generic_po__example_5595914180864".
Reading "sky130_fd_pr__via_l1m1__example_55959141808264".
Reading "sky130_fd_pr__via_l1m1__example_5595914180858".
Reading "sky130_fd_io__com_res_weak_v2".
Reading "sky130_fd_pr__dfl1sd__example_5595914180819".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808555".
Reading "sky130_fd_pr__via_pol1__example_55959141808147".
Reading "sky130_fd_pr__tpl1__example_55959141808148".
Reading "sky130_fd_pr__tpl1__example_55959141808149".
Reading "sky130_fd_pr__tpl1__example_55959141808150".
Reading "sky130_fd_pr__tpl1__example_55959141808151".
Reading "sky130_fd_pr__via_l1m1__example_55959141808152".
Reading "sky130_fd_pr__via_l1m1__example_55959141808153".
Reading "sky130_fd_pr__via_l1m1__example_55959141808154".
Reading "sky130_fd_pr__via_l1m1__example_55959141808155".
Reading "sky130_fd_pr__via_l1m1__example_55959141808156".
Reading "sky130_fd_pr__via_l1m1__example_55959141808157".
Reading "sky130_fd_pr__dfl1__example_55959141808158".
Reading "sky130_fd_io__signal_5_sym_hv_local_5term".
Reading "sky130_fd_pr__via_pol1__example_55959141808274".
Reading "sky130_fd_pr__via_l1m1__example_55959141808400".
Reading "sky130_fd_pr__via_l1m1__example_55959141808128".
Reading "sky130_fd_pr__via_l1m1__example_55959141808372".
Reading "sky130_fd_pr__via_l1m1__example_55959141808326".
Reading "sky130_fd_pr__via_l1m1__example_55959141808290".
Reading "sky130_fd_pr__via_l1m1__example_55959141808127".
Reading "sky130_fd_pr__via_l1m1__example_5595914180897".
Reading "sky130_fd_pr__via_m1m2__example_55959141808551".
Reading "sky130_fd_pr__via_m1m2__example_55959141808552".
Reading "sky130_fd_pr__via_m1m2__example_55959141808350".
Reading "sky130_fd_pr__via_m1m2__example_55959141808260".
Reading "sky130_fd_pr__via_m1m2__example_55959141808402".
Reading "sky130_fd_pr__via_m1m2__example_55959141808724".
Reading "sky130_fd_pr__via_m1m2__example_55959141808259".
Reading "sky130_fd_pr__via_m1m2__example_55959141808261".
Reading "sky130_fd_pr__via_m1m2__example_55959141808553".
Reading "sky130_fd_pr__via_m1m2__example_55959141808725".
Reading "sky130_fd_pr__via_m1m2__example_55959141808554".
Reading "sky130_fd_pr__via_m1m2__example_55959141808726".
Reading "sky130_fd_pr__via_m1m2__example_55959141808727".
Reading "sky130_fd_pr__via_m1m2__example_55959141808728".
Reading "sky130_fd_io__gpio_buf_localesdv2".
Reading "sky130_fd_pr__hvdfm1sd__example_5595914180848".
Reading "sky130_fd_pr__hvdfm1sd2__example_5595914180849".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808766".
Reading "sky130_fd_pr__hvdfl1sd__example_55959141808370".
Reading "sky130_fd_pr__model__nfet_highvoltage__example_55959141808369".
Reading "sky130_fd_pr__hvdfl1sd__example_55959141808137".
Reading "sky130_fd_pr__dfl1sd__example_559591418086".
Reading "sky130_fd_pr__model__pfet_highvoltage__example_55959141808371".
Reading "sky130_fd_pr__via_pol1__example_559591418083".
Reading "sky130_fd_io__hvsbt_inv_x1".
Reading "sky130_fd_pr__hvdfl1sd2__example_55959141808385".
Reading "sky130_fd_pr__dfl1sd__example_559591418088".
Reading "sky130_fd_pr__model__nfet_highvoltage__example_55959141808615".
Reading "sky130_fd_pr__hvdfl1sd2__example_55959141808316".
Reading "sky130_fd_pr__model__pfet_highvoltage__example_55959141808616".
Reading "sky130_fd_pr__via_pol1__example_55959141808612".
Reading "sky130_fd_io__hvsbt_inv_x4".
Reading "sky130_fd_pr__model__nfet_highvoltage__example_55959141808422".
Reading "sky130_fd_pr__model__pfet_highvoltage__example_55959141808421".
Reading "sky130_fd_io__hvsbt_inv_x2".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808657".
Reading "sky130_fd_pr__hvdftpm1s2__example_55959141808659".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808658".
Reading "sky130_fd_pr__hvdfl1sd2__example_55959141808202".
Reading "sky130_fd_pr__via_l1m1_centered__example_5595914180811".
Reading "sky130_fd_pr__via_l1m1_centered__example_5595914180812".
Reading "sky130_fd_pr__via_l1m1_centered__example_559591418084".
Reading "sky130_fd_pr__via_l1m1_centered__example_559591418086".
Reading "sky130_fd_io__pfet_con_diff_wo_abt_270_xres4v2".
Reading "sky130_fd_io__tk_em2o_cdns_55959141808653".
Reading "sky130_fd_io__tk_em2s_cdns_55959141808652".
Reading "sky130_fd_pr__via_pol1_centered__example_559591418081".
Reading "sky130_fd_io__gpio_pudrvr_strong_axres4v2".
Reading "sky130_fd_pr__via_m2m3__example_55959141808714".
Reading "sky130_fd_io__xres_p_em1c_cdns_55959141808753".
Reading "sky130_fd_pr__dfl1__example_55959141808187".
Reading "sky130_fd_pr__res_generic_nd__example_55959141808754".
Reading "sky130_fd_pr__res_generic_nd__example_55959141808755".
Reading "sky130_fd_io__xres_tk_p_em1o_cdns_55959141808756".
Reading "sky130_fd_io__xres_tk_p_em1o_cdns_55959141808757".
Reading "sky130_fd_io__xres_tk_p_em1o_cdns_55959141808758".
Reading "sky130_fd_io__xres_tk_p_em1c_cdns_55959141808759".
Reading "sky130_fd_io__xres_tk_p_em1c_cdns_55959141808760".
Reading "sky130_fd_io__xres_tk_p_em1c_cdns_55959141808761".
Reading "sky130_fd_pr__hvdfl1sd__example_55959141808700".
Reading "sky130_fd_pr__hvdfl1sd2__example_55959141808559".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808762".
Reading "sky130_fd_pr__hvdfl1sd__example_55959141808338".
Reading "sky130_fd_pr__hvdfl1sd2__example_55959141808337".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808763".
Reading "sky130_fd_pr__dfl1__example_55959141808729".
Reading "sky130_fd_pr__via_m1m2__example_55959141808730".
Reading "sky130_fd_pr__via_m1m2__example_55959141808731".
Reading "sky130_fd_pr__via_m1m2__example_55959141808732".
Reading "sky130_fd_pr__via_m1m2__example_55959141808733".
Reading "sky130_fd_pr__via_m1m2__example_55959141808734".
Reading "sky130_fd_pr__via_m1m2__example_55959141808735".
Reading "sky130_fd_pr__via_m1m2__example_55959141808736".
Reading "sky130_fd_pr__via_m1m2__example_55959141808737".
Reading "sky130_fd_pr__via_m1m2__example_55959141808738".
Reading "sky130_fd_pr__via_m1m2__example_55959141808739".
Reading "sky130_fd_pr__via_m1m2__example_55959141808740".
Reading "sky130_fd_pr__via_pol1__example_55959141808273".
Reading "sky130_fd_pr__via_l1m1__example_55959141808741".
Reading "sky130_fd_pr__via_l1m1__example_55959141808742".
Reading "sky130_fd_pr__via_l1m1__example_55959141808743".
Reading "sky130_fd_pr__via_l1m1__example_55959141808744".
Reading "sky130_fd_pr__via_l1m1__example_55959141808324".
Reading "sky130_fd_pr__via_l1m1__example_55959141808745".
Reading "sky130_fd_pr__via_l1m1__example_55959141808746".
Reading "sky130_fd_pr__via_l1m1__example_55959141808747".
Reading "sky130_fd_pr__via_l1m1__example_55959141808748".
Reading "sky130_fd_pr__via_l1m1__example_55959141808749".
Reading "sky130_fd_pr__via_l1m1__example_55959141808270".
Reading "sky130_fd_pr__via_l1m1__example_55959141808750".
Reading "sky130_fd_pr__via_l1m1__example_55959141808751".
Reading "sky130_fd_pr__via_l1m1__example_55959141808752".
Reading "sky130_fd_io__xres2v2_rcfilter_lpfv2".
Reading "sky130_fd_io__tk_em1o_cdns_5595914180860".
Reading "sky130_fd_pr__res_bent_po__example_5595914180861".
Reading "sky130_fd_io__com_res_weak_bentbigres".
Reading "sky130_fd_io__com_res_weak".
Reading "sky130_fd_pr__via_l1m1__example_5595914180857".
Reading "sky130_fd_pr__hvdftpl1s__example_55959141808646".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808645".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808647".
Reading "sky130_fd_pr__hvdftpm1s2__example_55959141808649".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808648".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808650".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808651".
Reading "sky130_fd_pr__hvdfl1sd2__example_55959141808378".
Reading "sky130_fd_io__nfet_con_diff_wo_abt_270_xres4v2".
Reading "sky130_fd_io__gpio_pddrvr_strong_xres4v2".
Reading "sky130_fd_io__top_gpio_pad".
Reading "sky130_fd_io__com_busses".
Reading "sky130_fd_io__tap_1".
Reading "sky130_fd_io__inv_1".
Reading "sky130_fd_pr__res_bent_po__example_55959141808768".
Reading "sky130_fd_pr__res_bent_nd__example_55959141808769".
Reading "sky130_fd_pr__pfet_01v8__example_559591418085".
Reading "sky130_fd_pr__nfet_01v8__example_559591418087".
Reading "sky130_fd_pr__nfet_01v8__example_559591418089".
Reading "sky130_fd_io__hvsbt_nand2".
Reading "sky130_fd_pr__hvdfm1sd2__example_5595914180827".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808770".
Reading "sky130_fd_pr__hvdfm1sd__example_55959141808233".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808771".
Reading "sky130_fd_pr__hvdfm1sd__example_55959141808237".
Reading "sky130_fd_pr__hvdfm1sd2__example_5595914180829".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808772".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808773".
Reading "sky130_fd_pr__hvdfm1sd__example_55959141808242".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808774".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808775".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808776".
Reading "sky130_fd_pr__hvdfm1sd__example_5595914180835".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808777".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808778".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808779".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808780".
Reading "sky130_fd_pr__hvdfm1sd__example_55959141808782".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808781".
Reading "sky130_fd_pr__hvdfm1sd__example_55959141808452".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808783".
Reading "sky130_fd_pr__hvdfm1sd2__example_55959141808449".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808784".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808785".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808786".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808787".
Reading "sky130_fd_io__xres4v2_in_buf".
Reading "sky130_fd_io__top_xres4v2".
Reading "sky130_fd_io__com_bus_slice_m4".
Reading "sky130_fd_io__overlay_gpiov2_m4".
Reading "sky130_fd_io__overlay_gpiov2".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808558".
Reading "sky130_fd_pr__hvdfm1sd2__example_55959141808251".
Reading "sky130_fd_pr__dfm1sd2__example_55959141808561".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808560".
Reading "sky130_fd_pr__hvdfm1sd2__example_55959141808563".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808562".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808564".
Reading "sky130_fd_io__amux_switch_1v2b".
Reading "sky130_fd_pr__dfl1sd__example_5595914180868".
Reading "sky130_fd_pr__dfl1sd2__example_5595914180869".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808565".
Reading "sky130_fd_pr__dfl1sd2__example_5595914180875".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808566".
Reading "sky130_fd_io__gpiov2_amx_pucsd_inv".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808567".
Reading "sky130_fd_pr__hvdfl1sd__example_55959141808122".
Reading "sky130_fd_pr__dfl1sd__example_55959141808123".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808477".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808568".
Reading "sky130_fd_pr__dfl1sd2__example_5595914180884".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808569".
Reading "sky130_fd_pr__hvdfl1sd__example_55959141808100".
Reading "sky130_fd_pr__dfl1sd__example_5595914180815".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808570".
Reading "sky130_fd_io__gpiov2_amux_drvr_lshv2hv2".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808571".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808441".
Reading "sky130_fd_io__gpiov2_amx_inv4".
Reading "sky130_fd_io__gpiov2_amux_drvr_lshv2hv".
Reading "sky130_fd_pr__dfl1sd__example_5595914180823".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808572".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808573".
Reading "sky130_fd_pr__dfl1sd__example_55959141808106".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808574".
Reading "sky130_fd_pr__dfl1sd__example_55959141808510".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808575".
Reading "sky130_fd_io__amx_inv1".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808576".
Reading "sky130_fd_pr__dfl1sd__example_55959141808504".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808577".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808578".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808579".
Reading "sky130_fd_pr__hvdfm1sd__example_55959141808581".
Reading "sky130_fd_pr__hvdfl1sd__example_55959141808418".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808580".
Reading "sky130_fd_pr__hvdfl1sd2__example_55959141808140".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808496".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808497".
Reading "sky130_fd_pr__hvdfl1sd__example_55959141808278".
Reading "sky130_fd_pr__hvdfl1sd2__example_55959141808462".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808582".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808583".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808498".
Reading "sky130_fd_io__gpiov2_amux_drvr_ls".
Reading "sky130_fd_io__gpiov2_amux_drvr".
Reading "sky130_fd_io__xor2_1".
Reading "sky130_fd_io__nand2_1".
Reading "sky130_fd_io__nor2_1".
Reading "sky130_fd_pr__model__nfet_highvoltage__example_55959141808419".
Reading "sky130_fd_pr__model__pfet_highvoltage__example_55959141808420".
Reading "sky130_fd_io__hvsbt_nor".
Reading "sky130_fd_pr__hvdfl1sd__example_5595914180894".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808584".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808455".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808445".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808447".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808248".
Reading "sky130_fd_pr__hvdfm1sd__example_55959141808200".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808457".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808450".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808451".
Reading "sky130_fd_io__gpiov2_amux_nand5".
Reading "sky130_fd_pr__dfm1sd__example_55959141808258".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808585".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808446".
Reading "sky130_fd_io__gpiov2_amux_nand4".
Reading "sky130_fd_io__gpiov2_amux_decoder".
Reading "sky130_fd_pr__model__nfet_highvoltage__example_55959141808586".
Reading "sky130_fd_pr__model__nfet_highvoltage__example_55959141808587".
Reading "sky130_fd_pr__hvdfl1sd__example_55959141808476".
Reading "sky130_fd_pr__model__pfet_highvoltage__example_55959141808588".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808475".
Reading "sky130_fd_pr__hvdfl1sd__example_55959141808115".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808589".
Reading "sky130_fd_io__gpiov2_amux_ctl_lshv2hv2".
Reading "sky130_fd_io__gpiov2_amux_ctl_lshv2hv".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808460".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808590".
Reading "sky130_fd_pr__dfl1sd2__example_5595914180816".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808463".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808464".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808465".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808466".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808467".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808468".
Reading "sky130_fd_io__gpiov2_amux_ctl_ls".
Reading "sky130_fd_io__gpiov2_amux_ctl_inv_1".
Reading "sky130_fd_io__gpiov2_amux_ls".
Reading "sky130_fd_io__gpiov2_amux_ctl_logic".
Reading "sky130_fd_pr__hvdfl1sd2__example_55959141808178".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808591".
Reading "sky130_fd_pr__hvdfl1sd2__example_55959141808488".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808592".
Reading "sky130_fd_pr__hvdfl1sd2__example_55959141808306".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808593".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808594".
Reading "sky130_fd_io__res75only_small".
Reading "sky130_fd_io__gpiov2_amux".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808608".
Reading "sky130_fd_pr__dfl1sd__example_55959141808517".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808533".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808230".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808529".
Reading "sky130_fd_pr__dfl1sd2__example_55959141808518".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808609".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808604".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808610".
Reading "sky130_fd_pr__dfl1sd__example_5595914180811".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808549".
Reading "sky130_fd_pr__dfl1sd__example_55959141808190".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808548".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808611".
Reading "sky130_fd_pr__dfl1sd2__example_55959141808191".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808189".
Reading "sky130_fd_io__gpiov2_in_buf".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808481".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808537".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808600".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808528".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808550".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808607".
Reading "sky130_fd_io__gpiov2_ipath_hvls".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808601".
Reading "sky130_fd_pr__nfet_01v8__example_5595914180825".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808602".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808603".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808527".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808535".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808540".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808598".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808605".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808596".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808606".
Reading "sky130_fd_io__gpiov2_vcchib_in_buf".
Reading "sky130_fd_pr__dfl1sd2__example_5595914180812".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808597".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808599".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808546".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808547".
Reading "sky130_fd_io__gpiov2_ipath_lvls".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808595".
Reading "sky130_fd_io__gpiov2_inbuf_lvinv_x1".
Reading "sky130_fd_io__gpiov2_ibuf_se".
Reading "sky130_fd_io__gpiov2_buf_localesd".
Reading "sky130_fd_io__hvsbt_nand2v2".
Reading "sky130_fd_io__gpiov2_ictl_logic".
Reading "sky130_fd_io__gpiov2_ipath".
Reading "sky130_fd_pr__model__nfet_highvoltage__example_55959141808613".
Reading "sky130_fd_pr__model__pfet_highvoltage__example_55959141808614".
Reading "sky130_fd_io__hvsbt_inv_x8v2".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808423".
Reading "sky130_fd_pr__hvdfl1sd__example_55959141808280".
Reading "sky130_fd_pr__hvdfl1sd2__example_55959141808425".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808424".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808426".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808379".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808380".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808382".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808383".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808427".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808428".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808429".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808430".
Reading "sky130_fd_pr__hvdfl1sd__example_55959141808102".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808431".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808432".
Reading "sky130_fd_pr__hvdfl1sd__example_55959141808434".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808433".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808435".
Reading "sky130_fd_pr__via_l1m1__example_55959141808440".
Reading "sky130_fd_pr__via_l1m1__example_5595914180878".
Reading "sky130_fd_pr__via_pol1__example_55959141808394".
Reading "sky130_fd_pr__via_pol1__example_55959141808298".
Reading "sky130_fd_io__com_ctl_ls".
Reading "sky130_fd_io__hvsbt_inv_x8".
Reading "sky130_fd_io__com_ctl_hldv2".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808617".
Reading "sky130_fd_io__com_ctl_ls_en_1_v2".
Reading "sky130_fd_io__com_ctl_ls_v2".
Reading "sky130_fd_io__tk_em2o_cdns_55959141808439".
Reading "sky130_fd_io__tk_em2s_cdns_55959141808438".
Reading "sky130_fd_io__com_ctl_ls_1v2".
Reading "sky130_fd_pr__pfet_01v8__example_5595914180813".
Reading "sky130_fd_pr__pfet_01v8__example_5595914180822".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808618".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808619".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808620".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808621".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808622".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808623".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808116".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808624".
Reading "sky130_fd_io__com_ctl_lsv2".
Reading "sky130_fd_io__tk_em1o_cdns_5595914180880".
Reading "sky130_fd_io__tk_em1o_cdns_5595914180879".
Reading "sky130_fd_io__tk_em1s_cdns_5595914180882".
Reading "sky130_fd_io__tk_em1s_cdns_5595914180881".
Reading "sky130_fd_io__gpiov2_ctl_lsbank".
Reading "sky130_fd_io__gpiov2_ctl".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808375".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808376".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808377".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808381".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808384".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808386".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808387".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808388".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808389".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808390".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808391".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808392".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808393".
Reading "sky130_fd_pr__via_pol1__example_55959141808373".
Reading "sky130_fd_pr__via_pol1__example_55959141808272".
Reading "sky130_fd_pr__via_m1m2__example_55959141808271".
Reading "sky130_fd_pr__tpl1__example_55959141808374".
Reading "sky130_fd_io__gpio_dat_ls_1v2".
Reading "sky130_fd_io__gpio_dat_lsv2".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808360".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808403".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808362".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808404".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808405".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808406".
Reading "sky130_fd_pr__hvdfl1sd__example_55959141808194".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808346".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808407".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808408".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808409".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808410".
Reading "sky130_fd_pr__via_pol1__example_55959141808294".
Reading "sky130_fd_pr__via_pol1__example_55959141808322".
Reading "sky130_fd_pr__via_pol1__example_55959141808395".
Reading "sky130_fd_pr__via_pol1__example_55959141808396".
Reading "sky130_fd_pr__via_pol1__example_55959141808397".
Reading "sky130_fd_pr__via_pol1__example_55959141808295".
Reading "sky130_fd_pr__via_pol1__example_55959141808398".
Reading "sky130_fd_pr__via_l1m1__example_55959141808399".
Reading "sky130_fd_pr__via_l1m1__example_55959141808325".
Reading "sky130_fd_pr__via_l1m1__example_55959141808292".
Reading "sky130_fd_pr__via_l1m1__example_55959141808269".
Reading "sky130_fd_pr__via_l1m1__example_55959141808401".
Reading "sky130_fd_io__com_cclat".
Reading "sky130_fd_pr__via_l1m1__example_55959141808368".
Reading "sky130_fd_pr__tpl1__example_55959141808625".
Reading "sky130_fd_io__com_opath_datoev2".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808416".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808417".
Reading "sky130_fd_io__hvsbt_xor".
Reading "sky130_fd_io__hvsbt_xorv2".
Reading "sky130_fd_io__com_ctl_ls_octl".
Reading "sky130_fd_io__gpiov2_octl".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808354".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808626".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808627".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808628".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808629".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808630".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808631".
Reading "sky130_fd_io__gpiov2_pdpredrvr_strong_nr2".
Reading "sky130_fd_pr__dfl1sd2__example_55959141808633".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808632".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808634".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808635".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808636".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808637".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808638".
Reading "sky130_fd_io__gpiov2_pdpredrvr_strong_nr3".
Reading "sky130_fd_io__tk_em1o_cdns_55959141808327".
Reading "sky130_fd_io__tk_em1o_cdns_55959141808328".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808329".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808330".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808304".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808331".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808134".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808332".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808333".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808334".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808343".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808344".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808345".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808347".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808348".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808639".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808640".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808641".
Reading "sky130_fd_pr__via_pol1__example_55959141808320".
Reading "sky130_fd_pr__via_pol1__example_55959141808321".
Reading "sky130_fd_pr__via_l1m1__example_55959141808323".
Reading "sky130_fd_pr__via_l1m1__example_55959141808291".
Reading "sky130_fd_io__com_pdpredrvr_pbiasv2".
Reading "sky130_fd_pr__nfet_01v8__example_5595914180888".
Reading "sky130_fd_io__gpiov2_octl_mux".
Reading "sky130_fd_pr__model__pfet_highvoltage__example_55959141808642".
Reading "sky130_fd_pr__model__pfet_highvoltage__example_55959141808141".
Reading "sky130_fd_pr__model__pfet_highvoltage__example_55959141808184".
Reading "sky130_fd_pr__model__nfet_highvoltage__example_55959141808643".
Reading "sky130_fd_pr__model__nfet_highvoltage__example_55959141808183".
Reading "sky130_fd_pr__model__nfet_highvoltage__example_55959141808139".
Reading "sky130_fd_io__gpiov2_pdpredrvr_strong".
Reading "sky130_fd_pr__hvdfl1sd2__example_55959141808143".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808364".
Reading "sky130_fd_io__com_pdpredrvr_strong_slowv2".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808363".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808314".
Reading "sky130_fd_pr__via_pol1__example_5595914180854".
Reading "sky130_fd_io__com_pupredrvr_strong_slowv2".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808644".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808281".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808282".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808287".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808283".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808284".
Reading "sky130_fd_pr__res_generic_po__example_55959141808285".
Reading "sky130_fd_pr__res_generic_po__example_55959141808286".
Reading "sky130_fd_pr__via_pol1__example_55959141808275".
Reading "sky130_fd_pr__via_m1m2__example_55959141808276".
Reading "sky130_fd_io__gpiov2_pupredrvr_strong_nd2_a".
Reading "sky130_fd_io__gpiov2_pupredrvr_strong_nd2".
Reading "sky130_fd_io__tk_em1s_cdns_55959141808301".
Reading "sky130_fd_io__tk_em1o_cdns_55959141808302".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808303".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808305".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808307".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808308".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808309".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808310".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808311".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808312".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808313".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808315".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808317".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808318".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808319".
Reading "sky130_fd_pr__via_l1m1__example_55959141808293".
Reading "sky130_fd_pr__via_pol1__example_55959141808296".
Reading "sky130_fd_pr__via_pol1__example_55959141808297".
Reading "sky130_fd_pr__tpl1__example_55959141808299".
Reading "sky130_fd_pr__tpl1__example_55959141808300".
Reading "sky130_fd_io__feascom_pupredrvr_nbiasv2".
Reading "sky130_fd_pr__model__nfet_highvoltage__example_55959141808144".
Reading "sky130_fd_pr__model__nfet_highvoltage__example_5595914180899".
Reading "sky130_fd_pr__model__pfet_highvoltage__example_55959141808142".
Reading "sky130_fd_pr__model__pfet_highvoltage__example_55959141808101".
Reading "sky130_fd_io__gpio_pupredrvr_strongv2".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808365".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808366".
Reading "sky130_fd_io__com_pdpredrvr_weakv2".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808361".
Reading "sky130_fd_io__feas_com_pupredrvr_weak".
Reading "sky130_fd_pr__via_l1m1__example_55959141808266".
Reading "sky130_fd_pr__via_l1m1__example_55959141808267".
Reading "sky130_fd_io__gpiov2_obpredrvr".
Reading "sky130_fd_io__gpiov2_octl_dat".
Reading "sky130_fd_pr__via_l1m1_centered__example_559591418082".
Reading "sky130_fd_pr__via_l1m1_centered__example_559591418083".
Reading "sky130_fd_io__nfet_con_diff_wo_abt_270v2".
Reading "sky130_fd_io__gpiov2_pddrvr_strong".
Reading "sky130_fd_pr__hvdfm1sd__example_55959141808655".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808654".
Reading "sky130_fd_io__com_pudrvr_strong_slowv2".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808656".
Reading "sky130_fd_io__com_pudrvr_weakv2".
Reading "sky130_fd_pr__nfet_01v8__example_5595914180850".
Reading "sky130_fd_io__gpio_pddrvr_weakv2".
Reading "sky130_fd_pr__via_l1m1_centered__example_559591418085".
Reading "sky130_fd_io__pfet_con_diff_wo_abt_270v2".
Reading "sky130_fd_io__gpio_pudrvr_strongv2".
Reading "sky130_fd_io__gpio_pddrvr_strong_slowv2".
Reading "sky130_fd_io__tk_em1s_cdns_5595914180852".
Reading "sky130_fd_pr__res_generic_po__example_5595914180853".
Reading "sky130_fd_pr__res_generic_po__example_5595914180855".
Reading "sky130_fd_pr__res_generic_po__example_5595914180856".
Reading "sky130_fd_pr__via_l1m1__example_5595914180832".
Reading "sky130_fd_pr__via_pol1_centered__example_559591418080".
Reading "sky130_fd_io__gpio_odrvr_subv2".
Reading "sky130_fd_io__gpio_odrvrv2".
Reading "sky130_fd_io__gpio_opathv2".
Reading "sky130_fd_io__top_gpiov2".
Reading "sky130_ef_io__gpiov2_pad".
Reading "sky130_ef_io__gpiov2_pad_wrapped".
Reading "sky130_ef_io__lvc_vccdx_overlay".
Reading "sky130_fd_io__overlay_vssd_lvc".
Reading "sky130_fd_pr__via_l1m1__example_55959141808683".
Reading "sky130_fd_io__gnd2gnd_strap".
Reading "sky130_fd_io__gnd2gnd_tap".
Reading "sky130_fd_io__gnd2gnd_diff".
Reading "sky130_fd_pr__via_l1m1__example_55959141808684".
Reading "sky130_fd_pr__tpl1__example_55959141808685".
Reading "sky130_fd_pr__tpl1__example_55959141808686".
Reading "sky130_fd_io__gnd2gnd_sub_dnwl".
Reading "sky130_fd_io__gnd2gnd_120x2_lv_isosub".
Reading "sky130_fd_pr__pfet_01v8__example_55959141808687".
Reading "sky130_fd_pr__res_bent_po__example_55959141808691".
Reading "sky130_fd_pr__res_bent_po__example_55959141808690".
Reading "sky130_fd_pr__dftpl1s2__example_55959141808702".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808705".
Reading "sky130_fd_pr__dfl1__example_55959141808682".
Reading "sky130_fd_pr__dftpl1s2__example_55959141808694".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808693".
Reading "sky130_fd_pr__dfl1__example_55959141808681".
Reading "sky130_fd_pr__res_bent_po__example_55959141808688".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808696".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808697".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808698".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808699".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808695".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808704".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808703".
Reading "sky130_fd_pr__nfet_01v8__example_55959141808701".
Reading "sky130_fd_pr__res_bent_po__example_55959141808692".
Reading "sky130_fd_pr__res_bent_po__example_55959141808689".
Reading "sky130_fd_io__top_ground_lvc_wpad".
Reading "sky130_ef_io__vssd_lvc_clamped_pad".
Reading "sky130_ef_io__hvc_vssio_overlay".
Reading "sky130_fd_io__overlay_vssio_hvc".
Reading "sky130_ef_io__vssio_hvc_clamped_pad".
Reading "sky130_fd_io__overlay_vdda_hvc".
Reading "sky130_fd_io__hvc_clampv2".
Reading "sky130_fd_io__top_power_hvc_wpadv2".
Reading "sky130_ef_io__vdda_hvc_clamped_pad".
Reading "sky130_fd_io__overlay_vccd_lvc".
Reading "sky130_fd_io__top_power_lvc_wpad".
Reading "sky130_ef_io__vccd_lvc_clamped_pad".
Reading "sky130_ef_io__disconnect_vdda_slice_5um".
Reading "sky130_ef_io__hvc_vddio_overlay".
Reading "sky130_fd_io__overlay_vddio_hvc".
Reading "sky130_ef_io__vddio_hvc_clamped_pad".
Reading "sky130_ef_io__lvc_vccdy_overlay".
Reading "sky130_ef_io__vssd_lvc_clamped3_pad".
Reading "sky130_ef_io__vccd_lvc_clamped3_pad".
Reading "sky130_fd_io__simple_pad_and_busses".
Reading "sky130_ef_io__analog_pad".
Reading "sky130_ef_io__top_power_hvc".
Reading "chip_io_alt".
Reading "gpio_control_power_routing_right".
Reading "gpio_control_power_routing".
Reading "caravan_power_routing".
Reading "TM_char".
Reading "TM_inv".
Reading "TM_ringosc".
Reading "TM_indVCO".
Reading "user_analog_project_wrapper".
Reading "caravan".
Generating output for cell caravel_00020006
Done!
#mv /mnt/shuttles/shuttle/mpw-two/caravel/mag/caravel_00020006.mag ./mag/
make[1]: Leaving directory `/mnt/shuttles/shuttle/mpw-two/slot-006/opencryo_testchip'
Final build completed.
converting gds file to oasis file
[INFO] Changing from ./gds/caravel_00020006.gds to ./gds/caravel_00020006.oas
Done
-------------------------------------------------------------------------------------------
{{ STEP 4 }} final gds generated for mpw-two, slot-006 : opencryo_testchip
-------------------------------------------------------------------------------------------
ok[main 7948e36] final gds oasis
2 files changed, 1 insertion(+), 1 deletion(-)
create mode 100644 oas/caravel_00020006.oas
To https://foss-eda-tools.googlesource.com/third_party/shuttle/mpw-two/slot-006.git
62538f9..7948e36 HEAD -> main
-------------------------------------------------------------------------------------------
{{ STEP 6 }} fom & met density checks mpw-two, slot-006 : opencryo_testchip
-------------------------------------------------------------------------------------------
{{ MET CHECK }} running met density check () for mpw-two, slot-006 : opencryo_testchip
li1_ca_density is 0.422469937714287
m1_ca_density is 0.4780466393694023
m2_ca_density is 0.5025324775306135
m3_ca_density is 0.5041775989440965
m4_ca_density is 0.46729228656954036
m5_ca_density is 0.4202807249722208
ok{{ FOM CHECK }} running FOM check (70) for mpw-two, slot-006 : opencryo_testchip
fom_density.drc:: sourcing design file=./oas/caravel_00020006.oas topcell=caravel_00020006 ...
done.
flattening chip boundary...
done.
step size = 70.0
llx=5.964999999999999 lly=5.999999999999999 urx=3594.0349999999994 ury=5193.999999999999
x_cnt = 51
y_cnt = 74
dbu = 0.0009999999999999998
bbox_area = 18614907.159999993
calculating subtile areas (= 3774)...
tiles per step = 10
calculating window step densities (= 2730)...
{{ CHECK }} 0/2730
{{ CHECK }} 65/2730
{{ CHECK }} 130/2730
{{ CHECK }} 195/2730
{{ CHECK }} 260/2730
{{ CHECK }} 325/2730
{{ CHECK }} 390/2730
{{ CHECK }} 455/2730
{{ CHECK }} 520/2730
{{ CHECK }} 585/2730
{{ CHECK }} 650/2730
{{ CHECK }} 715/2730
{{ CHECK }} 780/2730
{{ CHECK }} 845/2730
{{ CHECK }} 910/2730
{{ CHECK }} 975/2730
{{ CHECK }} 1040/2730
{{ CHECK }} 1105/2730
{{ CHECK }} 1170/2730
{{ CHECK }} 1235/2730
{{ CHECK }} 1300/2730
{{ CHECK }} 1365/2730
{{ CHECK }} 1430/2730
{{ CHECK }} 1495/2730
{{ CHECK }} 1560/2730
{{ CHECK }} 1625/2730
{{ CHECK }} 1690/2730
{{ CHECK }} 1755/2730
{{ CHECK }} 1820/2730
{{ CHECK }} 1885/2730
{{ CHECK }} 1950/2730
{{ CHECK }} 2015/2730
{{ CHECK }} 2080/2730
{{ CHECK }} 2145/2730
{{ CHECK }} 2210/2730
{{ CHECK }} 2275/2730
{{ CHECK }} 2340/2730
{{ CHECK }} 2405/2730
{{ CHECK }} 2470/2730
{{ CHECK }} 2535/2730
{{ CHECK }} 2600/2730
{{ CHECK }} 2665/2730
minimum fom density = 0.3543
maximum fom density = 0.5122
finish received: success = true
ok-------------------------------------------------------------------------------------------
{{ STEP 7 }} compressing mpw-two, slot-006 : opencryo_testchip
-------------------------------------------------------------------------------------------
gds/caravan.gds -> gds/caravan.gds.gz
gds/caravel_00020006_fill_pattern.gds -> gds/caravel_00020006_fill_pattern.gds.gz
gds/user_analog_project_wrapper.gds -> gds/user_analog_project_wrapper.gds.gz
gds/caravel_00020006.gds -> gds/caravel_00020006.gds.gz
gds/user_id_programming.gds -> gds/user_id_programming.gds.gz
gds/user_id_prog_zero.gds -> gds/user_id_prog_zero.gds.gz
gds/caravel_00020006_fill_pattern.gds.gz -> gds/caravel_00020006_fill_pattern.gds.gz.00.split gds/caravel_00020006_fill_pattern.gds.gz.01.split gds/caravel_00020006_fill_pattern.gds.gz.02.split gds/caravel_00020006_fill_pattern.gds.gz.03.split gds/caravel_00020006_fill_pattern.gds.gz.04.split
gds/caravel_00020006.gds.gz -> gds/caravel_00020006.gds.gz.00.split gds/caravel_00020006.gds.gz.01.split gds/caravel_00020006.gds.gz.02.split gds/caravel_00020006.gds.gz.03.split gds/caravel_00020006.gds.gz.04.split
Files larger than 100 MBytes are compressed!
warning: You ran 'git add' with neither '-A (--all)' or '--ignore-removal',
whose behaviour will change in Git 2.0 with respect to paths you removed.
Paths like 'mag/gpio_defaults_block_1800.mag' that are
removed from your working tree are ignored with this version of Git.
* 'git add --ignore-removal <pathspec>', which is the current default,
ignores paths you removed from your working tree.
* 'git add --all <pathspec>' will let you also record the removals.
Run 'git status' to check the paths you removed from your working tree.
fatal: pathspec 'maglef' did not match any files
-------------------------------------------------------------------------------------------
{{ STEP 8 }} pushing tapeout updates to shuttle-repo for mpw-two, slot-006 : opencryo_testchip
-------------------------------------------------------------------------------------------