blob: 46cd74c07a125190866df989d70bc2fa46715f15 [file] [log] [blame]
project:
category: Test Harness
cover_image: doc/ciic_harness.png
description: SOFA-CHD (Skywater Opensource FPGAs)
foundry: SkyWater
git_url: https://github.com/lnis-uofu/Caravel-SOFA-CHD.git
layout_image: gds/caravel.png
organization: lnis
organization_url: https://sites.google.com/site/pegaillardon/home
owner: LNIS
process: SKY130
project_id: '00010027'
project_name: Caravel-SOFA-CHD
shuttle_url: https://foss-eda-tools.googlesource.com/third_party/shuttle/sky130/mpw-001/slot-039
tags:
- Open MPW
- Test Harness
- VPR
- SOFA
- Homogeneous FPGA Design
- OpenSource FPGA IP
top_level_netlist: verilog/gl/caravel.v
user_level_netlist: verilog/gl/user_project_wrapper.v
version: '1.00'