blob: ecf56dca680efe8641bef1183181981d7663eda2 [file] [log] [blame]
library ("sky130_fd_sc_hd__tt_025C_1v80") {
define(def_sim_opt,library,string);
define(default_arc_mode,library,string);
define(default_constraint_arc_mode,library,string);
define(driver_model,library,string);
define(leakage_sim_opt,library,string);
define(min_pulse_width_mode,library,string);
define(simulator,library,string);
define(switching_power_split_model,library,string);
define(sim_opt,timing,string);
define(violation_delay_degrade_pct,timing,string);
technology("cmos");
delay_model : "table_lookup";
bus_naming_style : "%s[%d]";
time_unit : "1ns";
voltage_unit : "1V";
leakage_power_unit : "1nW";
current_unit : "1mA";
pulling_resistance_unit : "1kohm";
capacitive_load_unit(1.0000000000, "pf");
revision : 1.0000000000;
default_cell_leakage_power : 0.0000000000;
default_fanout_load : 0.0000000000;
default_inout_pin_cap : 0.0000000000;
default_input_pin_cap : 0.0000000000;
default_max_transition : 1.5000000000;
default_output_pin_cap : 0.0000000000;
default_arc_mode : "worst_edges";
default_constraint_arc_mode : "worst";
default_leakage_power_density : 0.0000000000;
default_operating_conditions : "tt_025C_1v80";
operating_conditions ("tt_025C_1v80") {
voltage : 1.8000000000;
process : 1.0000000000;
temperature : 25.000000000;
tree_type : "balanced_tree";
}
power_lut_template ("power_inputs_1") {
variable_1 : "input_transition_time";
index_1("1, 2, 3, 4, 5, 6, 7");
}
power_lut_template ("power_outputs_1") {
variable_1 : "input_transition_time";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7");
index_2("1, 2, 3, 4, 5, 6, 7");
}
lu_table_template ("constraint_3_0_1") {
variable_1 : "related_pin_transition";
index_1("1, 2, 3");
}
lu_table_template ("del_1_7_7") {
variable_1 : "input_net_transition";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7");
index_2("1, 2, 3, 4, 5, 6, 7");
}
lu_table_template ("driver_waveform_template") {
variable_1 : "input_net_transition";
variable_2 : "normalized_voltage";
index_1("1, 2");
index_2("1, 2");
}
lu_table_template ("vio_3_3_1") {
variable_1 : "related_pin_transition";
variable_2 : "constrained_pin_transition";
index_1("1, 2, 3");
index_2("1, 2, 3");
}
normalized_driver_waveform ("driver_waveform_template") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224745000, 0.2823108000, 0.5000000000, 0.6507428000, 1.5000000000");
index_2("0.0000000000, 0.5000000000, 1.0000000000");
driver_waveform_name : "ramp";
values("0.0000000000, 0.0083333333, 0.0166666670", \
"0.0000000000, 0.0192088180, 0.0384176350", \
"0.0000000000, 0.0442774400, 0.0885548810", \
"0.0000000000, 0.1020620700, 0.2041241500", \
"0.0000000000, 0.2352590100, 0.4705180100", \
"0.0000000000, 0.4166666700, 0.8333333300", \
"0.0000000000, 0.5422856800, 1.0845714000", \
"0.0000000000, 1.2500000000, 2.5000000000");
}
library_features("report_delay_calculation");
voltage_map("VSS", 0.0000000000);
voltage_map("KAPWR", 1.8000000000);
voltage_map("LOWLVPWR", 1.8000000000);
voltage_map("VGND", 0.0000000000);
voltage_map("VNB", 0.0000000000);
voltage_map("VPB", 1.8000000000);
voltage_map("VPWR", 1.8000000000);
voltage_map("VPWRIN", 1.8000000000);
driver_model : "ramp";
in_place_swap_mode : "match_footprint";
input_threshold_pct_fall : 50.000000000;
input_threshold_pct_rise : 50.000000000;
min_pulse_width_mode : "max";
nom_process : 1.0000000000;
nom_temperature : 25.000000000;
nom_voltage : 1.8000000000;
output_threshold_pct_fall : 50.000000000;
output_threshold_pct_rise : 50.000000000;
simulation : "true";
slew_derate_from_library : 1.0000000000;
slew_lower_threshold_pct_fall : 20.000000000;
slew_lower_threshold_pct_rise : 20.000000000;
slew_upper_threshold_pct_fall : 80.000000000;
slew_upper_threshold_pct_rise : 80.000000000;
switching_power_split_model : "true";
/* removed sky130_fd_sc_hd__a2111o_1 */
/* removed sky130_fd_sc_hd__a2111o_2 */
cell ("sky130_fd_sc_hd__a2111o_4") {
leakage_power () {
value : 0.0054313000;
when : "!A1&!A2&!B1&!C1&D1";
}
leakage_power () {
value : 0.0118340000;
when : "!A1&!A2&!B1&!C1&!D1";
}
leakage_power () {
value : 0.0250712000;
when : "!A1&!A2&!B1&C1&D1";
}
leakage_power () {
value : 0.0370519000;
when : "!A1&!A2&!B1&C1&!D1";
}
leakage_power () {
value : 0.0252806000;
when : "!A1&!A2&B1&!C1&D1";
}
leakage_power () {
value : 0.0467636000;
when : "!A1&!A2&B1&!C1&!D1";
}
leakage_power () {
value : 0.0250182000;
when : "!A1&!A2&B1&C1&D1";
}
leakage_power () {
value : 0.0353823000;
when : "!A1&!A2&B1&C1&!D1";
}
leakage_power () {
value : 0.0054324000;
when : "!A1&A2&!B1&!C1&D1";
}
leakage_power () {
value : 0.0084080000;
when : "!A1&A2&!B1&!C1&!D1";
}
leakage_power () {
value : 0.0020969000;
when : "!A1&A2&!B1&C1&D1";
}
leakage_power () {
value : 0.0042066000;
when : "!A1&A2&!B1&C1&!D1";
}
leakage_power () {
value : 0.0020850000;
when : "!A1&A2&B1&!C1&D1";
}
leakage_power () {
value : 0.0040078000;
when : "!A1&A2&B1&!C1&!D1";
}
leakage_power () {
value : 0.0019724000;
when : "!A1&A2&B1&C1&D1";
}
leakage_power () {
value : 0.0020893000;
when : "!A1&A2&B1&C1&!D1";
}
leakage_power () {
value : 0.0054327000;
when : "A1&!A2&!B1&!C1&D1";
}
leakage_power () {
value : 0.0081938000;
when : "A1&!A2&!B1&!C1&!D1";
}
leakage_power () {
value : 0.0020969000;
when : "A1&!A2&!B1&C1&D1";
}
leakage_power () {
value : 0.0042066000;
when : "A1&!A2&!B1&C1&!D1";
}
leakage_power () {
value : 0.0020855000;
when : "A1&!A2&B1&!C1&D1";
}
leakage_power () {
value : 0.0040078000;
when : "A1&!A2&B1&!C1&!D1";
}
leakage_power () {
value : 0.0019724000;
when : "A1&!A2&B1&C1&D1";
}
leakage_power () {
value : 0.0020893000;
when : "A1&!A2&B1&C1&!D1";
}
leakage_power () {
value : 0.0022713000;
when : "A1&A2&!B1&!C1&D1";
}
leakage_power () {
value : 0.0057660000;
when : "A1&A2&!B1&!C1&!D1";
}
leakage_power () {
value : 0.0020096000;
when : "A1&A2&!B1&C1&D1";
}
leakage_power () {
value : 0.0022608000;
when : "A1&A2&!B1&C1&!D1";
}
leakage_power () {
value : 0.0020059000;
when : "A1&A2&B1&!C1&D1";
}
leakage_power () {
value : 0.0022651000;
when : "A1&A2&B1&!C1&!D1";
}
leakage_power () {
value : 0.0019466000;
when : "A1&A2&B1&C1&D1";
}
leakage_power () {
value : 0.0020131000;
when : "A1&A2&B1&C1&!D1";
}
area : 21.270400000;
cell_footprint : "sky130_fd_sc_hd__a2111o";
cell_leakage_power : 0.0091485880;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A1") {
capacitance : 0.0042960000;
clock : "false";
direction : "input";
fall_capacitance : 0.0041120000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0091843000, 0.0091789000, 0.0091663000, 0.0091665000, 0.0091668000, 0.0091678000, 0.0091699000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.007162600, -0.007171300, -0.007191300, -0.007177900, -0.007146600, -0.007074600, -0.006908600");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0044800000;
}
pin ("A2") {
capacitance : 0.0044660000;
clock : "false";
direction : "input";
fall_capacitance : 0.0041920000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0082704000, 0.0082618000, 0.0082421000, 0.0082419000, 0.0082416000, 0.0082410000, 0.0082394000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.008197000, -0.008192400, -0.008181700, -0.008185200, -0.008193000, -0.008211000, -0.008252500");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0047410000;
}
pin ("B1") {
capacitance : 0.0044630000;
clock : "false";
direction : "input";
fall_capacitance : 0.0041550000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0098281000, 0.0098192000, 0.0097987000, 0.0097977000, 0.0097954000, 0.0097899000, 0.0097776000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.006882700, -0.006935400, -0.007057100, -0.007089600, -0.007164600, -0.007337600, -0.007736200");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0047720000;
}
pin ("C1") {
capacitance : 0.0043980000;
clock : "false";
direction : "input";
fall_capacitance : 0.0040410000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0082912000, 0.0082878000, 0.0082801000, 0.0082801000, 0.0082800000, 0.0082797000, 0.0082794000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.007180700, -0.007315800, -0.007627400, -0.007641400, -0.007673600, -0.007748000, -0.007919200");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0047560000;
}
pin ("D1") {
capacitance : 0.0043130000;
clock : "false";
direction : "input";
fall_capacitance : 0.0039250000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0050873000, 0.0050847000, 0.0050786000, 0.0051019000, 0.0051558000, 0.0052800000, 0.0055661000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.003587000, -0.003579200, -0.003561200, -0.003562600, -0.003566000, -0.003573400, -0.003590700");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0047000000;
}
pin ("X") {
direction : "output";
function : "(A1&A2) | (B1) | (C1) | (D1)";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015993650, 0.0051159350, 0.0163644900, 0.0523455900, 0.1674394000, 0.5355933000");
values("0.0370431000, 0.0350998000, 0.0298243000, 0.0150922000, -0.036520400, -0.217091800, -0.812282800", \
"0.0367890000, 0.0348262000, 0.0295256000, 0.0147655000, -0.036743700, -0.217342500, -0.812414000", \
"0.0362817000, 0.0343347000, 0.0294153000, 0.0142798000, -0.037335900, -0.217877000, -0.813066500", \
"0.0357731000, 0.0337640000, 0.0284689000, 0.0135311000, -0.037964700, -0.218513900, -0.813542400", \
"0.0353114000, 0.0333889000, 0.0279938000, 0.0130309000, -0.038514600, -0.219067600, -0.814099500", \
"0.0352202000, 0.0333423000, 0.0279620000, 0.0130431000, -0.038681400, -0.219343000, -0.814368300", \
"0.0452960000, 0.0431922000, 0.0369365000, 0.0182128000, -0.039937300, -0.219501700, -0.814312600");
}
related_pin : "A1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015993650, 0.0051159350, 0.0163644900, 0.0523455900, 0.1674394000, 0.5355933000");
values("0.0378468000, 0.0397922000, 0.0460762000, 0.0655750000, 0.1247708000, 0.3103613000, 0.8999013000", \
"0.0376934000, 0.0396198000, 0.0458719000, 0.0653036000, 0.1246188000, 0.3096418000, 0.8987992000", \
"0.0373458000, 0.0393472000, 0.0454998000, 0.0652333000, 0.1244342000, 0.3097854000, 0.8992468000", \
"0.0370942000, 0.0389606000, 0.0452460000, 0.0648849000, 0.1240305000, 0.3095824000, 0.8990234000", \
"0.0372350000, 0.0391143000, 0.0453372000, 0.0644245000, 0.1233493000, 0.3090626000, 0.8991017000", \
"0.0394021000, 0.0411684000, 0.0468009000, 0.0647048000, 0.1231621000, 0.3078197000, 0.8988902000", \
"0.0426433000, 0.0443353000, 0.0507613000, 0.0687756000, 0.1264536000, 0.3101726000, 0.9000545000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015993650, 0.0051159350, 0.0163644900, 0.0523455900, 0.1674394000, 0.5355933000");
values("0.0430825000, 0.0410898000, 0.0356724000, 0.0207536000, -0.030850200, -0.212074400, -0.807594500", \
"0.0428761000, 0.0409369000, 0.0356206000, 0.0205269000, -0.031111400, -0.212207700, -0.807715600", \
"0.0429502000, 0.0409991000, 0.0355622000, 0.0205369000, -0.031380900, -0.212536500, -0.808055200", \
"0.0422856000, 0.0402780000, 0.0349615000, 0.0199373000, -0.031757300, -0.212838400, -0.808388900", \
"0.0419281000, 0.0399258000, 0.0346136000, 0.0196177000, -0.032231300, -0.213198500, -0.808576300", \
"0.0420237000, 0.0400077000, 0.0346329000, 0.0195910000, -0.032394200, -0.213382800, -0.808744500", \
"0.0505946000, 0.0484930000, 0.0421522000, 0.0236464000, -0.033142100, -0.213344500, -0.808521500");
}
related_pin : "A2";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015993650, 0.0051159350, 0.0163644900, 0.0523455900, 0.1674394000, 0.5355933000");
values("0.0386293000, 0.0405579000, 0.0468313000, 0.0663775000, 0.1255400000, 0.3104193000, 0.9002008000", \
"0.0385139000, 0.0404205000, 0.0466813000, 0.0660757000, 0.1253177000, 0.3103760000, 0.9032879000", \
"0.0382459000, 0.0401523000, 0.0463403000, 0.0658774000, 0.1249757000, 0.3102738000, 0.8995112000", \
"0.0379147000, 0.0398002000, 0.0461112000, 0.0657450000, 0.1247783000, 0.3101180000, 0.8991192000", \
"0.0381898000, 0.0401605000, 0.0463096000, 0.0654483000, 0.1246343000, 0.3093500000, 0.9016978000", \
"0.0407860000, 0.0425737000, 0.0482729000, 0.0664494000, 0.1243317000, 0.3090972000, 0.8991015000", \
"0.0428108000, 0.0445661000, 0.0501175000, 0.0682025000, 0.1256943000, 0.3105553000, 0.8986520000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015993650, 0.0051159350, 0.0163644900, 0.0523455900, 0.1674394000, 0.5355933000");
values("0.0375007000, 0.0355553000, 0.0301077000, 0.0150807000, -0.036826500, -0.217752300, -0.813069700", \
"0.0371931000, 0.0351892000, 0.0297945000, 0.0146990000, -0.037153800, -0.218033200, -0.813376800", \
"0.0364590000, 0.0344844000, 0.0291999000, 0.0140888000, -0.037576400, -0.218423100, -0.813661800", \
"0.0364061000, 0.0345024000, 0.0290023000, 0.0139636000, -0.037957900, -0.218864200, -0.814116700", \
"0.0357090000, 0.0336994000, 0.0285635000, 0.0134469000, -0.038382900, -0.219294300, -0.814451900", \
"0.0359428000, 0.0339137000, 0.0285238000, 0.0133868000, -0.038598800, -0.219374400, -0.814446700", \
"0.0447162000, 0.0425787000, 0.0361847000, 0.0171906000, -0.039157400, -0.219265700, -0.814324700");
}
related_pin : "B1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015993650, 0.0051159350, 0.0163644900, 0.0523455900, 0.1674394000, 0.5355933000");
values("0.0349719000, 0.0369699000, 0.0433398000, 0.0626883000, 0.1218261000, 0.3080500000, 0.9002615000", \
"0.0349867000, 0.0369790000, 0.0433233000, 0.0627094000, 0.1218535000, 0.3064868000, 0.9003501000", \
"0.0350080000, 0.0370468000, 0.0433456000, 0.0627084000, 0.1217315000, 0.3068702000, 0.8973238000", \
"0.0348630000, 0.0368340000, 0.0430164000, 0.0621766000, 0.1211635000, 0.3065484000, 0.8970224000", \
"0.0349607000, 0.0368107000, 0.0428607000, 0.0617782000, 0.1205394000, 0.3060511000, 0.8967277000", \
"0.0365306000, 0.0383188000, 0.0440415000, 0.0624877000, 0.1204079000, 0.3049592000, 0.8957521000", \
"0.0398922000, 0.0415317000, 0.0471981000, 0.0652671000, 0.1235346000, 0.3080686000, 0.8946060000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015993650, 0.0051159350, 0.0163644900, 0.0523455900, 0.1674394000, 0.5355933000");
values("0.0339570000, 0.0320178000, 0.0266484000, 0.0115754000, -0.040186400, -0.220844200, -0.815726300", \
"0.0336898000, 0.0317046000, 0.0263997000, 0.0113350000, -0.040451100, -0.221031300, -0.816046100", \
"0.0332202000, 0.0312859000, 0.0259727000, 0.0110177000, -0.040813600, -0.221501200, -0.816475500", \
"0.0329918000, 0.0310144000, 0.0256891000, 0.0103988000, -0.041220300, -0.221940700, -0.816927100", \
"0.0323432000, 0.0304357000, 0.0251113000, 0.0101349000, -0.041610500, -0.222288800, -0.817293700", \
"0.0325139000, 0.0305673000, 0.0254898000, 0.0105536000, -0.041687100, -0.222482700, -0.817374100", \
"0.0415562000, 0.0393891000, 0.0329247000, 0.0137850000, -0.042334500, -0.222350300, -0.816796900");
}
related_pin : "C1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015993650, 0.0051159350, 0.0163644900, 0.0523455900, 0.1674394000, 0.5355933000");
values("0.0330249000, 0.0350280000, 0.0412725000, 0.0604756000, 0.1194725000, 0.3047105000, 0.8936072000", \
"0.0331587000, 0.0351213000, 0.0413815000, 0.0606113000, 0.1195693000, 0.3059467000, 0.8948953000", \
"0.0334022000, 0.0354031000, 0.0416408000, 0.0608067000, 0.1197893000, 0.3051785000, 0.8938583000", \
"0.0331790000, 0.0351000000, 0.0412594000, 0.0602795000, 0.1192456000, 0.3048228000, 0.8982117000", \
"0.0331629000, 0.0350317000, 0.0410159000, 0.0598460000, 0.1184984000, 0.3043212000, 0.8968101000", \
"0.0342229000, 0.0360229000, 0.0417321000, 0.0602359000, 0.1182571000, 0.3030233000, 0.8962260000", \
"0.0370409000, 0.0387308000, 0.0442581000, 0.0623741000, 0.1206597000, 0.3050319000, 0.8916636000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015993650, 0.0051159350, 0.0163644900, 0.0523455900, 0.1674394000, 0.5355933000");
values("0.0322863000, 0.0302956000, 0.0247789000, 0.0100184000, -0.041787400, -0.222339900, -0.817159700", \
"0.0318474000, 0.0299104000, 0.0245896000, 0.0095267000, -0.042122100, -0.222570300, -0.817504600", \
"0.0314484000, 0.0294694000, 0.0240673000, 0.0092043000, -0.042559000, -0.223050700, -0.817878500", \
"0.0309156000, 0.0289601000, 0.0236288000, 0.0086595000, -0.043047700, -0.223584000, -0.818279800", \
"0.0307047000, 0.0287053000, 0.0233329000, 0.0083716000, -0.043375900, -0.223912100, -0.818570100", \
"0.0314190000, 0.0294362000, 0.0239977000, 0.0096901000, -0.043000300, -0.223324000, -0.818131500", \
"0.0445028000, 0.0422378000, 0.0356596000, 0.0166719000, -0.041675300, -0.221951000, -0.816626100");
}
related_pin : "D1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015993650, 0.0051159350, 0.0163644900, 0.0523455900, 0.1674394000, 0.5355933000");
values("0.0252292000, 0.0272266000, 0.0334461000, 0.0527404000, 0.1116176000, 0.2968349000, 0.8877106000", \
"0.0252576000, 0.0272529000, 0.0334966000, 0.0527502000, 0.1117030000, 0.2978237000, 0.8875495000", \
"0.0251661000, 0.0271509000, 0.0333350000, 0.0525200000, 0.1114021000, 0.2961668000, 0.8867464000", \
"0.0249880000, 0.0269113000, 0.0329497000, 0.0519505000, 0.1108438000, 0.2959818000, 0.8864121000", \
"0.0250726000, 0.0268820000, 0.0327630000, 0.0514884000, 0.1100945000, 0.2956256000, 0.8861587000", \
"0.0262419000, 0.0280199000, 0.0337328000, 0.0522248000, 0.1098933000, 0.2945102000, 0.8862561000", \
"0.0287704000, 0.0304465000, 0.0359998000, 0.0539533000, 0.1123027000, 0.2962995000, 0.8839073000");
}
}
max_capacitance : 0.5355930000;
max_transition : 1.4995610000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000");
values("0.4115941000, 0.4175033000, 0.4329213000, 0.4673878000, 0.5380981000, 0.6840060000, 1.0310978000", \
"0.4148444000, 0.4208039000, 0.4362171000, 0.4709618000, 0.5413902000, 0.6874268000, 1.0344630000", \
"0.4240364000, 0.4299260000, 0.4452283000, 0.4797683000, 0.5504759000, 0.6966396000, 1.0435759000", \
"0.4476691000, 0.4535678000, 0.4689522000, 0.5034552000, 0.5735579000, 0.7200097000, 1.0671127000", \
"0.5006885000, 0.5065925000, 0.5217816000, 0.5565836000, 0.6268304000, 0.7731911000, 1.1202571000", \
"0.6093019000, 0.6151657000, 0.6306063000, 0.6652667000, 0.7359207000, 0.8822514000, 1.2292292000", \
"0.7955708000, 0.8019144000, 0.8185901000, 0.8554488000, 0.9303637000, 1.0832956000, 1.4359871000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000");
values("0.1155489000, 0.1203134000, 0.1330129000, 0.1638583000, 0.2420998000, 0.4686905000, 1.1810698000", \
"0.1197018000, 0.1245155000, 0.1371148000, 0.1679199000, 0.2461037000, 0.4734624000, 1.1838098000", \
"0.1298974000, 0.1346235000, 0.1471999000, 0.1780507000, 0.2561422000, 0.4826625000, 1.1942837000", \
"0.1552305000, 0.1598858000, 0.1723547000, 0.2029143000, 0.2805815000, 0.5071073000, 1.2191946000", \
"0.2088519000, 0.2136007000, 0.2262018000, 0.2565188000, 0.3336759000, 0.5596335000, 1.2697078000", \
"0.2817954000, 0.2870962000, 0.3011684000, 0.3331898000, 0.4110781000, 0.6367311000, 1.3472002000", \
"0.3553420000, 0.3623765000, 0.3793410000, 0.4170586000, 0.4976368000, 0.7214785000, 1.4311019000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000");
values("0.0480871000, 0.0516464000, 0.0612945000, 0.0840995000, 0.1367413000, 0.2717778000, 0.6683779000", \
"0.0481557000, 0.0516889000, 0.0614237000, 0.0847249000, 0.1370753000, 0.2719025000, 0.6696127000", \
"0.0480849000, 0.0516376000, 0.0618651000, 0.0850511000, 0.1367214000, 0.2718762000, 0.6681152000", \
"0.0484118000, 0.0516246000, 0.0613440000, 0.0837803000, 0.1372669000, 0.2714812000, 0.6689925000", \
"0.0480523000, 0.0516124000, 0.0615392000, 0.0837779000, 0.1376039000, 0.2717303000, 0.6693000000", \
"0.0490720000, 0.0526121000, 0.0624202000, 0.0847987000, 0.1371412000, 0.2716447000, 0.6694921000", \
"0.0553412000, 0.0590917000, 0.0689578000, 0.0936347000, 0.1485734000, 0.2816013000, 0.6740966000");
}
related_pin : "A1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000");
values("0.0273855000, 0.0310724000, 0.0420489000, 0.0728962000, 0.1688231000, 0.4833386000, 1.4953184000", \
"0.0272884000, 0.0311188000, 0.0419613000, 0.0727831000, 0.1688928000, 0.4824634000, 1.4977052000", \
"0.0272541000, 0.0309167000, 0.0417322000, 0.0725854000, 0.1684801000, 0.4832154000, 1.4979696000", \
"0.0267420000, 0.0305250000, 0.0410955000, 0.0719168000, 0.1681389000, 0.4830277000, 1.4957076000", \
"0.0280348000, 0.0315696000, 0.0420309000, 0.0721543000, 0.1672298000, 0.4825285000, 1.4988765000", \
"0.0348975000, 0.0384332000, 0.0479786000, 0.0764804000, 0.1690848000, 0.4812143000, 1.4975465000", \
"0.0479628000, 0.0516827000, 0.0633244000, 0.0906918000, 0.1740881000, 0.4832356000, 1.4952820000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000");
values("0.4448634000, 0.4512119000, 0.4675791000, 0.5036939000, 0.5755290000, 0.7225767000, 1.0703615000", \
"0.4490507000, 0.4554687000, 0.4718586000, 0.5078594000, 0.5790171000, 0.7264089000, 1.0745699000", \
"0.4605443000, 0.4668559000, 0.4831666000, 0.5192426000, 0.5912253000, 0.7383091000, 1.0861898000", \
"0.4862011000, 0.4924626000, 0.5089066000, 0.5448581000, 0.6169412000, 0.7642657000, 1.1119535000", \
"0.5399827000, 0.5462258000, 0.5627007000, 0.5985560000, 0.6706983000, 0.8172709000, 1.1653039000", \
"0.6470642000, 0.6534038000, 0.6698226000, 0.7058856000, 0.7780186000, 0.9253514000, 1.2731071000", \
"0.8370855000, 0.8436525000, 0.8609594000, 0.8997531000, 0.9754298000, 1.1281145000, 1.4803317000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000");
values("0.1199637000, 0.1247155000, 0.1373762000, 0.1682281000, 0.2462877000, 0.4729104000, 1.1819367000", \
"0.1241539000, 0.1289057000, 0.1415068000, 0.1722762000, 0.2502615000, 0.4772293000, 1.1889939000", \
"0.1324321000, 0.1371600000, 0.1497774000, 0.1804953000, 0.2584480000, 0.4847056000, 1.1967375000", \
"0.1514974000, 0.1561837000, 0.1687262000, 0.1993471000, 0.2770071000, 0.5034633000, 1.2155169000", \
"0.1912431000, 0.1960713000, 0.2087525000, 0.2394528000, 0.3169678000, 0.5434870000, 1.2539781000", \
"0.2526128000, 0.2578559000, 0.2716620000, 0.3036127000, 0.3821604000, 0.6080910000, 1.3173027000", \
"0.3203928000, 0.3270385000, 0.3436597000, 0.3798863000, 0.4608751000, 0.6867595000, 1.3958078000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000");
values("0.0521519000, 0.0558590000, 0.0660838000, 0.0878332000, 0.1402059000, 0.2737608000, 0.6712583000", \
"0.0522502000, 0.0559862000, 0.0656455000, 0.0877887000, 0.1404759000, 0.2738836000, 0.6711888000", \
"0.0521065000, 0.0560804000, 0.0655479000, 0.0875770000, 0.1398165000, 0.2735885000, 0.6712502000", \
"0.0520543000, 0.0559837000, 0.0659450000, 0.0879500000, 0.1398222000, 0.2738454000, 0.6711001000", \
"0.0523931000, 0.0560042000, 0.0658888000, 0.0879303000, 0.1397945000, 0.2738825000, 0.6716265000", \
"0.0521955000, 0.0559536000, 0.0659648000, 0.0881366000, 0.1395719000, 0.2737408000, 0.6711478000", \
"0.0587509000, 0.0625227000, 0.0724000000, 0.0956862000, 0.1485478000, 0.2804633000, 0.6757898000");
}
related_pin : "A2";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000");
values("0.0274192000, 0.0312213000, 0.0417866000, 0.0727488000, 0.1686087000, 0.4828856000, 1.4990658000", \
"0.0272715000, 0.0310303000, 0.0418953000, 0.0726723000, 0.1687138000, 0.4825555000, 1.4995613000", \
"0.0271192000, 0.0308935000, 0.0418020000, 0.0725791000, 0.1686530000, 0.4832557000, 1.4957356000", \
"0.0268580000, 0.0306139000, 0.0412676000, 0.0722630000, 0.1683329000, 0.4828969000, 1.4979197000", \
"0.0280082000, 0.0318064000, 0.0425007000, 0.0724488000, 0.1677774000, 0.4821093000, 1.4954128000", \
"0.0325901000, 0.0362795000, 0.0469310000, 0.0761606000, 0.1697543000, 0.4818549000, 1.4991328000", \
"0.0420402000, 0.0461143000, 0.0574055000, 0.0856753000, 0.1740334000, 0.4838551000, 1.4935611000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000");
values("0.4260579000, 0.4323966000, 0.4487163000, 0.4847882000, 0.5568040000, 0.7041508000, 1.0520426000", \
"0.4282617000, 0.4345690000, 0.4510168000, 0.4871672000, 0.5591589000, 0.7066823000, 1.0537284000", \
"0.4369451000, 0.4429887000, 0.4595819000, 0.4955998000, 0.5668102000, 0.7142840000, 1.0627386000", \
"0.4596542000, 0.4659618000, 0.4822875000, 0.5183359000, 0.5903281000, 0.7377422000, 1.0856083000", \
"0.5117728000, 0.5180855000, 0.5344215000, 0.5704623000, 0.6424428000, 0.7897626000, 1.1376965000", \
"0.6238796000, 0.6301412000, 0.6466553000, 0.6827395000, 0.7548828000, 0.9021879000, 1.2504355000", \
"0.8321034000, 0.8390568000, 0.8569158000, 0.8965711000, 0.9740722000, 1.1284773000, 1.4812391000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000");
values("0.0744878000, 0.0780968000, 0.0880337000, 0.1137975000, 0.1859537000, 0.4089993000, 1.1182703000", \
"0.0791558000, 0.0827642000, 0.0926919000, 0.1184921000, 0.1904914000, 0.4135318000, 1.1226089000", \
"0.0904908000, 0.0941425000, 0.1039857000, 0.1296799000, 0.2018417000, 0.4249385000, 1.1320305000", \
"0.1163552000, 0.1199349000, 0.1296344000, 0.1550484000, 0.2270181000, 0.4503184000, 1.1575541000", \
"0.1573870000, 0.1614313000, 0.1720743000, 0.1983847000, 0.2703751000, 0.4939892000, 1.2012703000", \
"0.2039934000, 0.2091821000, 0.2223291000, 0.2515462000, 0.3244359000, 0.5472220000, 1.2577883000", \
"0.2351040000, 0.2418778000, 0.2591554000, 0.2960127000, 0.3734746000, 0.5964407000, 1.3030648000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000");
values("0.0521095000, 0.0560787000, 0.0655455000, 0.0875655000, 0.1397707000, 0.2737905000, 0.6710662000", \
"0.0520471000, 0.0558503000, 0.0655094000, 0.0877114000, 0.1398383000, 0.2732096000, 0.6715268000", \
"0.0523024000, 0.0562556000, 0.0654785000, 0.0877994000, 0.1406029000, 0.2739475000, 0.6711502000", \
"0.0521535000, 0.0562051000, 0.0655470000, 0.0875649000, 0.1398186000, 0.2737783000, 0.6709121000", \
"0.0520456000, 0.0558053000, 0.0655826000, 0.0876098000, 0.1400015000, 0.2735221000, 0.6717790000", \
"0.0526572000, 0.0562642000, 0.0665058000, 0.0883732000, 0.1397664000, 0.2737919000, 0.6701952000", \
"0.0612598000, 0.0651435000, 0.0760417000, 0.0999324000, 0.1529886000, 0.2828456000, 0.6786085000");
}
related_pin : "B1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000");
values("0.0198037000, 0.0228179000, 0.0321572000, 0.0615093000, 0.1586521000, 0.4756767000, 1.4944943000", \
"0.0198198000, 0.0227999000, 0.0320844000, 0.0614283000, 0.1587177000, 0.4750618000, 1.4944143000", \
"0.0196803000, 0.0227173000, 0.0319789000, 0.0614144000, 0.1588066000, 0.4758108000, 1.4921978000", \
"0.0198888000, 0.0228547000, 0.0320599000, 0.0612261000, 0.1584023000, 0.4750961000, 1.4939916000", \
"0.0244362000, 0.0273059000, 0.0356048000, 0.0633472000, 0.1585914000, 0.4758947000, 1.4939372000", \
"0.0328225000, 0.0356976000, 0.0440039000, 0.0693326000, 0.1609359000, 0.4749983000, 1.4905308000", \
"0.0457933000, 0.0496005000, 0.0595614000, 0.0846516000, 0.1670669000, 0.4785687000, 1.4910705000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000");
values("0.3879921000, 0.3943458000, 0.4106597000, 0.4468968000, 0.5187451000, 0.6655091000, 1.0134882000", \
"0.3900253000, 0.3964577000, 0.4128411000, 0.4488208000, 0.5211057000, 0.6675859000, 1.0158707000", \
"0.3977841000, 0.4041448000, 0.4204827000, 0.4566127000, 0.5285767000, 0.6752152000, 1.0232879000", \
"0.4202141000, 0.4265851000, 0.4429844000, 0.4790262000, 0.5511084000, 0.6985656000, 1.0456881000", \
"0.4746845000, 0.4809805000, 0.4970734000, 0.5333782000, 0.6050709000, 0.7525278000, 1.1007366000", \
"0.6023234000, 0.6092777000, 0.6250918000, 0.6615928000, 0.7330948000, 0.8810347000, 1.2288970000", \
"0.8467784000, 0.8538470000, 0.8722110000, 0.9126857000, 0.9906848000, 1.1456123000, 1.4953718000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000");
values("0.0754043000, 0.0790623000, 0.0890920000, 0.1149562000, 0.1867036000, 0.4089906000, 1.1156904000", \
"0.0800322000, 0.0836774000, 0.0937203000, 0.1196167000, 0.1915537000, 0.4139878000, 1.1215527000", \
"0.0911567000, 0.0948162000, 0.1048271000, 0.1306075000, 0.2025511000, 0.4249992000, 1.1313385000", \
"0.1160362000, 0.1196838000, 0.1296467000, 0.1553387000, 0.2271078000, 0.4498247000, 1.1587681000", \
"0.1545259000, 0.1586868000, 0.1695713000, 0.1963022000, 0.2684931000, 0.4912278000, 1.1989528000", \
"0.1962747000, 0.2016587000, 0.2149924000, 0.2449023000, 0.3182509000, 0.5409259000, 1.2504535000", \
"0.2192432000, 0.2262179000, 0.2439352000, 0.2818392000, 0.3606363000, 0.5835799000, 1.2902825000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000");
values("0.0522805000, 0.0556485000, 0.0660161000, 0.0885238000, 0.1411741000, 0.2740345000, 0.6720995000", \
"0.0524252000, 0.0557000000, 0.0657058000, 0.0878135000, 0.1398764000, 0.2742993000, 0.6709960000", \
"0.0522849000, 0.0557163000, 0.0657158000, 0.0891508000, 0.1393863000, 0.2734984000, 0.6710753000", \
"0.0518516000, 0.0557694000, 0.0663125000, 0.0892823000, 0.1398731000, 0.2736480000, 0.6717066000", \
"0.0524370000, 0.0562503000, 0.0654116000, 0.0879080000, 0.1403088000, 0.2739899000, 0.6715009000", \
"0.0530770000, 0.0562914000, 0.0663907000, 0.0891292000, 0.1402306000, 0.2738280000, 0.6709798000", \
"0.0647640000, 0.0687660000, 0.0796031000, 0.1022917000, 0.1538639000, 0.2835343000, 0.6787885000");
}
related_pin : "C1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000");
values("0.0198304000, 0.0228452000, 0.0322018000, 0.0613072000, 0.1581851000, 0.4761771000, 1.4924715000", \
"0.0197899000, 0.0228318000, 0.0321057000, 0.0613059000, 0.1579673000, 0.4764470000, 1.4944048000", \
"0.0198202000, 0.0228212000, 0.0321892000, 0.0612604000, 0.1581291000, 0.4763507000, 1.4922742000", \
"0.0202291000, 0.0232366000, 0.0324482000, 0.0614150000, 0.1582107000, 0.4763656000, 1.4957900000", \
"0.0245618000, 0.0276892000, 0.0362075000, 0.0639145000, 0.1587554000, 0.4760822000, 1.4920548000", \
"0.0336128000, 0.0368419000, 0.0449341000, 0.0705546000, 0.1614461000, 0.4754817000, 1.4926511000", \
"0.0474977000, 0.0512071000, 0.0619531000, 0.0867678000, 0.1686170000, 0.4782090000, 1.4910144000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000");
values("0.3110585000, 0.3172504000, 0.3336273000, 0.3695194000, 0.4417545000, 0.5892191000, 0.9374352000", \
"0.3127800000, 0.3191797000, 0.3355941000, 0.3715809000, 0.4434412000, 0.5912803000, 0.9391263000", \
"0.3194079000, 0.3257943000, 0.3417628000, 0.3779203000, 0.4502843000, 0.5976453000, 0.9457015000", \
"0.3398970000, 0.3462114000, 0.3626021000, 0.3984869000, 0.4708007000, 0.6182709000, 0.9662278000", \
"0.3990611000, 0.4053723000, 0.4217635000, 0.4578828000, 0.5298072000, 0.6774880000, 1.0256809000", \
"0.5436333000, 0.5497073000, 0.5654677000, 0.6000526000, 0.6718743000, 0.8174607000, 1.1653948000", \
"0.8114407000, 0.8187266000, 0.8383094000, 0.8796452000, 0.9572032000, 1.1066177000, 1.4560900000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000");
values("0.0688532000, 0.0725058000, 0.0825234000, 0.1084050000, 0.1800581000, 0.4019365000, 1.1124712000", \
"0.0736062000, 0.0772628000, 0.0872979000, 0.1131922000, 0.1847907000, 0.4072825000, 1.1152105000", \
"0.0848911000, 0.0885370000, 0.0985153000, 0.1243479000, 0.1961611000, 0.4180276000, 1.1246665000", \
"0.1089366000, 0.1126230000, 0.1226063000, 0.1483408000, 0.2201861000, 0.4426174000, 1.1491034000", \
"0.1438876000, 0.1482606000, 0.1593990000, 0.1863978000, 0.2587674000, 0.4815920000, 1.1883340000", \
"0.1806834000, 0.1862489000, 0.2003267000, 0.2311591000, 0.3051920000, 0.5278019000, 1.2380603000", \
"0.1983939000, 0.2056802000, 0.2244082000, 0.2643278000, 0.3449503000, 0.5675256000, 1.2747871000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000");
values("0.0518893000, 0.0559179000, 0.0660463000, 0.0886613000, 0.1407176000, 0.2736480000, 0.6717947000", \
"0.0522783000, 0.0557100000, 0.0657644000, 0.0877981000, 0.1399779000, 0.2737548000, 0.6703403000", \
"0.0521889000, 0.0560053000, 0.0652399000, 0.0882672000, 0.1394243000, 0.2731839000, 0.6710925000", \
"0.0522055000, 0.0560829000, 0.0654860000, 0.0882540000, 0.1400610000, 0.2735360000, 0.6720643000", \
"0.0519813000, 0.0557948000, 0.0661301000, 0.0885273000, 0.1411355000, 0.2733639000, 0.6714394000", \
"0.0511529000, 0.0546518000, 0.0645173000, 0.0868458000, 0.1389177000, 0.2746212000, 0.6718032000", \
"0.0711283000, 0.0753986000, 0.0852996000, 0.1070884000, 0.1529148000, 0.2806175000, 0.6777284000");
}
related_pin : "D1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000");
values("0.0194558000, 0.0224630000, 0.0317362000, 0.0608019000, 0.1576397000, 0.4758674000, 1.4979532000", \
"0.0194579000, 0.0224621000, 0.0318045000, 0.0609183000, 0.1578312000, 0.4765524000, 1.4965551000", \
"0.0194551000, 0.0225120000, 0.0317885000, 0.0610427000, 0.1578143000, 0.4752955000, 1.4956085000", \
"0.0204835000, 0.0235030000, 0.0325711000, 0.0614602000, 0.1577602000, 0.4754265000, 1.4950411000", \
"0.0255533000, 0.0283522000, 0.0370597000, 0.0644891000, 0.1585852000, 0.4758463000, 1.4952510000", \
"0.0356467000, 0.0385614000, 0.0471194000, 0.0723618000, 0.1616285000, 0.4752353000, 1.4951923000", \
"0.0506853000, 0.0546160000, 0.0653799000, 0.0908023000, 0.1710229000, 0.4771084000, 1.4912365000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
/* removed sky130_fd_sc_hd__a2111oi_0 */
/* removed sky130_fd_sc_hd__a2111oi_1 */
/* removed sky130_fd_sc_hd__a2111oi_2 */
cell ("sky130_fd_sc_hd__a2111oi_4") {
leakage_power () {
value : 0.0040425000;
when : "!A1&!A2&!B1&!C1&D1";
}
leakage_power () {
value : 0.0065744000;
when : "!A1&!A2&!B1&!C1&!D1";
}
leakage_power () {
value : 0.0002915000;
when : "!A1&!A2&!B1&C1&D1";
}
leakage_power () {
value : 0.0026514000;
when : "!A1&!A2&!B1&C1&!D1";
}
leakage_power () {
value : 0.0002728000;
when : "!A1&!A2&B1&!C1&D1";
}
leakage_power () {
value : 0.0023693000;
when : "!A1&!A2&B1&!C1&!D1";
}
leakage_power () {
value : 0.0001528000;
when : "!A1&!A2&B1&C1&D1";
}
leakage_power () {
value : 0.0002870000;
when : "!A1&!A2&B1&C1&!D1";
}
leakage_power () {
value : 0.0040425000;
when : "!A1&A2&!B1&!C1&D1";
}
leakage_power () {
value : 0.0083402000;
when : "!A1&A2&!B1&!C1&!D1";
}
leakage_power () {
value : 0.0002915000;
when : "!A1&A2&!B1&C1&D1";
}
leakage_power () {
value : 0.0026514000;
when : "!A1&A2&!B1&C1&!D1";
}
leakage_power () {
value : 0.0002729000;
when : "!A1&A2&B1&!C1&D1";
}
leakage_power () {
value : 0.0023693000;
when : "!A1&A2&B1&!C1&!D1";
}
leakage_power () {
value : 0.0001528000;
when : "!A1&A2&B1&C1&D1";
}
leakage_power () {
value : 0.0002870000;
when : "!A1&A2&B1&C1&!D1";
}
leakage_power () {
value : 0.0040425000;
when : "A1&!A2&!B1&!C1&D1";
}
leakage_power () {
value : 0.0079083000;
when : "A1&!A2&!B1&!C1&!D1";
}
leakage_power () {
value : 0.0002915000;
when : "A1&!A2&!B1&C1&D1";
}
leakage_power () {
value : 0.0026514000;
when : "A1&!A2&!B1&C1&!D1";
}
leakage_power () {
value : 0.0002732000;
when : "A1&!A2&B1&!C1&D1";
}
leakage_power () {
value : 0.0023693000;
when : "A1&!A2&B1&!C1&!D1";
}
leakage_power () {
value : 0.0001528000;
when : "A1&!A2&B1&C1&D1";
}
leakage_power () {
value : 0.0002870000;
when : "A1&!A2&B1&C1&!D1";
}
leakage_power () {
value : 0.0003745000;
when : "A1&A2&!B1&!C1&D1";
}
leakage_power () {
value : 0.0033081000;
when : "A1&A2&!B1&!C1&!D1";
}
leakage_power () {
value : 0.0001722000;
when : "A1&A2&!B1&C1&D1";
}
leakage_power () {
value : 0.0003826000;
when : "A1&A2&!B1&C1&!D1";
}
leakage_power () {
value : 0.0003510000;
when : "A1&A2&B1&!C1&D1";
}
leakage_power () {
value : 0.0003984000;
when : "A1&A2&B1&!C1&!D1";
}
leakage_power () {
value : 0.0001269000;
when : "A1&A2&B1&C1&D1";
}
leakage_power () {
value : 0.0001883000;
when : "A1&A2&B1&C1&!D1";
}
area : 27.526400000;
cell_footprint : "sky130_fd_sc_hd__a2111oi";
cell_leakage_power : 0.0018227260;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A1") {
capacitance : 0.0084230000;
clock : "false";
direction : "input";
fall_capacitance : 0.0081000000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0181535000, 0.0181564000, 0.0181631000, 0.0181659000, 0.0181724000, 0.0181874000, 0.0182220000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.012960300, -0.012981500, -0.013030400, -0.012991600, -0.012902200, -0.012696100, -0.012221000");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0087470000;
}
pin ("A2") {
capacitance : 0.0087170000;
clock : "false";
direction : "input";
fall_capacitance : 0.0082760000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0160182000, 0.0160123000, 0.0159988000, 0.0160009000, 0.0160057000, 0.0160169000, 0.0160426000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.015953900, -0.015947400, -0.015932600, -0.015932900, -0.015933400, -0.015934800, -0.015937800");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0091580000;
}
pin ("B1") {
capacitance : 0.0084530000;
clock : "false";
direction : "input";
fall_capacitance : 0.0078790000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0230492000, 0.0230424000, 0.0230267000, 0.0230259000, 0.0230242000, 0.0230203000, 0.0230112000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.013304300, -0.013419500, -0.013685000, -0.013751400, -0.013904400, -0.014257100, -0.015070100");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0090270000;
}
pin ("C1") {
capacitance : 0.0083860000;
clock : "false";
direction : "input";
fall_capacitance : 0.0077220000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0168871000, 0.0168843000, 0.0168780000, 0.0168853000, 0.0169020000, 0.0169407000, 0.0170297000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.014006800, -0.014278900, -0.014906100, -0.014936600, -0.015006900, -0.015169100, -0.015542900");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0090500000;
}
pin ("D1") {
capacitance : 0.0084560000;
clock : "false";
direction : "input";
fall_capacitance : 0.0076860000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0070130000, 0.0070113000, 0.0070073000, 0.0070235000, 0.0070607000, 0.0071464000, 0.0073440000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.006827100, -0.006833300, -0.006847400, -0.006844500, -0.006837800, -0.006822400, -0.006786900");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0092270000;
}
pin ("Y") {
direction : "output";
function : "(!A1&!B1&!C1&!D1) | (!A2&!B1&!C1&!D1)";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0012195790, 0.0029747470, 0.0072558810, 0.0176982400, 0.0431688200, 0.1052956000");
values("0.0154412000, 0.0142968000, 0.0114620000, 0.0045753000, -0.012214800, -0.053262200, -0.153626400", \
"0.0156108000, 0.0144557000, 0.0116597000, 0.0048107000, -0.011933100, -0.052933500, -0.153281000", \
"0.0159635000, 0.0148388000, 0.0120726000, 0.0052760000, -0.011343700, -0.052240000, -0.152489400", \
"0.0153489000, 0.0142404000, 0.0115016000, 0.0048894000, -0.011578100, -0.052281200, -0.152381200", \
"0.0157784000, 0.0144773000, 0.0116643000, 0.0048014000, -0.011945800, -0.052381000, -0.152246700", \
"0.0164497000, 0.0152845000, 0.0124526000, 0.0055693000, -0.011202500, -0.051692100, -0.152190100", \
"0.0205497000, 0.0193247000, 0.0163903000, 0.0092023000, -0.007958400, -0.048913700, -0.150010600");
}
related_pin : "C1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0012195790, 0.0029747470, 0.0072558810, 0.0176982400, 0.0431688200, 0.1052956000");
values("0.0484968000, 0.0496914000, 0.0525959000, 0.0596357000, 0.0766356000, 0.1175880000, 0.2169141000", \
"0.0478011000, 0.0490473000, 0.0519275000, 0.0590651000, 0.0761616000, 0.1172805000, 0.2168211000", \
"0.0470342000, 0.0482874000, 0.0511632000, 0.0582242000, 0.0753521000, 0.1167293000, 0.2165900000", \
"0.0463877000, 0.0476024000, 0.0504947000, 0.0575168000, 0.0744937000, 0.1160013000, 0.2159778000", \
"0.0458935000, 0.0470801000, 0.0499501000, 0.0568360000, 0.0738097000, 0.1150032000, 0.2148958000", \
"0.0457446000, 0.0469101000, 0.0497468000, 0.0567781000, 0.0737387000, 0.1146090000, 0.2141973000", \
"0.0466716000, 0.0478551000, 0.0506132000, 0.0574564000, 0.0741223000, 0.1148746000, 0.2147139000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0012195790, 0.0029747470, 0.0072558810, 0.0176982400, 0.0431688200, 0.1052956000");
values("0.0015452000, 0.0004271000, -0.002322300, -0.009175100, -0.026136200, -0.067514200, -0.168168100", \
"0.0011328000, 4.400000e-05, -0.002652400, -0.009370000, -0.026121800, -0.067280800, -0.167789400", \
"0.0004780000, -0.000602300, -0.003261400, -0.009832100, -0.026250400, -0.067116900, -0.167454100", \
"-0.000247100, -0.001371600, -0.003942500, -0.010485900, -0.026778600, -0.067221700, -0.167206000", \
"3.720000e-05, -0.001039900, -0.003729300, -0.010306400, -0.026946400, -0.067382700, -0.167191700", \
"0.0008333000, -0.000335900, -0.003156200, -0.009790900, -0.026536200, -0.067238000, -0.167188200", \
"0.0051264000, 0.0038580000, 0.0007854000, -0.006590700, -0.023712200, -0.065107300, -0.165455100");
}
related_pin : "D1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0012195790, 0.0029747470, 0.0072558810, 0.0176982400, 0.0431688200, 0.1052956000");
values("0.0486991000, 0.0499202000, 0.0528926000, 0.0601570000, 0.0773388000, 0.1184517000, 0.2181123000", \
"0.0478388000, 0.0490782000, 0.0520754000, 0.0593483000, 0.0765048000, 0.1179640000, 0.2178546000", \
"0.0471685000, 0.0483604000, 0.0513619000, 0.0583555000, 0.0756045000, 0.1171491000, 0.2175230000", \
"0.0466519000, 0.0478513000, 0.0505773000, 0.0576021000, 0.0747497000, 0.1163281000, 0.2165555000", \
"0.0465485000, 0.0476917000, 0.0505014000, 0.0574066000, 0.0742342000, 0.1153859000, 0.2155768000", \
"0.0489098000, 0.0500399000, 0.0527647000, 0.0596385000, 0.0751609000, 0.1158525000, 0.2150199000", \
"0.0525890000, 0.0536673000, 0.0563581000, 0.0629656000, 0.0791350000, 0.1186919000, 0.2170144000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0012195790, 0.0029747470, 0.0072558810, 0.0176982400, 0.0431688200, 0.1052956000");
values("0.0289515000, 0.0277852000, 0.0249500000, 0.0180320000, 0.0012009000, -0.039767000, -0.139385700", \
"0.0285124000, 0.0273514000, 0.0245357000, 0.0176653000, 0.0008155000, -0.040185300, -0.139800800", \
"0.0279871000, 0.0268194000, 0.0240089000, 0.0170815000, 0.0002887000, -0.040656100, -0.140330800", \
"0.0272963000, 0.0261262000, 0.0234167000, 0.0165357000, -0.000334000, -0.041277100, -0.140924100", \
"0.0266524000, 0.0255056000, 0.0227632000, 0.0160802000, -0.000779200, -0.041662900, -0.141221100", \
"0.0259030000, 0.0247516000, 0.0219209000, 0.0149855000, -0.001405000, -0.042280500, -0.141511300", \
"0.0298226000, 0.0286787000, 0.0258159000, 0.0189346000, 0.0023602000, -0.039554900, -0.140887900");
}
related_pin : "A1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0012195790, 0.0029747470, 0.0072558810, 0.0176982400, 0.0431688200, 0.1052956000");
values("0.0541483000, 0.0553531000, 0.0582765000, 0.0652318000, 0.0821347000, 0.1230572000, 0.2225209000", \
"0.0533846000, 0.0546481000, 0.0575590000, 0.0648152000, 0.0817813000, 0.1228017000, 0.2235682000", \
"0.0523511000, 0.0535825000, 0.0565565000, 0.0637449000, 0.0810308000, 0.1224125000, 0.2222211000", \
"0.0516390000, 0.0528933000, 0.0558649000, 0.0629471000, 0.0800360000, 0.1216244000, 0.2217404000", \
"0.0510761000, 0.0522727000, 0.0552109000, 0.0621918000, 0.0791393000, 0.1205729000, 0.2212182000", \
"0.0509793000, 0.0521479000, 0.0549833000, 0.0619257000, 0.0787943000, 0.1203100000, 0.2199191000", \
"0.0507873000, 0.0519839000, 0.0548267000, 0.0619069000, 0.0789040000, 0.1193148000, 0.2197019000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0012195790, 0.0029747470, 0.0072558810, 0.0176982400, 0.0431688200, 0.1052956000");
values("0.0315479000, 0.0303827000, 0.0275664000, 0.0206151000, 0.0037727000, -0.037177900, -0.136825400", \
"0.0310447000, 0.0298852000, 0.0270705000, 0.0201761000, 0.0033141000, -0.037660700, -0.137256900", \
"0.0304988000, 0.0293362000, 0.0265010000, 0.0195944000, 0.0027592000, -0.038243600, -0.137869100", \
"0.0299641000, 0.0288264000, 0.0260037000, 0.0191485000, 0.0022765000, -0.038758900, -0.138429900", \
"0.0295944000, 0.0284363000, 0.0256246000, 0.0188127000, 0.0021027000, -0.038885900, -0.138508100", \
"0.0290952000, 0.0278977000, 0.0251905000, 0.0183363000, 0.0014346000, -0.039280700, -0.138986200", \
"0.0321254000, 0.0309306000, 0.0280252000, 0.0211643000, 0.0039671000, -0.037644700, -0.138626300");
}
related_pin : "A2";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0012195790, 0.0029747470, 0.0072558810, 0.0176982400, 0.0431688200, 0.1052956000");
values("0.0688265000, 0.0699564000, 0.0728051000, 0.0797369000, 0.0965005000, 0.1373680000, 0.2367023000", \
"0.0682578000, 0.0694514000, 0.0723245000, 0.0792632000, 0.0960643000, 0.1369882000, 0.2363721000", \
"0.0676682000, 0.0687894000, 0.0717129000, 0.0787108000, 0.0955975000, 0.1366311000, 0.2360693000", \
"0.0670096000, 0.0682062000, 0.0711050000, 0.0781153000, 0.0950286000, 0.1361706000, 0.2358075000", \
"0.0664946000, 0.0676989000, 0.0705179000, 0.0775102000, 0.0944155000, 0.1355356000, 0.2353233000", \
"0.0662482000, 0.0674313000, 0.0702900000, 0.0772253000, 0.0940702000, 0.1350269000, 0.2347841000", \
"0.0661768000, 0.0673355000, 0.0702512000, 0.0772227000, 0.0940449000, 0.1349469000, 0.2346230000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0012195790, 0.0029747470, 0.0072558810, 0.0176982400, 0.0431688200, 0.1052956000");
values("0.0204779000, 0.0192959000, 0.0164681000, 0.0095953000, -0.007221300, -0.048055700, -0.148067600", \
"0.0204909000, 0.0193328000, 0.0164881000, 0.0095890000, -0.007169800, -0.048023200, -0.148019400", \
"0.0204836000, 0.0193243000, 0.0165165000, 0.0096892000, -0.007101200, -0.047928100, -0.147890000", \
"0.0198848000, 0.0187425000, 0.0159410000, 0.0091291000, -0.007504600, -0.048182600, -0.148114300", \
"0.0194189000, 0.0182738000, 0.0154896000, 0.0087082000, -0.007607800, -0.048240700, -0.148060500", \
"0.0209419000, 0.0198013000, 0.0169821000, 0.0100759000, -0.006809300, -0.047973500, -0.148038700", \
"0.0254725000, 0.0242618000, 0.0213377000, 0.0142095000, -0.002861800, -0.044357600, -0.145506800");
}
related_pin : "B1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0012195790, 0.0029747470, 0.0072558810, 0.0176982400, 0.0431688200, 0.1052956000");
values("0.0519259000, 0.0531336000, 0.0559949000, 0.0630608000, 0.0799414000, 0.1208429000, 0.2203961000", \
"0.0512559000, 0.0524645000, 0.0554056000, 0.0624154000, 0.0794814000, 0.1205470000, 0.2200400000", \
"0.0504344000, 0.0516079000, 0.0545148000, 0.0616494000, 0.0787614000, 0.1200581000, 0.2197832000", \
"0.0497831000, 0.0509902000, 0.0538733000, 0.0608974000, 0.0779379000, 0.1192651000, 0.2192973000", \
"0.0492809000, 0.0504344000, 0.0532595000, 0.0602150000, 0.0771750000, 0.1183517000, 0.2182724000", \
"0.0490579000, 0.0501926000, 0.0530133000, 0.0599999000, 0.0767595000, 0.1178181000, 0.2176222000", \
"0.0492126000, 0.0503577000, 0.0532131000, 0.0601983000, 0.0770146000, 0.1180387000, 0.2176914000");
}
}
max_capacitance : 0.1052960000;
max_transition : 1.5000270000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000");
values("0.0345311000, 0.0362170000, 0.0401163000, 0.0492228000, 0.0699652000, 0.1162848000, 0.2204881000", \
"0.0391834000, 0.0408276000, 0.0446358000, 0.0536352000, 0.0741424000, 0.1202989000, 0.2244269000", \
"0.0508691000, 0.0523195000, 0.0558915000, 0.0644440000, 0.0844361000, 0.1300924000, 0.2343200000", \
"0.0750916000, 0.0769049000, 0.0814274000, 0.0911035000, 0.1098633000, 0.1541844000, 0.2569184000", \
"0.1069953000, 0.1095456000, 0.1155179000, 0.1287897000, 0.1562429000, 0.2092952000, 0.3121709000", \
"0.1412854000, 0.1449778000, 0.1536307000, 0.1732886000, 0.2126793000, 0.2908991000, 0.4304660000", \
"0.1510325000, 0.1565964000, 0.1693347000, 0.1979827000, 0.2581545000, 0.3761315000, 0.5872233000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000");
values("0.2353509000, 0.2421938000, 0.2593210000, 0.2997171000, 0.3959593000, 0.6277714000, 1.1920388000", \
"0.2374875000, 0.2448798000, 0.2617631000, 0.3030369000, 0.4001110000, 0.6331309000, 1.2056589000", \
"0.2469594000, 0.2538633000, 0.2706934000, 0.3126838000, 0.4109569000, 0.6453312000, 1.2115007000", \
"0.2739980000, 0.2809072000, 0.2976949000, 0.3388343000, 0.4371444000, 0.6715829000, 1.2395489000", \
"0.3329486000, 0.3396131000, 0.3564089000, 0.3962631000, 0.4929899000, 0.7288193000, 1.2980973000", \
"0.4419191000, 0.4504237000, 0.4679979000, 0.5120699000, 0.6105537000, 0.8458660000, 1.4118720000", \
"0.6256540000, 0.6349273000, 0.6568119000, 0.7089941000, 0.8250341000, 1.0835384000, 1.6564784000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000");
values("0.0479751000, 0.0499923000, 0.0548804000, 0.0664691000, 0.0934509000, 0.1554311000, 0.3004395000", \
"0.0466602000, 0.0487171000, 0.0537314000, 0.0655752000, 0.0927113000, 0.1549011000, 0.3002123000", \
"0.0467610000, 0.0485734000, 0.0530461000, 0.0641601000, 0.0910385000, 0.1537764000, 0.2996791000", \
"0.0583282000, 0.0599124000, 0.0640868000, 0.0728720000, 0.0954204000, 0.1530548000, 0.2989613000", \
"0.0821621000, 0.0842941000, 0.0893949000, 0.1013961000, 0.1244672000, 0.1749544000, 0.3038638000", \
"0.1286859000, 0.1315295000, 0.1380450000, 0.1526260000, 0.1838950000, 0.2450402000, 0.3696662000", \
"0.2102025000, 0.2144825000, 0.2245761000, 0.2465321000, 0.2917096000, 0.3766607000, 0.5332474000");
}
related_pin : "A1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000");
values("0.1508708000, 0.1597921000, 0.1808156000, 0.2332620000, 0.3611033000, 0.6716003000, 1.4267170000", \
"0.1506659000, 0.1595097000, 0.1815766000, 0.2337034000, 0.3611288000, 0.6712646000, 1.4398693000", \
"0.1512074000, 0.1602650000, 0.1815984000, 0.2334239000, 0.3610691000, 0.6716039000, 1.4266620000", \
"0.1515202000, 0.1600124000, 0.1813181000, 0.2342364000, 0.3613007000, 0.6717157000, 1.4268736000", \
"0.1526616000, 0.1614800000, 0.1818437000, 0.2342612000, 0.3610091000, 0.6717903000, 1.4315611000", \
"0.1716144000, 0.1799262000, 0.1997020000, 0.2479943000, 0.3694374000, 0.6741422000, 1.4273401000", \
"0.2187338000, 0.2274530000, 0.2480916000, 0.3001059000, 0.4239132000, 0.7153298000, 1.4421089000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000");
values("0.0405000000, 0.0420575000, 0.0458598000, 0.0548314000, 0.0753532000, 0.1217469000, 0.2262497000", \
"0.0449303000, 0.0465725000, 0.0503719000, 0.0593207000, 0.0797776000, 0.1256937000, 0.2299401000", \
"0.0542539000, 0.0557999000, 0.0594117000, 0.0680994000, 0.0883877000, 0.1342769000, 0.2385359000", \
"0.0728919000, 0.0746480000, 0.0788332000, 0.0880391000, 0.1080755000, 0.1531723000, 0.2572513000", \
"0.1019200000, 0.1040840000, 0.1092008000, 0.1209501000, 0.1455240000, 0.1957236000, 0.3008409000", \
"0.1359729000, 0.1391698000, 0.1470820000, 0.1631693000, 0.1981414000, 0.2665399000, 0.3913713000", \
"0.1519715000, 0.1567375000, 0.1679287000, 0.1924280000, 0.2458690000, 0.3476654000, 0.5282499000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000");
values("0.2878613000, 0.2947414000, 0.3112910000, 0.3508005000, 0.4477843000, 0.6812966000, 1.2480867000", \
"0.2905748000, 0.2976880000, 0.3146083000, 0.3547770000, 0.4517767000, 0.6854425000, 1.2526180000", \
"0.3011534000, 0.3079187000, 0.3254424000, 0.3659690000, 0.4634438000, 0.6977926000, 1.2654783000", \
"0.3273903000, 0.3345845000, 0.3516507000, 0.3921476000, 0.4897137000, 0.7249425000, 1.2942378000", \
"0.3834274000, 0.3908038000, 0.4071529000, 0.4478085000, 0.5450220000, 0.7798123000, 1.3494686000", \
"0.4892964000, 0.4966734000, 0.5145891000, 0.5571964000, 0.6547243000, 0.8890880000, 1.4579685000", \
"0.6661491000, 0.6744327000, 0.6950243000, 0.7445721000, 0.8564838000, 1.1096198000, 1.6831935000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000");
values("0.0471845000, 0.0492456000, 0.0541498000, 0.0658212000, 0.0930451000, 0.1548477000, 0.3000765000", \
"0.0465059000, 0.0485105000, 0.0534301000, 0.0651971000, 0.0922532000, 0.1544742000, 0.2999120000", \
"0.0464123000, 0.0482705000, 0.0530192000, 0.0643399000, 0.0914166000, 0.1539336000, 0.2994428000", \
"0.0534027000, 0.0551640000, 0.0591529000, 0.0690326000, 0.0935084000, 0.1535018000, 0.2990981000", \
"0.0726287000, 0.0743250000, 0.0787967000, 0.0889706000, 0.1117746000, 0.1652872000, 0.3016546000", \
"0.1116540000, 0.1137762000, 0.1194919000, 0.1312315000, 0.1572027000, 0.2148929000, 0.3385614000", \
"0.1828975000, 0.1858125000, 0.1929121000, 0.2092859000, 0.2435306000, 0.3140454000, 0.4543378000");
}
related_pin : "A2";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000");
values("0.1972737000, 0.2066901000, 0.2275939000, 0.2811552000, 0.4089064000, 0.7213269000, 1.4796754000", \
"0.1981734000, 0.2071144000, 0.2279522000, 0.2803881000, 0.4091268000, 0.7212038000, 1.4788198000", \
"0.1976336000, 0.2069356000, 0.2289198000, 0.2803909000, 0.4091096000, 0.7211629000, 1.4793199000", \
"0.1982738000, 0.2072247000, 0.2279003000, 0.2818620000, 0.4091894000, 0.7236458000, 1.4809260000", \
"0.1986605000, 0.2073942000, 0.2291516000, 0.2805238000, 0.4104062000, 0.7212222000, 1.4843994000", \
"0.2129944000, 0.2212571000, 0.2415320000, 0.2915272000, 0.4158711000, 0.7219157000, 1.4820536000", \
"0.2574702000, 0.2667840000, 0.2891973000, 0.3402587000, 0.4665422000, 0.7595592000, 1.4964138000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000");
values("0.0232670000, 0.0243025000, 0.0266350000, 0.0322352000, 0.0449255000, 0.0733494000, 0.1381523000", \
"0.0283898000, 0.0293405000, 0.0316671000, 0.0371043000, 0.0496123000, 0.0779726000, 0.1427368000", \
"0.0405032000, 0.0415034000, 0.0438087000, 0.0489537000, 0.0609012000, 0.0888826000, 0.1535724000", \
"0.0589413000, 0.0603612000, 0.0636477000, 0.0706609000, 0.0856236000, 0.1143309000, 0.1784337000", \
"0.0806831000, 0.0827108000, 0.0874930000, 0.0981776000, 0.1204393000, 0.1627615000, 0.2373349000", \
"0.0955709000, 0.0986887000, 0.1060581000, 0.1230955000, 0.1575317000, 0.2225474000, 0.3363409000", \
"0.0789200000, 0.0837265000, 0.0950041000, 0.1206165000, 0.1731621000, 0.2744872000, 0.4519131000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000");
values("0.2667752000, 0.2738141000, 0.2906936000, 0.3311000000, 0.4282533000, 0.6625288000, 1.2301800000", \
"0.2682914000, 0.2753814000, 0.2924381000, 0.3332392000, 0.4312493000, 0.6659610000, 1.2338021000", \
"0.2765708000, 0.2836507000, 0.3006388000, 0.3412621000, 0.4399291000, 0.6757598000, 1.2447140000", \
"0.3003460000, 0.3075450000, 0.3245714000, 0.3646288000, 0.4631864000, 0.6993614000, 1.2694898000", \
"0.3549939000, 0.3615327000, 0.3783913000, 0.4190482000, 0.5168930000, 0.7521273000, 1.3223395000", \
"0.4627497000, 0.4705041000, 0.4891456000, 0.5332484000, 0.6327103000, 0.8673931000, 1.4367217000", \
"0.6489581000, 0.6584707000, 0.6817360000, 0.7367960000, 0.8570201000, 1.1208520000, 1.6973067000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000");
values("0.0329807000, 0.0340119000, 0.0365923000, 0.0429887000, 0.0582021000, 0.0948677000, 0.1833936000", \
"0.0322481000, 0.0332538000, 0.0357524000, 0.0421622000, 0.0577219000, 0.0945554000, 0.1832448000", \
"0.0353979000, 0.0362211000, 0.0382993000, 0.0437550000, 0.0576510000, 0.0939929000, 0.1830313000", \
"0.0493811000, 0.0502387000, 0.0522775000, 0.0574417000, 0.0684667000, 0.0991059000, 0.1826755000", \
"0.0763466000, 0.0775570000, 0.0805006000, 0.0868476000, 0.1016157000, 0.1319510000, 0.2017943000", \
"0.1253554000, 0.1271295000, 0.1312685000, 0.1406856000, 0.1610254000, 0.2027025000, 0.2817965000", \
"0.2124326000, 0.2151707000, 0.2217000000, 0.2357070000, 0.2675837000, 0.3285233000, 0.4412154000");
}
related_pin : "B1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000");
values("0.1971377000, 0.2066019000, 0.2274341000, 0.2815671000, 0.4091720000, 0.7236763000, 1.4843411000", \
"0.1975099000, 0.2060431000, 0.2284339000, 0.2804759000, 0.4089257000, 0.7211686000, 1.4794209000", \
"0.1972916000, 0.2067462000, 0.2275980000, 0.2814789000, 0.4090635000, 0.7213468000, 1.4797065000", \
"0.1983215000, 0.2072287000, 0.2278241000, 0.2810769000, 0.4089680000, 0.7213614000, 1.4799418000", \
"0.1988496000, 0.2079437000, 0.2296270000, 0.2821194000, 0.4089692000, 0.7212198000, 1.4793403000", \
"0.2260729000, 0.2344829000, 0.2533418000, 0.3018118000, 0.4227037000, 0.7234812000, 1.4816286000", \
"0.2962616000, 0.3052713000, 0.3268635000, 0.3777011000, 0.4974418000, 0.7796483000, 1.5000274000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000");
values("0.0220852000, 0.0229603000, 0.0249152000, 0.0295701000, 0.0401644000, 0.0646455000, 0.1227497000", \
"0.0268757000, 0.0277287000, 0.0296794000, 0.0341841000, 0.0447651000, 0.0692749000, 0.1273291000", \
"0.0376513000, 0.0385949000, 0.0407761000, 0.0452970000, 0.0556801000, 0.0801295000, 0.1382348000", \
"0.0520796000, 0.0534712000, 0.0567037000, 0.0638392000, 0.0785802000, 0.1053140000, 0.1633206000", \
"0.0658946000, 0.0685094000, 0.0733797000, 0.0844150000, 0.1069312000, 0.1485652000, 0.2213156000", \
"0.0682692000, 0.0716558000, 0.0795310000, 0.0966298000, 0.1325048000, 0.1977530000, 0.3109825000", \
"0.0273170000, 0.0325441000, 0.0447534000, 0.0720278000, 0.1289483000, 0.2317970000, 0.4095903000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000");
values("0.2219286000, 0.2290668000, 0.2460704000, 0.2867501000, 0.3843346000, 0.6185715000, 1.1875927000", \
"0.2226666000, 0.2298478000, 0.2469787000, 0.2883983000, 0.3865844000, 0.6218995000, 1.1913367000", \
"0.2300187000, 0.2374273000, 0.2546996000, 0.2956104000, 0.3941402000, 0.6302543000, 1.1997092000", \
"0.2535664000, 0.2607474000, 0.2778995000, 0.3183240000, 0.4165167000, 0.6527250000, 1.2232957000", \
"0.3096253000, 0.3165056000, 0.3335860000, 0.3736652000, 0.4713296000, 0.7066211000, 1.2767906000", \
"0.4248784000, 0.4332623000, 0.4526021000, 0.4996460000, 0.6023835000, 0.8373432000, 1.4065799000", \
"0.6288982000, 0.6403791000, 0.6673723000, 0.7282684000, 0.8605415000, 1.1333692000, 1.7141745000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000");
values("0.0235072000, 0.0244522000, 0.0267772000, 0.0324765000, 0.0461254000, 0.0788893000, 0.1587961000", \
"0.0230648000, 0.0239901000, 0.0263055000, 0.0321228000, 0.0459675000, 0.0788547000, 0.1587321000", \
"0.0267489000, 0.0275068000, 0.0295301000, 0.0344719000, 0.0468945000, 0.0787328000, 0.1588029000", \
"0.0409663000, 0.0418514000, 0.0439628000, 0.0488515000, 0.0595327000, 0.0859656000, 0.1597907000", \
"0.0672613000, 0.0681978000, 0.0711002000, 0.0777111000, 0.0918629000, 0.1210741000, 0.1823092000", \
"0.1139782000, 0.1156944000, 0.1199971000, 0.1301762000, 0.1506145000, 0.1915803000, 0.2661135000", \
"0.2005359000, 0.2033587000, 0.2099698000, 0.2247250000, 0.2553599000, 0.3165200000, 0.4233003000");
}
related_pin : "C1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000");
values("0.1973544000, 0.2066313000, 0.2274880000, 0.2802686000, 0.4090384000, 0.7213601000, 1.4808271000", \
"0.1976940000, 0.2068784000, 0.2275930000, 0.2804606000, 0.4104909000, 0.7236591000, 1.4814060000", \
"0.1977378000, 0.2068543000, 0.2282967000, 0.2801768000, 0.4091568000, 0.7211325000, 1.4818939000", \
"0.1975237000, 0.2067706000, 0.2275449000, 0.2805006000, 0.4089464000, 0.7217061000, 1.4789646000", \
"0.2014467000, 0.2097801000, 0.2302731000, 0.2822721000, 0.4091510000, 0.7214947000, 1.4791811000", \
"0.2393933000, 0.2466926000, 0.2654157000, 0.3115244000, 0.4282701000, 0.7277478000, 1.4790151000", \
"0.3310665000, 0.3402099000, 0.3616438000, 0.4143988000, 0.5365574000, 0.7995751000, 1.4965519000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000");
values("0.0161569000, 0.0168754000, 0.0185615000, 0.0225092000, 0.0317548000, 0.0535000000, 0.1060772000", \
"0.0208282000, 0.0215484000, 0.0232680000, 0.0271944000, 0.0364622000, 0.0583377000, 0.1109989000", \
"0.0287182000, 0.0298258000, 0.0323080000, 0.0376217000, 0.0475032000, 0.0694245000, 0.1220420000", \
"0.0374615000, 0.0391427000, 0.0429541000, 0.0511946000, 0.0670931000, 0.0950021000, 0.1474669000", \
"0.0425844000, 0.0452832000, 0.0514007000, 0.0643833000, 0.0901437000, 0.1331765000, 0.2054551000", \
"0.0311951000, 0.0354964000, 0.0455826000, 0.0658835000, 0.1062681000, 0.1761996000, 0.2884404000", \
"-0.031164200, -0.024276700, -0.008511000, 0.0246778000, 0.0891399000, 0.2004354000, 0.3805528000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000");
values("0.1418014000, 0.1488970000, 0.1666060000, 0.2081663000, 0.3070887000, 0.5426214000, 1.1112122000", \
"0.1422476000, 0.1495284000, 0.1664453000, 0.2089141000, 0.3080244000, 0.5446540000, 1.1139785000", \
"0.1499350000, 0.1567758000, 0.1742202000, 0.2148991000, 0.3141047000, 0.5513657000, 1.1222427000", \
"0.1739214000, 0.1806494000, 0.1965225000, 0.2370693000, 0.3352521000, 0.5719791000, 1.1437113000", \
"0.2394765000, 0.2452138000, 0.2599680000, 0.2974057000, 0.3936158000, 0.6287223000, 1.1991291000", \
"0.3730779000, 0.3813429000, 0.4003889000, 0.4440176000, 0.5407672000, 0.7692256000, 1.3351927000", \
"0.5882214000, 0.6000276000, 0.6282198000, 0.6927974000, 0.8300472000, 1.1012853000, 1.6584791000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000");
values("0.0124167000, 0.0133210000, 0.0156505000, 0.0212962000, 0.0346389000, 0.0648213000, 0.1362390000", \
"0.0130989000, 0.0139303000, 0.0160849000, 0.0213875000, 0.0346828000, 0.0648147000, 0.1363119000", \
"0.0202272000, 0.0209297000, 0.0226240000, 0.0262580000, 0.0372059000, 0.0651689000, 0.1362677000", \
"0.0355992000, 0.0364339000, 0.0382776000, 0.0427766000, 0.0529490000, 0.0748193000, 0.1380495000", \
"0.0630573000, 0.0640997000, 0.0666878000, 0.0726953000, 0.0855752000, 0.1125876000, 0.1644155000", \
"0.1125305000, 0.1139477000, 0.1170297000, 0.1258133000, 0.1442521000, 0.1814121000, 0.2527015000", \
"0.2038305000, 0.2059747000, 0.2109711000, 0.2237230000, 0.2505027000, 0.3069522000, 0.4068186000");
}
related_pin : "D1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000");
values("0.1919651000, 0.2016277000, 0.2244716000, 0.2791912000, 0.4096578000, 0.7233397000, 1.4844077000", \
"0.1910709000, 0.2002506000, 0.2231019000, 0.2776904000, 0.4090987000, 0.7207641000, 1.4816465000", \
"0.1888859000, 0.1987328000, 0.2215779000, 0.2768189000, 0.4086638000, 0.7211313000, 1.4800223000", \
"0.1833146000, 0.1924057000, 0.2161689000, 0.2741539000, 0.4066425000, 0.7217537000, 1.4788292000", \
"0.1906062000, 0.1993470000, 0.2192240000, 0.2719825000, 0.4005863000, 0.7208312000, 1.4794048000", \
"0.2387513000, 0.2487646000, 0.2724144000, 0.3177764000, 0.4288639000, 0.7217739000, 1.4794257000", \
"0.3233296000, 0.3346813000, 0.3616530000, 0.4236127000, 0.5560842000, 0.8235763000, 1.4995479000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
}
}
/* removed sky130_fd_sc_hd__a211o_1 */
/* removed sky130_fd_sc_hd__a211o_2 */
cell ("sky130_fd_sc_hd__a211o_4") {
leakage_power () {
value : 0.0033710000;
when : "!A1&!A2&!B1&C1";
}
leakage_power () {
value : 0.0098061000;
when : "!A1&!A2&!B1&!C1";
}
leakage_power () {
value : 0.0021701000;
when : "!A1&!A2&B1&C1";
}
leakage_power () {
value : 0.0036611000;
when : "!A1&!A2&B1&!C1";
}
leakage_power () {
value : 0.0033711000;
when : "!A1&A2&!B1&C1";
}
leakage_power () {
value : 0.0107815000;
when : "!A1&A2&!B1&!C1";
}
leakage_power () {
value : 0.0021701000;
when : "!A1&A2&B1&C1";
}
leakage_power () {
value : 0.0036611000;
when : "!A1&A2&B1&!C1";
}
leakage_power () {
value : 0.0033720000;
when : "A1&!A2&!B1&C1";
}
leakage_power () {
value : 0.0104498000;
when : "A1&!A2&!B1&!C1";
}
leakage_power () {
value : 0.0021701000;
when : "A1&!A2&B1&C1";
}
leakage_power () {
value : 0.0036611000;
when : "A1&!A2&B1&!C1";
}
leakage_power () {
value : 0.0022448000;
when : "A1&A2&!B1&C1";
}
leakage_power () {
value : 0.0044171000;
when : "A1&A2&!B1&!C1";
}
leakage_power () {
value : 0.0021068000;
when : "A1&A2&B1&C1";
}
leakage_power () {
value : 0.0022659000;
when : "A1&A2&B1&!C1";
}
area : 17.516800000;
cell_footprint : "sky130_fd_sc_hd__a211o";
cell_leakage_power : 0.0043549920;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A1") {
capacitance : 0.0043620000;
clock : "false";
direction : "input";
fall_capacitance : 0.0041770000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0087844000, 0.0087905000, 0.0088045000, 0.0088028000, 0.0087988000, 0.0087896000, 0.0087685000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.006940900, -0.006947100, -0.006961200, -0.006948800, -0.006920300, -0.006854500, -0.006703000");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0045470000;
}
pin ("A2") {
capacitance : 0.0047860000;
clock : "false";
direction : "input";
fall_capacitance : 0.0045620000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0082288000, 0.0082254000, 0.0082177000, 0.0082178000, 0.0082181000, 0.0082188000, 0.0082204000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.008218100, -0.008216500, -0.008212700, -0.008214200, -0.008217700, -0.008225700, -0.008244200");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0050090000;
}
pin ("B1") {
capacitance : 0.0048560000;
clock : "false";
direction : "input";
fall_capacitance : 0.0045050000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0077562000, 0.0077565000, 0.0077572000, 0.0077598000, 0.0077660000, 0.0077802000, 0.0078129000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.006955100, -0.007100300, -0.007435000, -0.007444000, -0.007464600, -0.007512200, -0.007621800");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0052080000;
}
pin ("C1") {
capacitance : 0.0044290000;
clock : "false";
direction : "input";
fall_capacitance : 0.0040860000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0051831000, 0.0051775000, 0.0051647000, 0.0051795000, 0.0052138000, 0.0052927000, 0.0054745000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.003443200, -0.003448300, -0.003460100, -0.003463300, -0.003470500, -0.003487100, -0.003525300");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0047710000;
}
pin ("X") {
direction : "output";
function : "(A1&A2) | (B1) | (C1)";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0016109980, 0.0051906300, 0.0167241900, 0.0538852700, 0.1736182000, 0.5593970000");
values("0.0322366000, 0.0302823000, 0.0248279000, 0.0094306000, -0.044650200, -0.234971500, -0.859179000", \
"0.0319452000, 0.0299994000, 0.0245276000, 0.0091660000, -0.044943400, -0.235196200, -0.859442000", \
"0.0315587000, 0.0295940000, 0.0239852000, 0.0086813000, -0.045427100, -0.235671300, -0.859908600", \
"0.0311294000, 0.0292645000, 0.0237727000, 0.0082858000, -0.045830700, -0.236155700, -0.860359000", \
"0.0307593000, 0.0288234000, 0.0232542000, 0.0078733000, -0.046392500, -0.236565900, -0.860871800", \
"0.0309979000, 0.0289888000, 0.0233394000, 0.0077548000, -0.046513300, -0.236773700, -0.860912000", \
"0.0412584000, 0.0391754000, 0.0327867000, 0.0137215000, -0.046081700, -0.236869900, -0.860900800");
}
related_pin : "A1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0016109980, 0.0051906300, 0.0167241900, 0.0538852700, 0.1736182000, 0.5593970000");
values("0.0358321000, 0.0378453000, 0.0442643000, 0.0643075000, 0.1255932000, 0.3187886000, 0.9371956000", \
"0.0357061000, 0.0376208000, 0.0440536000, 0.0640619000, 0.1254495000, 0.3180666000, 0.9355197000", \
"0.0354528000, 0.0374393000, 0.0438628000, 0.0638494000, 0.1251442000, 0.3179808000, 0.9362197000", \
"0.0353372000, 0.0373293000, 0.0436037000, 0.0634959000, 0.1245722000, 0.3174247000, 0.9382001000", \
"0.0355908000, 0.0375132000, 0.0438121000, 0.0632414000, 0.1236452000, 0.3173482000, 0.9357538000", \
"0.0372837000, 0.0390865000, 0.0449146000, 0.0636648000, 0.1235029000, 0.3155146000, 0.9375613000", \
"0.0402401000, 0.0419649000, 0.0476608000, 0.0664073000, 0.1247814000, 0.3172446000, 0.9333955000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0016109980, 0.0051906300, 0.0167241900, 0.0538852700, 0.1736182000, 0.5593970000");
values("0.0388081000, 0.0368478000, 0.0312879000, 0.0161034000, -0.038226000, -0.228689200, -0.852861800", \
"0.0388851000, 0.0369405000, 0.0313777000, 0.0159348000, -0.038461100, -0.228928900, -0.853078500", \
"0.0383306000, 0.0363692000, 0.0308024000, 0.0155273000, -0.038716000, -0.229167500, -0.853331100", \
"0.0379444000, 0.0360708000, 0.0305727000, 0.0151858000, -0.039098500, -0.229377900, -0.853531500", \
"0.0377875000, 0.0359094000, 0.0303897000, 0.0149368000, -0.039406400, -0.229906900, -0.853854800", \
"0.0379917000, 0.0359354000, 0.0302192000, 0.0149090000, -0.039456600, -0.229981000, -0.853991400", \
"0.0487253000, 0.0466167000, 0.0402500000, 0.0211483000, -0.039033300, -0.230110400, -0.854027500");
}
related_pin : "A2";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0016109980, 0.0051906300, 0.0167241900, 0.0538852700, 0.1736182000, 0.5593970000");
values("0.0371342000, 0.0391607000, 0.0454953000, 0.0655306000, 0.1268459000, 0.3195929000, 0.9371482000", \
"0.0369710000, 0.0389137000, 0.0452903000, 0.0653077000, 0.1265614000, 0.3195008000, 0.9380592000", \
"0.0366122000, 0.0385979000, 0.0450162000, 0.0650067000, 0.1262577000, 0.3190832000, 0.9372443000", \
"0.0366085000, 0.0385489000, 0.0449533000, 0.0648352000, 0.1258742000, 0.3188115000, 0.9371064000", \
"0.0363940000, 0.0383395000, 0.0445965000, 0.0639752000, 0.1252599000, 0.3184400000, 0.9358991000", \
"0.0378470000, 0.0396619000, 0.0455784000, 0.0645139000, 0.1244030000, 0.3170427000, 0.9361182000", \
"0.0406575000, 0.0423947000, 0.0480541000, 0.0667897000, 0.1269176000, 0.3188760000, 0.9337865000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0016109980, 0.0051906300, 0.0167241900, 0.0538852700, 0.1736182000, 0.5593970000");
values("0.0349991000, 0.0330485000, 0.0276174000, 0.0120792000, -0.042267600, -0.232769200, -0.856923300", \
"0.0343230000, 0.0323731000, 0.0268161000, 0.0115481000, -0.042652000, -0.233088400, -0.857250100", \
"0.0341569000, 0.0320580000, 0.0264899000, 0.0111268000, -0.043202400, -0.233605800, -0.857673400", \
"0.0335394000, 0.0316242000, 0.0259706000, 0.0106103000, -0.043722200, -0.233975800, -0.858125300", \
"0.0331254000, 0.0312136000, 0.0256728000, 0.0102231000, -0.044139600, -0.234454100, -0.858492100", \
"0.0336789000, 0.0315251000, 0.0257275000, 0.0100326000, -0.044434100, -0.234676700, -0.858709800", \
"0.0455546000, 0.0433790000, 0.0368587000, 0.0174462000, -0.043330400, -0.234337100, -0.858160300");
}
related_pin : "B1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0016109980, 0.0051906300, 0.0167241900, 0.0538852700, 0.1736182000, 0.5593970000");
values("0.0323189000, 0.0342946000, 0.0405127000, 0.0597846000, 0.1197641000, 0.3115664000, 0.9287061000", \
"0.0323618000, 0.0343690000, 0.0405841000, 0.0598435000, 0.1198887000, 0.3112516000, 0.9297896000", \
"0.0325377000, 0.0345110000, 0.0407503000, 0.0599526000, 0.1200496000, 0.3115101000, 0.9300385000", \
"0.0322009000, 0.0341291000, 0.0402289000, 0.0593853000, 0.1195764000, 0.3118091000, 0.9315314000", \
"0.0323330000, 0.0341207000, 0.0399170000, 0.0589817000, 0.1188819000, 0.3107754000, 0.9295041000", \
"0.0332604000, 0.0350398000, 0.0408480000, 0.0596606000, 0.1189334000, 0.3101296000, 0.9279700000", \
"0.0363276000, 0.0379671000, 0.0436678000, 0.0619664000, 0.1220409000, 0.3137059000, 0.9283750000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0016109980, 0.0051906300, 0.0167241900, 0.0538852700, 0.1736182000, 0.5593970000");
values("0.0322925000, 0.0304839000, 0.0250289000, 0.0096642000, -0.044693000, -0.235137200, -0.859213300", \
"0.0321046000, 0.0301830000, 0.0246468000, 0.0092171000, -0.045114200, -0.235483700, -0.859573500", \
"0.0314025000, 0.0295666000, 0.0239825000, 0.0086047000, -0.045600900, -0.235943100, -0.860154200", \
"0.0310072000, 0.0290426000, 0.0235712000, 0.0081655000, -0.046094100, -0.236508200, -0.860599100", \
"0.0307371000, 0.0288258000, 0.0233036000, 0.0079065000, -0.046508900, -0.236944400, -0.860823800", \
"0.0326261000, 0.0306511000, 0.0247789000, 0.0089381000, -0.045710200, -0.235805200, -0.859761700", \
"0.0464652000, 0.0442177000, 0.0376299000, 0.0180290000, -0.042715500, -0.234164800, -0.858128000");
}
related_pin : "C1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0016109980, 0.0051906300, 0.0167241900, 0.0538852700, 0.1736182000, 0.5593970000");
values("0.0257314000, 0.0277222000, 0.0341068000, 0.0535940000, 0.1139127000, 0.3059156000, 0.9201938000", \
"0.0257258000, 0.0277341000, 0.0340505000, 0.0535849000, 0.1139353000, 0.3060225000, 0.9220799000", \
"0.0255962000, 0.0275840000, 0.0338497000, 0.0533008000, 0.1136834000, 0.3075420000, 0.9204270000", \
"0.0252476000, 0.0271591000, 0.0333280000, 0.0525872000, 0.1130867000, 0.3060837000, 0.9242634000", \
"0.0254932000, 0.0273017000, 0.0330918000, 0.0521805000, 0.1122592000, 0.3050259000, 0.9238082000", \
"0.0264709000, 0.0282508000, 0.0340303000, 0.0529474000, 0.1122653000, 0.3039954000, 0.9239495000", \
"0.0295100000, 0.0310320000, 0.0365463000, 0.0549085000, 0.1150527000, 0.3068675000, 0.9211552000");
}
}
max_capacitance : 0.5593970000;
max_transition : 1.5038380000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016110000, 0.0051906300, 0.0167242000, 0.0538853000, 0.1736180000, 0.5593970000");
values("0.2856875000, 0.2907152000, 0.3038002000, 0.3336763000, 0.3953710000, 0.5298653000, 0.8767365000", \
"0.2897101000, 0.2946884000, 0.3077783000, 0.3376397000, 0.3994204000, 0.5339537000, 0.8809217000", \
"0.3008832000, 0.3057412000, 0.3187812000, 0.3486222000, 0.4104058000, 0.5449607000, 0.8919538000", \
"0.3276211000, 0.3325058000, 0.3455298000, 0.3752545000, 0.4370445000, 0.5715335000, 0.9184025000", \
"0.3844702000, 0.3894142000, 0.4023747000, 0.4322060000, 0.4941514000, 0.6286189000, 0.9756872000", \
"0.4989101000, 0.5040336000, 0.5174861000, 0.5479965000, 0.6104372000, 0.7455878000, 1.0928406000", \
"0.7011541000, 0.7067448000, 0.7214533000, 0.7547786000, 0.8237358000, 0.9665440000, 1.3192777000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016110000, 0.0051906300, 0.0167242000, 0.0538853000, 0.1736180000, 0.5593970000");
values("0.0870568000, 0.0909593000, 0.1015945000, 0.1287866000, 0.2019244000, 0.4245159000, 1.1333072000", \
"0.0911613000, 0.0950457000, 0.1056855000, 0.1328349000, 0.2061697000, 0.4291782000, 1.1391758000", \
"0.1014643000, 0.1053385000, 0.1159254000, 0.1429754000, 0.2162203000, 0.4393680000, 1.1498124000", \
"0.1258936000, 0.1297045000, 0.1401067000, 0.1667886000, 0.2394457000, 0.4618272000, 1.1707414000", \
"0.1675881000, 0.1715945000, 0.1822803000, 0.2091956000, 0.2820890000, 0.5046221000, 1.2133975000", \
"0.2166980000, 0.2216004000, 0.2339987000, 0.2619284000, 0.3352502000, 0.5576050000, 1.2678381000", \
"0.2512288000, 0.2576838000, 0.2737073000, 0.3085520000, 0.3832113000, 0.6056036000, 1.3137018000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016110000, 0.0051906300, 0.0167242000, 0.0538853000, 0.1736180000, 0.5593970000");
values("0.0395493000, 0.0425731000, 0.0509027000, 0.0713082000, 0.1206289000, 0.2545721000, 0.6867869000", \
"0.0396083000, 0.0426168000, 0.0509258000, 0.0713888000, 0.1205623000, 0.2545313000, 0.6859112000", \
"0.0398908000, 0.0427884000, 0.0510599000, 0.0713834000, 0.1203893000, 0.2543065000, 0.6861525000", \
"0.0398880000, 0.0429261000, 0.0512620000, 0.0707105000, 0.1204592000, 0.2544822000, 0.6868598000", \
"0.0396150000, 0.0425976000, 0.0515378000, 0.0712927000, 0.1202607000, 0.2538110000, 0.6868153000", \
"0.0425603000, 0.0454232000, 0.0535827000, 0.0737196000, 0.1226405000, 0.2554626000, 0.6854725000", \
"0.0504605000, 0.0537204000, 0.0627603000, 0.0837482000, 0.1352099000, 0.2684315000, 0.6920695000");
}
related_pin : "A1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016110000, 0.0051906300, 0.0167242000, 0.0538853000, 0.1736180000, 0.5593970000");
values("0.0221420000, 0.0253788000, 0.0350325000, 0.0644038000, 0.1599166000, 0.4763629000, 1.5006503000", \
"0.0220745000, 0.0254608000, 0.0349854000, 0.0643152000, 0.1599054000, 0.4763859000, 1.5018790000", \
"0.0219934000, 0.0253035000, 0.0348202000, 0.0641945000, 0.1599498000, 0.4771170000, 1.5007886000", \
"0.0215959000, 0.0247730000, 0.0345702000, 0.0639018000, 0.1595833000, 0.4769025000, 1.5004827000", \
"0.0244742000, 0.0276922000, 0.0368462000, 0.0652750000, 0.1600285000, 0.4757880000, 1.5009133000", \
"0.0316981000, 0.0348820000, 0.0434008000, 0.0701915000, 0.1619774000, 0.4755160000, 1.4978976000", \
"0.0439959000, 0.0479741000, 0.0582612000, 0.0830331000, 0.1675975000, 0.4780273000, 1.4994200000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016110000, 0.0051906300, 0.0167242000, 0.0538853000, 0.1736180000, 0.5593970000");
values("0.2947404000, 0.2998014000, 0.3130372000, 0.3423936000, 0.4029793000, 0.5342904000, 0.8785426000", \
"0.2995128000, 0.3045240000, 0.3178222000, 0.3473564000, 0.4078730000, 0.5391741000, 0.8834115000", \
"0.3119515000, 0.3170078000, 0.3302422000, 0.3598966000, 0.4202167000, 0.5515271000, 0.8957877000", \
"0.3400740000, 0.3451296000, 0.3584006000, 0.3879574000, 0.4487248000, 0.5794059000, 0.9239829000", \
"0.3979464000, 0.4030038000, 0.4161824000, 0.4456933000, 0.5062855000, 0.6377213000, 0.9820627000", \
"0.5136720000, 0.5189028000, 0.5325164000, 0.5624919000, 0.6238005000, 0.7553355000, 1.0994171000", \
"0.7155839000, 0.7213721000, 0.7364562000, 0.7699874000, 0.8371058000, 0.9762655000, 1.3252539000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016110000, 0.0051906300, 0.0167242000, 0.0538853000, 0.1736180000, 0.5593970000");
values("0.0919621000, 0.0958728000, 0.1065032000, 0.1336478000, 0.2068716000, 0.4290899000, 1.1368835000", \
"0.0962468000, 0.1000905000, 0.1107211000, 0.1378956000, 0.2111282000, 0.4341549000, 1.1422930000", \
"0.1055451000, 0.1094313000, 0.1200296000, 0.1471154000, 0.2203695000, 0.4434668000, 1.1538521000", \
"0.1270807000, 0.1309170000, 0.1413932000, 0.1682033000, 0.2411985000, 0.4642995000, 1.1746963000", \
"0.1663586000, 0.1704235000, 0.1813711000, 0.2087338000, 0.2812732000, 0.5037598000, 1.2114370000", \
"0.2193578000, 0.2241095000, 0.2363330000, 0.2653826000, 0.3386695000, 0.5607617000, 1.2726694000", \
"0.2660851000, 0.2721988000, 0.2877465000, 0.3218159000, 0.3981853000, 0.6208490000, 1.3280050000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016110000, 0.0051906300, 0.0167242000, 0.0538853000, 0.1736180000, 0.5593970000");
values("0.0399153000, 0.0429451000, 0.0511477000, 0.0711413000, 0.1178846000, 0.2498336000, 0.6840482000", \
"0.0399771000, 0.0428513000, 0.0509184000, 0.0709225000, 0.1171143000, 0.2496866000, 0.6836586000", \
"0.0399164000, 0.0429465000, 0.0511406000, 0.0698012000, 0.1178867000, 0.2498139000, 0.6840331000", \
"0.0402358000, 0.0432961000, 0.0509044000, 0.0707649000, 0.1171320000, 0.2495772000, 0.6822868000", \
"0.0402614000, 0.0434810000, 0.0517350000, 0.0701564000, 0.1182133000, 0.2501686000, 0.6821884000", \
"0.0424804000, 0.0454344000, 0.0532435000, 0.0721925000, 0.1191672000, 0.2503546000, 0.6847166000", \
"0.0505379000, 0.0537991000, 0.0625121000, 0.0828114000, 0.1314729000, 0.2618848000, 0.6877049000");
}
related_pin : "A2";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016110000, 0.0051906300, 0.0167242000, 0.0538853000, 0.1736180000, 0.5593970000");
values("0.0220719000, 0.0253359000, 0.0350170000, 0.0643221000, 0.1601706000, 0.4768894000, 1.5016929000", \
"0.0221197000, 0.0254232000, 0.0350479000, 0.0642975000, 0.1602991000, 0.4761465000, 1.4995321000", \
"0.0220779000, 0.0253586000, 0.0349067000, 0.0642437000, 0.1601298000, 0.4771194000, 1.5007138000", \
"0.0218905000, 0.0251249000, 0.0347010000, 0.0640408000, 0.1600192000, 0.4770311000, 1.4992503000", \
"0.0240649000, 0.0272985000, 0.0365176000, 0.0653659000, 0.1598889000, 0.4767225000, 1.5018399000", \
"0.0296268000, 0.0327797000, 0.0424360000, 0.0693618000, 0.1619229000, 0.4759914000, 1.5004781000", \
"0.0406895000, 0.0445766000, 0.0545610000, 0.0810396000, 0.1661865000, 0.4784668000, 1.5000430000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016110000, 0.0051906300, 0.0167242000, 0.0538853000, 0.1736180000, 0.5593970000");
values("0.2751185000, 0.2801948000, 0.2934522000, 0.3230257000, 0.3836559000, 0.5148733000, 0.8591881000", \
"0.2780202000, 0.2830934000, 0.2963526000, 0.3260194000, 0.3863829000, 0.5177151000, 0.8620067000", \
"0.2874797000, 0.2926251000, 0.3058590000, 0.3354859000, 0.3962107000, 0.5270501000, 0.8709657000", \
"0.3118258000, 0.3168998000, 0.3301195000, 0.3596421000, 0.4204821000, 0.5513047000, 0.8959385000", \
"0.3696403000, 0.3747238000, 0.3879025000, 0.4173840000, 0.4781049000, 0.6093613000, 0.9539698000", \
"0.4976659000, 0.5028265000, 0.5167283000, 0.5473300000, 0.6087907000, 0.7403285000, 1.0845896000", \
"0.7277179000, 0.7338088000, 0.7498294000, 0.7850099000, 0.8541070000, 0.9945134000, 1.3446726000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016110000, 0.0051906300, 0.0167242000, 0.0538853000, 0.1736180000, 0.5593970000");
values("0.0641276000, 0.0673347000, 0.0762717000, 0.1001156000, 0.1695035000, 0.3894394000, 1.0988571000", \
"0.0688170000, 0.0720353000, 0.0809709000, 0.1048315000, 0.1743784000, 0.3942491000, 1.1016815000", \
"0.0797849000, 0.0830214000, 0.0919498000, 0.1157029000, 0.1853582000, 0.4053166000, 1.1126370000", \
"0.1037092000, 0.1069615000, 0.1159059000, 0.1397282000, 0.2092109000, 0.4295811000, 1.1371222000", \
"0.1370553000, 0.1409217000, 0.1509485000, 0.1759518000, 0.2458683000, 0.4665454000, 1.1737853000", \
"0.1705527000, 0.1755878000, 0.1882528000, 0.2166583000, 0.2876653000, 0.5090986000, 1.2178673000", \
"0.1813109000, 0.1880057000, 0.2048736000, 0.2412281000, 0.3174280000, 0.5380643000, 1.2436114000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016110000, 0.0051906300, 0.0167242000, 0.0538853000, 0.1736180000, 0.5593970000");
values("0.0399733000, 0.0430311000, 0.0515516000, 0.0707541000, 0.1168975000, 0.2496567000, 0.6836965000", \
"0.0399176000, 0.0429511000, 0.0511648000, 0.0698079000, 0.1178293000, 0.2495296000, 0.6838731000", \
"0.0399188000, 0.0428882000, 0.0511631000, 0.0701808000, 0.1176901000, 0.2501305000, 0.6841683000", \
"0.0402234000, 0.0428939000, 0.0510426000, 0.0698359000, 0.1174895000, 0.2496598000, 0.6823333000", \
"0.0402486000, 0.0429500000, 0.0513386000, 0.0699309000, 0.1172787000, 0.2491601000, 0.6838548000", \
"0.0439201000, 0.0470331000, 0.0549710000, 0.0739028000, 0.1199637000, 0.2519704000, 0.6843851000", \
"0.0565186000, 0.0599273000, 0.0683941000, 0.0887207000, 0.1354372000, 0.2633751000, 0.6901671000");
}
related_pin : "B1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016110000, 0.0051906300, 0.0167242000, 0.0538853000, 0.1736180000, 0.5593970000");
values("0.0178087000, 0.0206291000, 0.0295061000, 0.0585200000, 0.1556262000, 0.4741025000, 1.5011904000", \
"0.0178121000, 0.0206827000, 0.0295447000, 0.0585504000, 0.1557912000, 0.4733520000, 1.4977766000", \
"0.0178160000, 0.0205849000, 0.0295516000, 0.0584993000, 0.1556400000, 0.4735015000, 1.4974745000", \
"0.0188272000, 0.0215192000, 0.0301720000, 0.0587197000, 0.1557986000, 0.4737341000, 1.4975263000", \
"0.0238424000, 0.0263464000, 0.0344322000, 0.0612809000, 0.1559380000, 0.4734331000, 1.4968941000", \
"0.0333320000, 0.0358511000, 0.0438703000, 0.0681013000, 0.1579896000, 0.4735695000, 1.4999765000", \
"0.0466599000, 0.0505911000, 0.0605936000, 0.0844909000, 0.1650072000, 0.4759026000, 1.4929707000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016110000, 0.0051906300, 0.0167242000, 0.0538853000, 0.1736180000, 0.5593970000");
values("0.2345037000, 0.2395532000, 0.2529028000, 0.2824408000, 0.3429973000, 0.4743609000, 0.8186568000", \
"0.2368269000, 0.2419679000, 0.2552630000, 0.2848683000, 0.3455166000, 0.4770112000, 0.8209336000", \
"0.2447472000, 0.2498002000, 0.2628241000, 0.2924909000, 0.3529225000, 0.4842410000, 0.8286449000", \
"0.2693265000, 0.2743672000, 0.2876051000, 0.3171219000, 0.3777202000, 0.5092421000, 0.8532542000", \
"0.3328171000, 0.3379156000, 0.3511336000, 0.3807395000, 0.4413456000, 0.5728198000, 0.9172853000", \
"0.4810669000, 0.4863471000, 0.5000815000, 0.5302728000, 0.5911433000, 0.7209153000, 1.0649812000", \
"0.7338798000, 0.7403906000, 0.7575583000, 0.7947115000, 0.8641746000, 1.0008790000, 1.3498923000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016110000, 0.0051906300, 0.0167242000, 0.0538853000, 0.1736180000, 0.5593970000");
values("0.0616369000, 0.0649783000, 0.0742901000, 0.0987160000, 0.1685097000, 0.3881851000, 1.0960394000", \
"0.0662534000, 0.0696063000, 0.0788887000, 0.1034282000, 0.1732460000, 0.3929230000, 1.1139482000", \
"0.0773148000, 0.0806414000, 0.0898821000, 0.1143149000, 0.1843586000, 0.4045158000, 1.1132999000", \
"0.0999440000, 0.1033689000, 0.1127314000, 0.1371624000, 0.2073858000, 0.4283673000, 1.1341664000", \
"0.1301315000, 0.1342994000, 0.1447946000, 0.1705622000, 0.2411686000, 0.4624461000, 1.1686591000", \
"0.1573492000, 0.1627031000, 0.1763241000, 0.2061366000, 0.2780827000, 0.4986991000, 1.2068481000", \
"0.1570861000, 0.1640671000, 0.1822407000, 0.2209569000, 0.2993921000, 0.5195087000, 1.2267019000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016110000, 0.0051906300, 0.0167242000, 0.0538853000, 0.1736180000, 0.5593970000");
values("0.0400509000, 0.0428737000, 0.0510754000, 0.0709754000, 0.1170794000, 0.2497207000, 0.6840254000", \
"0.0399623000, 0.0430360000, 0.0511577000, 0.0700017000, 0.1172588000, 0.2500031000, 0.6844618000", \
"0.0400599000, 0.0430569000, 0.0509788000, 0.0707152000, 0.1178490000, 0.2493851000, 0.6831913000", \
"0.0399166000, 0.0429725000, 0.0508312000, 0.0707839000, 0.1169752000, 0.2502215000, 0.6842946000", \
"0.0402251000, 0.0430459000, 0.0507812000, 0.0698500000, 0.1171400000, 0.2496105000, 0.6832820000", \
"0.0448358000, 0.0478526000, 0.0564413000, 0.0733712000, 0.1187520000, 0.2518399000, 0.6847739000", \
"0.0637558000, 0.0672903000, 0.0762557000, 0.0966580000, 0.1383432000, 0.2614313000, 0.6891412000");
}
related_pin : "C1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016110000, 0.0051906300, 0.0167242000, 0.0538853000, 0.1736180000, 0.5593970000");
values("0.0182508000, 0.0210669000, 0.0300391000, 0.0588733000, 0.1553422000, 0.4737125000, 1.5009366000", \
"0.0182249000, 0.0210988000, 0.0300378000, 0.0589251000, 0.1558124000, 0.4761699000, 1.5038376000", \
"0.0182313000, 0.0210784000, 0.0300926000, 0.0588997000, 0.1557027000, 0.4761833000, 1.4992977000", \
"0.0198243000, 0.0225180000, 0.0311881000, 0.0594178000, 0.1558606000, 0.4753937000, 1.5033070000", \
"0.0253861000, 0.0279727000, 0.0360848000, 0.0625571000, 0.1564769000, 0.4749359000, 1.5019243000", \
"0.0358669000, 0.0387199000, 0.0467705000, 0.0708261000, 0.1591203000, 0.4737829000, 1.4984619000", \
"0.0502490000, 0.0545919000, 0.0652988000, 0.0899610000, 0.1682293000, 0.4751127000, 1.4962180000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
/* removed sky130_fd_sc_hd__a211oi_1 */
/* removed sky130_fd_sc_hd__a211oi_2 */
/* removed sky130_fd_sc_hd__a211oi_4 */
/* removed sky130_fd_sc_hd__a21bo_1 */
/* removed sky130_fd_sc_hd__a21bo_2 */
cell ("sky130_fd_sc_hd__a21bo_4") {
leakage_power () {
value : 0.0083995000;
when : "!A1&!A2&B1_N";
}
leakage_power () {
value : 0.0058546000;
when : "!A1&!A2&!B1_N";
}
leakage_power () {
value : 0.0093676000;
when : "!A1&A2&B1_N";
}
leakage_power () {
value : 0.0058546000;
when : "!A1&A2&!B1_N";
}
leakage_power () {
value : 0.0090397000;
when : "A1&!A2&B1_N";
}
leakage_power () {
value : 0.0058546000;
when : "A1&!A2&!B1_N";
}
leakage_power () {
value : 0.0075080000;
when : "A1&A2&B1_N";
}
leakage_power () {
value : 0.0029896000;
when : "A1&A2&!B1_N";
}
area : 16.265600000;
cell_footprint : "sky130_fd_sc_hd__a21bo";
cell_leakage_power : 0.0068585080;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A1") {
capacitance : 0.0044110000;
clock : "false";
direction : "input";
fall_capacitance : 0.0042300000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0075869000, 0.0075855000, 0.0075821000, 0.0075834000, 0.0075866000, 0.0075938000, 0.0076105000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.007591200, -0.007585700, -0.007573200, -0.007575600, -0.007581300, -0.007594300, -0.007624300");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0045930000;
}
pin ("A2") {
capacitance : 0.0047940000;
clock : "false";
direction : "input";
fall_capacitance : 0.0045620000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0082730000, 0.0082754000, 0.0082810000, 0.0082783000, 0.0082720000, 0.0082576000, 0.0082244000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.008297100, -0.008289300, -0.008271400, -0.008266600, -0.008255700, -0.008230500, -0.008172500");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0050270000;
}
pin ("B1_N") {
capacitance : 0.0023800000;
clock : "false";
direction : "input";
fall_capacitance : 0.0022560000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0150112000, 0.0148767000, 0.0145667000, 0.0147210000, 0.0150768000, 0.0158968000, 0.0177870000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0045746000, 0.0044631000, 0.0042062000, 0.0043370000, 0.0046384000, 0.0053330000, 0.0069343000");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0025040000;
}
pin ("X") {
direction : "output";
function : "(A1&A2) | (!B1_N)";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015677720, 0.0049158190, 0.0154137700, 0.0483305500, 0.1515426000, 0.4751685000");
values("0.0287793000, 0.0271694000, 0.0220928000, 0.0080520000, -0.040900100, -0.206192300, -0.730139000", \
"0.0284898000, 0.0268578000, 0.0217968000, 0.0077329000, -0.041169300, -0.206484400, -0.730406200", \
"0.0280752000, 0.0263928000, 0.0213284000, 0.0072575000, -0.041584400, -0.206885400, -0.730785400", \
"0.0276595000, 0.0260156000, 0.0208948000, 0.0067593000, -0.042059400, -0.207346300, -0.731212700", \
"0.0273730000, 0.0257394000, 0.0205815000, 0.0063134000, -0.042680000, -0.207770100, -0.731619000", \
"0.0274773000, 0.0256214000, 0.0200771000, 0.0051556000, -0.043275500, -0.208016000, -0.731728900", \
"0.0351097000, 0.0331327000, 0.0273658000, 0.0102528000, -0.042476700, -0.208205400, -0.731476800");
}
related_pin : "A1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015677720, 0.0049158190, 0.0154137700, 0.0483305500, 0.1515426000, 0.4751685000");
values("0.0333402000, 0.0351655000, 0.0410453000, 0.0590654000, 0.1131127000, 0.2794436000, 0.7979701000", \
"0.0330529000, 0.0349316000, 0.0408465000, 0.0588127000, 0.1128753000, 0.2792588000, 0.7974006000", \
"0.0327559000, 0.0346593000, 0.0405588000, 0.0584922000, 0.1125829000, 0.2790510000, 0.7973509000", \
"0.0326359000, 0.0345006000, 0.0403115000, 0.0580010000, 0.1119062000, 0.2782930000, 0.7969835000", \
"0.0325387000, 0.0343185000, 0.0400873000, 0.0576573000, 0.1112481000, 0.2779141000, 0.7969836000", \
"0.0339698000, 0.0357156000, 0.0412736000, 0.0584154000, 0.1112888000, 0.2773279000, 0.7969349000", \
"0.0366732000, 0.0383493000, 0.0436714000, 0.0606443000, 0.1129905000, 0.2791802000, 0.7965250000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015677720, 0.0049158190, 0.0154137700, 0.0483305500, 0.1515426000, 0.4751685000");
values("0.0339691000, 0.0323885000, 0.0273396000, 0.0131172000, -0.036043700, -0.201253800, -0.725046400", \
"0.0338321000, 0.0321215000, 0.0270626000, 0.0129102000, -0.036236300, -0.201454300, -0.725246400", \
"0.0334752000, 0.0318821000, 0.0268585000, 0.0126001000, -0.036453200, -0.201736100, -0.725527300", \
"0.0331887000, 0.0315567000, 0.0264820000, 0.0123323000, -0.036788900, -0.202035600, -0.725830600", \
"0.0329923000, 0.0313791000, 0.0263054000, 0.0119304000, -0.037213200, -0.202393600, -0.726119300", \
"0.0322166000, 0.0303558000, 0.0250221000, 0.0108796000, -0.037733200, -0.202653200, -0.726238700", \
"0.0405651000, 0.0386272000, 0.0328419000, 0.0157682000, -0.037011600, -0.202233500, -0.725604600");
}
related_pin : "A2";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015677720, 0.0049158190, 0.0154137700, 0.0483305500, 0.1515426000, 0.4751685000");
values("0.0340306000, 0.0358822000, 0.0418081000, 0.0596959000, 0.1137801000, 0.2801414000, 0.7984925000", \
"0.0337596000, 0.0356374000, 0.0415791000, 0.0594662000, 0.1135741000, 0.2796059000, 0.7982059000", \
"0.0334470000, 0.0353312000, 0.0412274000, 0.0591395000, 0.1132261000, 0.2793379000, 0.7978198000", \
"0.0332315000, 0.0351187000, 0.0408322000, 0.0585830000, 0.1126540000, 0.2789831000, 0.7975082000", \
"0.0330020000, 0.0348102000, 0.0406174000, 0.0581032000, 0.1119671000, 0.2784653000, 0.7973982000", \
"0.0336277000, 0.0354219000, 0.0409976000, 0.0582639000, 0.1113727000, 0.2772210000, 0.7964655000", \
"0.0357862000, 0.0374931000, 0.0428547000, 0.0596898000, 0.1132096000, 0.2791582000, 0.7961487000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015677720, 0.0049158190, 0.0154137700, 0.0483305500, 0.1515426000, 0.4751685000");
values("0.0324016000, 0.0308023000, 0.0257737000, 0.0115719000, -0.037551200, -0.202780300, -0.726471400", \
"0.0322602000, 0.0306923000, 0.0256258000, 0.0114791000, -0.037570200, -0.202772500, -0.726554600", \
"0.0322285000, 0.0306345000, 0.0255468000, 0.0113821000, -0.037686400, -0.202883000, -0.726596400", \
"0.0315412000, 0.0299254000, 0.0249078000, 0.0106471000, -0.038502000, -0.203658200, -0.727305700", \
"0.0308948000, 0.0292294000, 0.0242289000, 0.0099391000, -0.039075400, -0.204335300, -0.727961200", \
"0.0361274000, 0.0343958000, 0.0291395000, 0.0127474000, -0.039511700, -0.204840500, -0.728459500", \
"0.0375030000, 0.0357779000, 0.0305623000, 0.0141698000, -0.038087400, -0.204487200, -0.728510300");
}
related_pin : "B1_N";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015677720, 0.0049158190, 0.0154137700, 0.0483305500, 0.1515426000, 0.4751685000");
values("0.0296901000, 0.0315954000, 0.0374580000, 0.0551122000, 0.1085342000, 0.2741842000, 0.7926498000", \
"0.0297196000, 0.0316132000, 0.0374604000, 0.0550639000, 0.1084750000, 0.2741530000, 0.7902036000", \
"0.0297005000, 0.0315931000, 0.0374314000, 0.0550478000, 0.1084938000, 0.2740096000, 0.7934591000", \
"0.0293089000, 0.0312025000, 0.0370426000, 0.0546486000, 0.1081160000, 0.2739454000, 0.7894757000", \
"0.0287299000, 0.0306083000, 0.0364361000, 0.0540292000, 0.1074659000, 0.2731660000, 0.7893720000", \
"0.0280174000, 0.0298118000, 0.0353718000, 0.0530533000, 0.1064970000, 0.2720843000, 0.7915000000", \
"0.0287192000, 0.0304776000, 0.0360719000, 0.0533972000, 0.1070237000, 0.2732195000, 0.7892881000");
}
}
max_capacitance : 0.4751690000;
max_transition : 1.5028070000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015677700, 0.0049158200, 0.0154138000, 0.0483306000, 0.1515430000, 0.4751690000");
values("0.1742197000, 0.1780640000, 0.1880849000, 0.2105681000, 0.2566490000, 0.3601659000, 0.6396088000", \
"0.1788503000, 0.1827494000, 0.1927525000, 0.2152181000, 0.2615883000, 0.3648690000, 0.6444100000", \
"0.1910125000, 0.1948932000, 0.2048582000, 0.2272935000, 0.2733362000, 0.3770075000, 0.6564735000", \
"0.2180152000, 0.2219428000, 0.2319173000, 0.2542085000, 0.3003956000, 0.4040419000, 0.6836128000", \
"0.2768746000, 0.2807476000, 0.2907441000, 0.3130421000, 0.3593770000, 0.4629205000, 0.7425008000", \
"0.3892839000, 0.3936184000, 0.4047327000, 0.4292694000, 0.4788290000, 0.5853579000, 0.8656543000", \
"0.5849097000, 0.5899720000, 0.6030840000, 0.6318827000, 0.6886934000, 0.8035936000, 1.0888854000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015677700, 0.0049158200, 0.0154138000, 0.0483306000, 0.1515430000, 0.4751690000");
values("0.0812039000, 0.0850591000, 0.0954708000, 0.1222509000, 0.1959267000, 0.4189616000, 1.1148638000", \
"0.0851859000, 0.0890557000, 0.0994616000, 0.1262328000, 0.1998155000, 0.4226112000, 1.1168256000", \
"0.0952106000, 0.0991258000, 0.1095270000, 0.1362918000, 0.2100338000, 0.4332018000, 1.1263553000", \
"0.1184577000, 0.1223091000, 0.1326109000, 0.1591327000, 0.2328353000, 0.4556872000, 1.1503005000", \
"0.1542216000, 0.1582682000, 0.1690266000, 0.1961616000, 0.2696312000, 0.4929969000, 1.1881556000", \
"0.1936795000, 0.1986486000, 0.2109398000, 0.2390957000, 0.3128864000, 0.5362993000, 1.2308347000", \
"0.2144158000, 0.2209255000, 0.2368774000, 0.2709901000, 0.3457119000, 0.5685626000, 1.2621847000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015677700, 0.0049158200, 0.0154138000, 0.0483306000, 0.1515430000, 0.4751690000");
values("0.0267205000, 0.0290676000, 0.0356235000, 0.0515748000, 0.0912549000, 0.2032114000, 0.5688400000", \
"0.0266218000, 0.0290910000, 0.0356017000, 0.0512859000, 0.0910307000, 0.2034048000, 0.5685457000", \
"0.0265148000, 0.0289401000, 0.0353127000, 0.0512335000, 0.0913416000, 0.2034733000, 0.5692317000", \
"0.0265820000, 0.0290210000, 0.0354396000, 0.0511558000, 0.0912500000, 0.2031310000, 0.5689907000", \
"0.0267277000, 0.0292150000, 0.0356133000, 0.0513482000, 0.0912542000, 0.2037851000, 0.5689327000", \
"0.0323046000, 0.0345760000, 0.0415241000, 0.0584577000, 0.0971136000, 0.2075183000, 0.5697293000", \
"0.0441596000, 0.0467431000, 0.0545901000, 0.0718980000, 0.1120691000, 0.2209788000, 0.5733417000");
}
related_pin : "A1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015677700, 0.0049158200, 0.0154138000, 0.0483306000, 0.1515430000, 0.4751690000");
values("0.0209235000, 0.0244787000, 0.0346636000, 0.0657264000, 0.1665099000, 0.4874191000, 1.5000967000", \
"0.0210245000, 0.0244326000, 0.0346178000, 0.0655984000, 0.1660945000, 0.4885085000, 1.4965013000", \
"0.0209917000, 0.0244279000, 0.0345868000, 0.0657684000, 0.1664739000, 0.4883761000, 1.4995049000", \
"0.0211358000, 0.0244984000, 0.0346708000, 0.0658532000, 0.1664428000, 0.4881575000, 1.4963880000", \
"0.0238646000, 0.0272311000, 0.0370887000, 0.0677095000, 0.1669990000, 0.4881817000, 1.4973883000", \
"0.0308081000, 0.0341815000, 0.0437360000, 0.0717744000, 0.1685215000, 0.4884014000, 1.4990746000", \
"0.0431141000, 0.0469133000, 0.0572300000, 0.0839376000, 0.1727752000, 0.4896490000, 1.4983087000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015677700, 0.0049158200, 0.0154138000, 0.0483306000, 0.1515430000, 0.4751690000");
values("0.1797980000, 0.1836751000, 0.1935369000, 0.2152691000, 0.2600786000, 0.3611437000, 0.6395937000", \
"0.1849727000, 0.1888569000, 0.1987461000, 0.2205022000, 0.2653114000, 0.3663918000, 0.6448422000", \
"0.1978486000, 0.2017258000, 0.2114740000, 0.2332370000, 0.2781423000, 0.3792207000, 0.6575198000", \
"0.2257639000, 0.2296417000, 0.2394864000, 0.2610704000, 0.3058354000, 0.4070586000, 0.6856051000", \
"0.2860129000, 0.2898971000, 0.2997135000, 0.3213620000, 0.3662129000, 0.4676187000, 0.7461193000", \
"0.4026601000, 0.4069690000, 0.4178959000, 0.4417051000, 0.4894851000, 0.5932344000, 0.8721475000", \
"0.5997753000, 0.6050404000, 0.6183561000, 0.6468679000, 0.7019378000, 0.8128681000, 1.0953696000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015677700, 0.0049158200, 0.0154138000, 0.0483306000, 0.1515430000, 0.4751690000");
values("0.0860955000, 0.0899547000, 0.1003823000, 0.1270803000, 0.2005793000, 0.4234437000, 1.1160106000", \
"0.0902399000, 0.0941002000, 0.1045153000, 0.1313040000, 0.2049885000, 0.4274504000, 1.1218353000", \
"0.0993672000, 0.1032885000, 0.1136900000, 0.1404546000, 0.2141426000, 0.4371498000, 1.1309083000", \
"0.1199406000, 0.1237990000, 0.1341179000, 0.1606593000, 0.2341188000, 0.4571609000, 1.1511158000", \
"0.1552446000, 0.1593357000, 0.1702255000, 0.1974764000, 0.2710906000, 0.4944444000, 1.1901591000", \
"0.1996959000, 0.2045362000, 0.2168433000, 0.2457953000, 0.3201915000, 0.5433026000, 1.2377342000", \
"0.2328524000, 0.2391623000, 0.2548964000, 0.2886088000, 0.3656588000, 0.5890500000, 1.2818829000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015677700, 0.0049158200, 0.0154138000, 0.0483306000, 0.1515430000, 0.4751690000");
values("0.0264639000, 0.0288509000, 0.0350456000, 0.0497332000, 0.0877827000, 0.2002396000, 0.5658528000", \
"0.0263749000, 0.0286927000, 0.0347541000, 0.0497043000, 0.0877778000, 0.2002828000, 0.5659527000", \
"0.0265453000, 0.0289328000, 0.0351228000, 0.0500041000, 0.0885391000, 0.2005314000, 0.5660239000", \
"0.0262255000, 0.0285650000, 0.0346183000, 0.0501055000, 0.0886324000, 0.2005065000, 0.5667364000", \
"0.0265447000, 0.0286830000, 0.0347922000, 0.0498333000, 0.0885925000, 0.2003341000, 0.5662483000", \
"0.0319563000, 0.0344159000, 0.0405070000, 0.0563036000, 0.0935921000, 0.2035677000, 0.5683297000", \
"0.0437548000, 0.0467956000, 0.0536869000, 0.0703166000, 0.1088737000, 0.2163804000, 0.5726232000");
}
related_pin : "A2";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015677700, 0.0049158200, 0.0154138000, 0.0483306000, 0.1515430000, 0.4751690000");
values("0.0209982000, 0.0244754000, 0.0346353000, 0.0658166000, 0.1659043000, 0.4889789000, 1.4998146000", \
"0.0209773000, 0.0244497000, 0.0345894000, 0.0656563000, 0.1664779000, 0.4882972000, 1.4949160000", \
"0.0210344000, 0.0244291000, 0.0345518000, 0.0657166000, 0.1664803000, 0.4879909000, 1.4977577000", \
"0.0210953000, 0.0245372000, 0.0348379000, 0.0659311000, 0.1659517000, 0.4888517000, 1.4979752000", \
"0.0234715000, 0.0269156000, 0.0369016000, 0.0674132000, 0.1670476000, 0.4874444000, 1.4988806000", \
"0.0294439000, 0.0329116000, 0.0424406000, 0.0713575000, 0.1685258000, 0.4873001000, 1.4993654000", \
"0.0400162000, 0.0441677000, 0.0550432000, 0.0825176000, 0.1726244000, 0.4898127000, 1.4936906000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015677700, 0.0049158200, 0.0154138000, 0.0483306000, 0.1515430000, 0.4751690000");
values("0.1890289000, 0.1928648000, 0.2027495000, 0.2246165000, 0.2694354000, 0.3706662000, 0.6491117000", \
"0.1935168000, 0.1974356000, 0.2073392000, 0.2291864000, 0.2737517000, 0.3749880000, 0.6535471000", \
"0.2037843000, 0.2076755000, 0.2175529000, 0.2392710000, 0.2842107000, 0.3853059000, 0.6635714000", \
"0.2236644000, 0.2275304000, 0.2374358000, 0.2591964000, 0.3041446000, 0.4053242000, 0.6839452000", \
"0.2522212000, 0.2561030000, 0.2659503000, 0.2877348000, 0.3324453000, 0.4336784000, 0.7122307000", \
"0.2842631000, 0.2881492000, 0.2980409000, 0.3198236000, 0.3646624000, 0.4658789000, 0.7446369000", \
"0.3059309000, 0.3098112000, 0.3196793000, 0.3413907000, 0.3860739000, 0.4876290000, 0.7659757000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015677700, 0.0049158200, 0.0154138000, 0.0483306000, 0.1515430000, 0.4751690000");
values("0.1418139000, 0.1453436000, 0.1549130000, 0.1801688000, 0.2524746000, 0.4737471000, 1.1724953000", \
"0.1469704000, 0.1504676000, 0.1600721000, 0.1853471000, 0.2576038000, 0.4797613000, 1.1711611000", \
"0.1596621000, 0.1631629000, 0.1727294000, 0.1979014000, 0.2702188000, 0.4916235000, 1.1847316000", \
"0.1910541000, 0.1945636000, 0.2041682000, 0.2293195000, 0.3013612000, 0.5227239000, 1.2166573000", \
"0.2587478000, 0.2622743000, 0.2719039000, 0.2970790000, 0.3691051000, 0.5909195000, 1.2907644000", \
"0.3708572000, 0.3745136000, 0.3844050000, 0.4099484000, 0.4823748000, 0.7042895000, 1.3968923000", \
"0.5488578000, 0.5529336000, 0.5636424000, 0.5900229000, 0.6628344000, 0.8847304000, 1.5768501000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015677700, 0.0049158200, 0.0154138000, 0.0483306000, 0.1515430000, 0.4751690000");
values("0.0265096000, 0.0286590000, 0.0349137000, 0.0498453000, 0.0884511000, 0.2003135000, 0.5678873000", \
"0.0261802000, 0.0285673000, 0.0346230000, 0.0499121000, 0.0886664000, 0.2005183000, 0.5667364000", \
"0.0264940000, 0.0289281000, 0.0350364000, 0.0500665000, 0.0884474000, 0.2004743000, 0.5660328000", \
"0.0265495000, 0.0287951000, 0.0350933000, 0.0499720000, 0.0877874000, 0.2004595000, 0.5672240000", \
"0.0263916000, 0.0287207000, 0.0347942000, 0.0495707000, 0.0885794000, 0.2001880000, 0.5677520000", \
"0.0264334000, 0.0288223000, 0.0348116000, 0.0502055000, 0.0883921000, 0.1997533000, 0.5681511000", \
"0.0268616000, 0.0291927000, 0.0351966000, 0.0501015000, 0.0887583000, 0.2006360000, 0.5673585000");
}
related_pin : "B1_N";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015677700, 0.0049158200, 0.0154138000, 0.0483306000, 0.1515430000, 0.4751690000");
values("0.0194658000, 0.0226169000, 0.0322660000, 0.0633327000, 0.1643276000, 0.4868352000, 1.5026870000", \
"0.0194885000, 0.0225686000, 0.0323452000, 0.0632799000, 0.1645645000, 0.4868954000, 1.4960473000", \
"0.0195035000, 0.0226362000, 0.0323479000, 0.0633297000, 0.1643599000, 0.4864986000, 1.5028071000", \
"0.0194972000, 0.0226186000, 0.0323408000, 0.0633526000, 0.1642046000, 0.4860489000, 1.4986129000", \
"0.0198830000, 0.0229833000, 0.0326203000, 0.0634512000, 0.1641609000, 0.4871501000, 1.5012728000", \
"0.0215170000, 0.0245371000, 0.0339653000, 0.0643597000, 0.1648631000, 0.4861204000, 1.5006558000", \
"0.0252759000, 0.0281310000, 0.0371671000, 0.0661540000, 0.1657219000, 0.4864348000, 1.4941253000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
}
}
/* removed sky130_fd_sc_hd__a21boi_0 */
/* removed sky130_fd_sc_hd__a21boi_1 */
/* removed sky130_fd_sc_hd__a21boi_2 */
cell ("sky130_fd_sc_hd__a21boi_4") {
leakage_power () {
value : 0.0127454000;
when : "!A1&!A2&B1_N";
}
leakage_power () {
value : 0.0041562000;
when : "!A1&!A2&!B1_N";
}
leakage_power () {
value : 0.0146596000;
when : "!A1&A2&B1_N";
}
leakage_power () {
value : 0.0041568000;
when : "!A1&A2&!B1_N";
}
leakage_power () {
value : 0.0138528000;
when : "A1&!A2&B1_N";
}
leakage_power () {
value : 0.0041568000;
when : "A1&!A2&!B1_N";
}
leakage_power () {
value : 0.0134462000;
when : "A1&A2&B1_N";
}
leakage_power () {
value : 0.0008047000;
when : "A1&A2&!B1_N";
}
area : 18.768000000;
cell_footprint : "sky130_fd_sc_hd__a21boi";
cell_leakage_power : 0.0084973050;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A1") {
capacitance : 0.0085800000;
clock : "false";
direction : "input";
fall_capacitance : 0.0082320000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0151147000, 0.0150977000, 0.0150585000, 0.0150657000, 0.0150822000, 0.0151202000, 0.0152080000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.015057900, -0.015057100, -0.015055100, -0.015058900, -0.015067700, -0.015087800, -0.015134400");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0089270000;
}
pin ("A2") {
capacitance : 0.0092350000;
clock : "false";
direction : "input";
fall_capacitance : 0.0087590000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0162072000, 0.0162163000, 0.0162375000, 0.0162370000, 0.0162359000, 0.0162333000, 0.0162274000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.016314700, -0.016287700, -0.016225500, -0.016226000, -0.016227100, -0.016229800, -0.016236000");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0097110000;
}
pin ("B1_N") {
capacitance : 0.0024730000;
clock : "false";
direction : "input";
fall_capacitance : 0.0023400000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0223864000, 0.0222207000, 0.0218388000, 0.0220458000, 0.0225232000, 0.0236236000, 0.0261601000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0076559000, 0.0075393000, 0.0072705000, 0.0074519000, 0.0078701000, 0.0088341000, 0.0110560000");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0026060000;
}
pin ("Y") {
direction : "output";
function : "(!A1&B1_N) | (!A2&B1_N)";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0013738360, 0.0037748500, 0.0103720500, 0.0284989900, 0.0783058700, 0.2151589000");
values("0.0179400000, 0.0165514000, 0.0126924000, 0.0021153000, -0.026998700, -0.107292700, -0.328600700", \
"0.0173839000, 0.0160152000, 0.0121979000, 0.0016559000, -0.027356500, -0.107687000, -0.329087900", \
"0.0166422000, 0.0152691000, 0.0114868000, 0.0010903000, -0.027860100, -0.108094200, -0.329416300", \
"0.0157621000, 0.0144430000, 0.0107372000, 0.0004370000, -0.028415600, -0.108500900, -0.329641800", \
"0.0155883000, 0.0142394000, 0.0104373000, 0.0001570000, -0.028857800, -0.108736600, -0.329979100", \
"0.0175168000, 0.0161445000, 0.0123130000, 0.0011070000, -0.028157700, -0.108440400, -0.329641800", \
"0.0218922000, 0.0203808000, 0.0163483000, 0.0051489000, -0.025144600, -0.106767200, -0.329298500");
}
related_pin : "A1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0013738360, 0.0037748500, 0.0103720500, 0.0284989900, 0.0783058700, 0.2151589000");
values("0.0273046000, 0.0289106000, 0.0331072000, 0.0443030000, 0.0736493000, 0.1535120000, 0.3729338000", \
"0.0264476000, 0.0279858000, 0.0322617000, 0.0435424000, 0.0732495000, 0.1534320000, 0.3724521000", \
"0.0257749000, 0.0272622000, 0.0313671000, 0.0425115000, 0.0725294000, 0.1530507000, 0.3722575000", \
"0.0253493000, 0.0267916000, 0.0307914000, 0.0418194000, 0.0714141000, 0.1531168000, 0.3719285000", \
"0.0249085000, 0.0263533000, 0.0303152000, 0.0410525000, 0.0706078000, 0.1516758000, 0.3733080000", \
"0.0248423000, 0.0262696000, 0.0301605000, 0.0410028000, 0.0702580000, 0.1507261000, 0.3701028000", \
"0.0252941000, 0.0266002000, 0.0302000000, 0.0405826000, 0.0703921000, 0.1507848000, 0.3709306000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0013738360, 0.0037748500, 0.0103720500, 0.0284989900, 0.0783058700, 0.2151589000");
values("0.0190111000, 0.0175916000, 0.0137469000, 0.0031532000, -0.025982900, -0.106255400, -0.327576000", \
"0.0184312000, 0.0170459000, 0.0132000000, 0.0026725000, -0.026437900, -0.106709900, -0.328037400", \
"0.0176615000, 0.0162706000, 0.0124773000, 0.0020149000, -0.026958900, -0.107230100, -0.328454100", \
"0.0167216000, 0.0153775000, 0.0117108000, 0.0013952000, -0.027453700, -0.107564100, -0.328757700", \
"0.0168695000, 0.0154749000, 0.0115563000, 0.0008289000, -0.027996100, -0.107678300, -0.328763200", \
"0.0171886000, 0.0157720000, 0.0118579000, 0.0012250000, -0.027946000, -0.108427900, -0.329073600", \
"0.0204439000, 0.0190637000, 0.0149577000, 0.0039080000, -0.025951000, -0.106969600, -0.328960900");
}
related_pin : "A2";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0013738360, 0.0037748500, 0.0103720500, 0.0284989900, 0.0783058700, 0.2151589000");
values("0.0392188000, 0.0406429000, 0.0445632000, 0.0552764000, 0.0846306000, 0.1643358000, 0.3830583000", \
"0.0385065000, 0.0399870000, 0.0439164000, 0.0547324000, 0.0841576000, 0.1642415000, 0.3830464000", \
"0.0377953000, 0.0392322000, 0.0432029000, 0.0540813000, 0.0836967000, 0.1636554000, 0.3827007000", \
"0.0372947000, 0.0386963000, 0.0426544000, 0.0534635000, 0.0829231000, 0.1633219000, 0.3824333000", \
"0.0368807000, 0.0383597000, 0.0422489000, 0.0529334000, 0.0823233000, 0.1626710000, 0.3818196000", \
"0.0367419000, 0.0381453000, 0.0420459000, 0.0529545000, 0.0823381000, 0.1623125000, 0.3815902000", \
"0.0369284000, 0.0382896000, 0.0420317000, 0.0524766000, 0.0825557000, 0.1630147000, 0.3822354000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0013738360, 0.0037748500, 0.0103720500, 0.0284989900, 0.0783058700, 0.2151589000");
values("0.0070916000, 0.0058901000, 0.0026881000, -0.006482700, -0.033946400, -0.113440100, -0.334701200", \
"0.0069365000, 0.0058146000, 0.0027242000, -0.006456000, -0.033941500, -0.113475400, -0.334691700", \
"0.0070842000, 0.0059010000, 0.0027158000, -0.006475600, -0.033891300, -0.113361000, -0.334583600", \
"0.0064916000, 0.0052715000, 0.0021617000, -0.007121400, -0.034528700, -0.113888100, -0.335021200", \
"0.0058405000, 0.0046114000, 0.0012343000, -0.008204900, -0.035554600, -0.114636900, -0.335620600", \
"0.0064632000, 0.0050590000, 0.0012327000, -0.009056200, -0.036974500, -0.115571000, -0.336243800", \
"0.0082022000, 0.0067200000, 0.0027048000, -0.008042500, -0.036873500, -0.116404300, -0.336706900");
}
related_pin : "B1_N";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0013738360, 0.0037748500, 0.0103720500, 0.0284989900, 0.0783058700, 0.2151589000");
values("0.0341045000, 0.0355705000, 0.0395931000, 0.0505152000, 0.0801172000, 0.1603017000, 0.3793491000", \
"0.0341334000, 0.0356110000, 0.0396140000, 0.0505361000, 0.0801725000, 0.1602648000, 0.3790861000", \
"0.0341295000, 0.0355994000, 0.0395971000, 0.0505257000, 0.0801092000, 0.1602200000, 0.3791903000", \
"0.0334739000, 0.0349182000, 0.0389011000, 0.0498240000, 0.0793633000, 0.1595830000, 0.3786972000", \
"0.0329132000, 0.0340619000, 0.0380061000, 0.0488583000, 0.0784789000, 0.1588001000, 0.3779502000", \
"0.0328308000, 0.0342285000, 0.0376381000, 0.0483366000, 0.0777601000, 0.1580971000, 0.3773340000", \
"0.0333336000, 0.0347374000, 0.0386818000, 0.0492310000, 0.0783362000, 0.1583211000, 0.3771580000");
}
}
max_capacitance : 0.2151590000;
max_transition : 1.4962450000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000");
values("0.0238817000, 0.0254790000, 0.0296035000, 0.0400575000, 0.0662833000, 0.1340798000, 0.3167884000", \
"0.0279458000, 0.0294956000, 0.0335855000, 0.0440253000, 0.0702183000, 0.1380050000, 0.3207188000", \
"0.0383102000, 0.0399649000, 0.0440668000, 0.0539390000, 0.0799476000, 0.1478165000, 0.3304111000", \
"0.0532786000, 0.0552931000, 0.0611849000, 0.0747607000, 0.1032636000, 0.1708134000, 0.3534842000", \
"0.0677599000, 0.0709785000, 0.0796532000, 0.0996983000, 0.1428810000, 0.2254693000, 0.4078537000", \
"0.0701560000, 0.0751625000, 0.0881232000, 0.1198765000, 0.1859028000, 0.3098934000, 0.5327292000", \
"0.0312895000, 0.0390333000, 0.0596928000, 0.1067486000, 0.2085984000, 0.4017771000, 0.7398683000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000");
values("0.0811141000, 0.0861230000, 0.0990114000, 0.1329480000, 0.2219588000, 0.4615499000, 1.1244781000", \
"0.0848176000, 0.0897153000, 0.1027063000, 0.1366865000, 0.2263829000, 0.4674799000, 1.1256287000", \
"0.0969788000, 0.1017650000, 0.1143904000, 0.1481545000, 0.2382929000, 0.4802758000, 1.1396184000", \
"0.1252928000, 0.1299292000, 0.1423429000, 0.1754213000, 0.2653191000, 0.5103279000, 1.1693062000", \
"0.1752889000, 0.1810885000, 0.1962617000, 0.2341585000, 0.3240063000, 0.5691193000, 1.2326145000", \
"0.2591884000, 0.2679308000, 0.2890225000, 0.3409481000, 0.4536259000, 0.7031590000, 1.3643427000", \
"0.3952025000, 0.4108039000, 0.4441162000, 0.5251620000, 0.6867892000, 1.0061698000, 1.6841920000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000");
values("0.0253690000, 0.0269479000, 0.0311615000, 0.0429115000, 0.0755245000, 0.1662289000, 0.4188494000", \
"0.0243668000, 0.0259334000, 0.0303019000, 0.0425242000, 0.0753109000, 0.1663667000, 0.4190571000", \
"0.0275211000, 0.0287484000, 0.0322940000, 0.0429973000, 0.0748660000, 0.1661558000, 0.4185659000", \
"0.0377769000, 0.0398383000, 0.0444560000, 0.0547618000, 0.0815948000, 0.1665225000, 0.4188269000", \
"0.0578871000, 0.0599499000, 0.0663381000, 0.0815559000, 0.1134756000, 0.1872887000, 0.4193249000", \
"0.0930508000, 0.0970399000, 0.1073559000, 0.1299204000, 0.1758854000, 0.2686066000, 0.4652557000", \
"0.1554881000, 0.1618080000, 0.1779811000, 0.2144157000, 0.2849083000, 0.4136971000, 0.6570327000");
}
related_pin : "A1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000");
values("0.0488291000, 0.0548699000, 0.0711473000, 0.1160331000, 0.2376175000, 0.5697740000, 1.4800881000", \
"0.0489013000, 0.0548287000, 0.0713509000, 0.1157709000, 0.2376411000, 0.5703334000, 1.4784131000", \
"0.0490675000, 0.0548439000, 0.0712859000, 0.1159155000, 0.2374366000, 0.5697366000, 1.4777536000", \
"0.0501481000, 0.0561138000, 0.0720648000, 0.1162203000, 0.2374971000, 0.5733127000, 1.4790417000", \
"0.0651333000, 0.0710445000, 0.0863103000, 0.1258202000, 0.2405900000, 0.5706358000, 1.4900124000", \
"0.0982237000, 0.1046037000, 0.1226096000, 0.1660518000, 0.2739540000, 0.5777669000, 1.4818126000", \
"0.1754289000, 0.1836767000, 0.2056811000, 0.2566263000, 0.3752195000, 0.6633920000, 1.4962455000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000");
values("0.0295888000, 0.0311504000, 0.0352770000, 0.0456890000, 0.0718773000, 0.1397753000, 0.3221623000", \
"0.0337294000, 0.0353096000, 0.0394107000, 0.0498323000, 0.0760530000, 0.1439188000, 0.3264378000", \
"0.0427192000, 0.0443317000, 0.0484122000, 0.0586838000, 0.0848531000, 0.1528284000, 0.3357343000", \
"0.0573435000, 0.0593337000, 0.0645852000, 0.0768526000, 0.1050676000, 0.1731617000, 0.3561144000", \
"0.0754570000, 0.0783919000, 0.0857048000, 0.1030350000, 0.1407480000, 0.2190998000, 0.4038699000", \
"0.0862276000, 0.0908366000, 0.1024084000, 0.1295690000, 0.1870858000, 0.2967673000, 0.5100952000", \
"0.0624235000, 0.0692935000, 0.0877484000, 0.1307685000, 0.2212856000, 0.3933705000, 0.6938073000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000");
values("0.1054145000, 0.1096106000, 0.1214591000, 0.1525865000, 0.2361999000, 0.4639159000, 1.0918493000", \
"0.1097738000, 0.1140396000, 0.1255658000, 0.1572681000, 0.2413466000, 0.4695277000, 1.0935292000", \
"0.1219303000, 0.1260915000, 0.1379634000, 0.1694633000, 0.2539843000, 0.4830152000, 1.1069742000", \
"0.1493125000, 0.1538333000, 0.1654086000, 0.1968260000, 0.2815466000, 0.5104387000, 1.1350382000", \
"0.2036337000, 0.2087274000, 0.2220837000, 0.2554855000, 0.3398557000, 0.5689532000, 1.1939170000", \
"0.2979302000, 0.3047121000, 0.3221493000, 0.3661334000, 0.4683441000, 0.7034567000, 1.3292749000", \
"0.4523747000, 0.4630311000, 0.4897764000, 0.5556535000, 0.6983525000, 0.9978796000, 1.6437388000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000");
values("0.0251428000, 0.0266655000, 0.0309679000, 0.0427622000, 0.0753954000, 0.1661905000, 0.4182691000", \
"0.0248176000, 0.0263905000, 0.0307123000, 0.0426286000, 0.0752355000, 0.1660935000, 0.4189382000", \
"0.0261053000, 0.0274862000, 0.0314921000, 0.0428197000, 0.0749918000, 0.1660914000, 0.4182372000", \
"0.0340799000, 0.0354981000, 0.0394395000, 0.0498761000, 0.0785367000, 0.1663884000, 0.4184356000", \
"0.0515194000, 0.0533362000, 0.0580381000, 0.0703700000, 0.0995473000, 0.1782699000, 0.4193900000", \
"0.0843545000, 0.0870453000, 0.0937844000, 0.1108383000, 0.1486705000, 0.2331617000, 0.4473037000", \
"0.1448443000, 0.1489018000, 0.1598868000, 0.1855608000, 0.2415403000, 0.3500465000, 0.5764903000");
}
related_pin : "A2";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000");
values("0.0672715000, 0.0730043000, 0.0887345000, 0.1310951000, 0.2466677000, 0.5619774000, 1.4251855000", \
"0.0673329000, 0.0730423000, 0.0887075000, 0.1313065000, 0.2467254000, 0.5608417000, 1.4265582000", \
"0.0673420000, 0.0730662000, 0.0887504000, 0.1311598000, 0.2465849000, 0.5618168000, 1.4223146000", \
"0.0677214000, 0.0733798000, 0.0889237000, 0.1312336000, 0.2465553000, 0.5609436000, 1.4227088000", \
"0.0806480000, 0.0858447000, 0.0998303000, 0.1388296000, 0.2484700000, 0.5612510000, 1.4212885000", \
"0.1132626000, 0.1194871000, 0.1356006000, 0.1773639000, 0.2806888000, 0.5698199000, 1.4257683000", \
"0.1895308000, 0.1972419000, 0.2170841000, 0.2658895000, 0.3811835000, 0.6547575000, 1.4428120000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000");
values("0.1098076000, 0.1120931000, 0.1173242000, 0.1293472000, 0.1534723000, 0.2030025000, 0.3216991000", \
"0.1145612000, 0.1168307000, 0.1221505000, 0.1340299000, 0.1581827000, 0.2078218000, 0.3265197000", \
"0.1268913000, 0.1291829000, 0.1345055000, 0.1463870000, 0.1703785000, 0.2201632000, 0.3388767000", \
"0.1565518000, 0.1587095000, 0.1640267000, 0.1758910000, 0.2000894000, 0.2497649000, 0.3686033000", \
"0.2238727000, 0.2260697000, 0.2319684000, 0.2439746000, 0.2685291000, 0.3186725000, 0.4377200000", \
"0.3327764000, 0.3356538000, 0.3429247000, 0.3581601000, 0.3873080000, 0.4417023000, 0.5631183000", \
"0.4975669000, 0.5012222000, 0.5102366000, 0.5288139000, 0.5662333000, 0.6311296000, 0.7575770000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000");
values("0.1227587000, 0.1272081000, 0.1388125000, 0.1708478000, 0.2554687000, 0.4839167000, 1.1081261000", \
"0.1272728000, 0.1316768000, 0.1433067000, 0.1752968000, 0.2600071000, 0.4885434000, 1.1121438000", \
"0.1382430000, 0.1426486000, 0.1542662000, 0.1861169000, 0.2709461000, 0.4995752000, 1.1271158000", \
"0.1626899000, 0.1668723000, 0.1785672000, 0.2101744000, 0.2951376000, 0.5240045000, 1.1481623000", \
"0.2039219000, 0.2076751000, 0.2193135000, 0.2510372000, 0.3349771000, 0.5638474000, 1.1894175000", \
"0.2563413000, 0.2606526000, 0.2714866000, 0.3028125000, 0.3871463000, 0.6158055000, 1.2402183000", \
"0.3109474000, 0.3156089000, 0.3274500000, 0.3588873000, 0.4417121000, 0.6690754000, 1.2934693000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000");
values("0.0358714000, 0.0365547000, 0.0386107000, 0.0451238000, 0.0639886000, 0.1163940000, 0.2678614000", \
"0.0358513000, 0.0365019000, 0.0386409000, 0.0450492000, 0.0639435000, 0.1166546000, 0.2674008000", \
"0.0358666000, 0.0365547000, 0.0386464000, 0.0451107000, 0.0639291000, 0.1165389000, 0.2675802000", \
"0.0360173000, 0.0366383000, 0.0386762000, 0.0451534000, 0.0639818000, 0.1165105000, 0.2674500000", \
"0.0398601000, 0.0404480000, 0.0422265000, 0.0479167000, 0.0655758000, 0.1172598000, 0.2678958000", \
"0.0565756000, 0.0570135000, 0.0584352000, 0.0635369000, 0.0789186000, 0.1269077000, 0.2717415000", \
"0.0816042000, 0.0820950000, 0.0837891000, 0.0896463000, 0.1053326000, 0.1477050000, 0.2820584000");
}
related_pin : "B1_N";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000");
values("0.0669014000, 0.0727075000, 0.0884288000, 0.1311922000, 0.2465967000, 0.5611394000, 1.4245812000", \
"0.0668951000, 0.0727029000, 0.0884316000, 0.1311898000, 0.2466344000, 0.5611989000, 1.4221558000", \
"0.0668983000, 0.0727075000, 0.0884303000, 0.1311174000, 0.2465479000, 0.5614118000, 1.4235002000", \
"0.0668908000, 0.0726760000, 0.0883118000, 0.1310841000, 0.2469304000, 0.5610415000, 1.4207002000", \
"0.0680678000, 0.0739535000, 0.0891616000, 0.1314690000, 0.2466198000, 0.5614177000, 1.4232320000", \
"0.0716922000, 0.0770771000, 0.0925021000, 0.1332862000, 0.2483803000, 0.5613787000, 1.4223859000", \
"0.0841176000, 0.0891081000, 0.1031710000, 0.1404703000, 0.2501944000, 0.5626596000, 1.4244410000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
/* removed sky130_fd_sc_hd__a21o_1 */
/* removed sky130_fd_sc_hd__a21o_2 */
cell ("sky130_fd_sc_hd__a21o_4") {
leakage_power () {
value : 0.0051242000;
when : "!A1&!A2&B1";
}
leakage_power () {
value : 0.0078745000;
when : "!A1&!A2&!B1";
}
leakage_power () {
value : 0.0051242000;
when : "!A1&A2&B1";
}
leakage_power () {
value : 0.0088385000;
when : "!A1&A2&!B1";
}
leakage_power () {
value : 0.0051242000;
when : "A1&!A2&B1";
}
leakage_power () {
value : 0.0085104000;
when : "A1&!A2&!B1";
}
leakage_power () {
value : 0.0022388000;
when : "A1&A2&B1";
}
leakage_power () {
value : 0.0042370000;
when : "A1&A2&!B1";
}
area : 15.014400000;
cell_footprint : "sky130_fd_sc_hd__a21o";
cell_leakage_power : 0.0058839750;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A1") {
capacitance : 0.0044000000;
clock : "false";
direction : "input";
fall_capacitance : 0.0042160000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0087483000, 0.0087497000, 0.0087528000, 0.0087509000, 0.0087465000, 0.0087363000, 0.0087127000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.006951100, -0.006958200, -0.006974600, -0.006961500, -0.006931400, -0.006862100, -0.006702300");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0045840000;
}
pin ("A2") {
capacitance : 0.0047940000;
clock : "false";
direction : "input";
fall_capacitance : 0.0045580000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0082731000, 0.0082607000, 0.0082321000, 0.0082300000, 0.0082250000, 0.0082134000, 0.0081868000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.008241200, -0.008240200, -0.008237800, -0.008234200, -0.008225800, -0.008206400, -0.008161600");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0050290000;
}
pin ("B1") {
capacitance : 0.0044150000;
clock : "false";
direction : "input";
fall_capacitance : 0.0040740000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0041862000, 0.0041764000, 0.0041537000, 0.0041792000, 0.0042380000, 0.0043735000, 0.0046857000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.003477800, -0.003489200, -0.003515600, -0.003515900, -0.003516400, -0.003517600, -0.003520500");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0047560000;
}
pin ("X") {
direction : "output";
function : "(A1&A2) | (B1)";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0016153630, 0.0052187940, 0.0168604900, 0.0544716200, 0.1759829000, 0.5685523000");
values("0.0274778000, 0.0257842000, 0.0204869000, 0.0050696000, -0.051546200, -0.246660100, -0.882432800", \
"0.0269723000, 0.0253111000, 0.0201012000, 0.0046633000, -0.051776900, -0.246946200, -0.882703100", \
"0.0265437000, 0.0249533000, 0.0197571000, 0.0042438000, -0.052389000, -0.247404700, -0.883153000", \
"0.0262383000, 0.0244738000, 0.0192471000, 0.0037275000, -0.052877300, -0.247824100, -0.883565200", \
"0.0259339000, 0.0241452000, 0.0188981000, 0.0033086000, -0.053353700, -0.248451600, -0.883990600", \
"0.0266870000, 0.0247809000, 0.0188823000, 0.0020311000, -0.053701900, -0.248686400, -0.884101900", \
"0.0341319000, 0.0319583000, 0.0258450000, 0.0068038000, -0.053481300, -0.248732400, -0.883785000");
}
related_pin : "A1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0016153630, 0.0052187940, 0.0168604900, 0.0544716200, 0.1759829000, 0.5685523000");
values("0.0330636000, 0.0350609000, 0.0413218000, 0.0611292000, 0.1228294000, 0.3179810000, 0.9478509000", \
"0.0327936000, 0.0347337000, 0.0410547000, 0.0609710000, 0.1226351000, 0.3178463000, 0.9473153000", \
"0.0324691000, 0.0344188000, 0.0408446000, 0.0606755000, 0.1222320000, 0.3176016000, 0.9474776000", \
"0.0322222000, 0.0341736000, 0.0404913000, 0.0601078000, 0.1216352000, 0.3174235000, 0.9503319000", \
"0.0322422000, 0.0341090000, 0.0401928000, 0.0593635000, 0.1207750000, 0.3167311000, 0.9449057000", \
"0.0337489000, 0.0355696000, 0.0414176000, 0.0604444000, 0.1206062000, 0.3157646000, 0.9467749000", \
"0.0364213000, 0.0381387000, 0.0439368000, 0.0626222000, 0.1228693000, 0.3176702000, 0.9441429000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0016153630, 0.0052187940, 0.0168604900, 0.0544716200, 0.1759829000, 0.5685523000");
values("0.0334991000, 0.0317251000, 0.0263876000, 0.0109445000, -0.045676900, -0.240561000, -0.876117400", \
"0.0333108000, 0.0315216000, 0.0262465000, 0.0107117000, -0.045843500, -0.240766000, -0.876348200", \
"0.0330366000, 0.0313089000, 0.0259707000, 0.0104848000, -0.046152100, -0.241187500, -0.876547100", \
"0.0327946000, 0.0310211000, 0.0256751000, 0.0101838000, -0.046375300, -0.241363600, -0.876892900", \
"0.0325683000, 0.0308201000, 0.0254045000, 0.0097607000, -0.046868300, -0.241832300, -0.877248100", \
"0.0319419000, 0.0300183000, 0.0243119000, 0.0088544000, -0.047405600, -0.242109500, -0.877314800", \
"0.0406224000, 0.0385761000, 0.0322784000, 0.0132953000, -0.047088000, -0.242214400, -0.877104700");
}
related_pin : "A2";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0016153630, 0.0052187940, 0.0168604900, 0.0544716200, 0.1759829000, 0.5685523000");
values("0.0342820000, 0.0362558000, 0.0426403000, 0.0623915000, 0.1239853000, 0.3191934000, 0.9489039000", \
"0.0339682000, 0.0359562000, 0.0422826000, 0.0621959000, 0.1238344000, 0.3188638000, 0.9486144000", \
"0.0337055000, 0.0356542000, 0.0420451000, 0.0618595000, 0.1234445000, 0.3187221000, 0.9484806000", \
"0.0334580000, 0.0354327000, 0.0416588000, 0.0613353000, 0.1228855000, 0.3186205000, 0.9514069000", \
"0.0333724000, 0.0352121000, 0.0413668000, 0.0607926000, 0.1221494000, 0.3180307000, 0.9476641000", \
"0.0340376000, 0.0358707000, 0.0418630000, 0.0610341000, 0.1214467000, 0.3162526000, 0.9463069000", \
"0.0361274000, 0.0379113000, 0.0436950000, 0.0622072000, 0.1234371000, 0.3183160000, 0.9435171000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0016153630, 0.0052187940, 0.0168604900, 0.0544716200, 0.1759829000, 0.5685523000");
values("0.0324514000, 0.0306536000, 0.0253277000, 0.0098852000, -0.046682800, -0.241552500, -0.877123800", \
"0.0322419000, 0.0304352000, 0.0251607000, 0.0095180000, -0.047087500, -0.242059700, -0.877376800", \
"0.0317360000, 0.0299028000, 0.0246901000, 0.0091220000, -0.047454300, -0.242513700, -0.877935400", \
"0.0311761000, 0.0294491000, 0.0241930000, 0.0085777000, -0.047963700, -0.242974100, -0.878399900", \
"0.0311684000, 0.0293973000, 0.0240696000, 0.0083267000, -0.048393400, -0.243286600, -0.878504000", \
"0.0334300000, 0.0314627000, 0.0253489000, 0.0084344000, -0.047942300, -0.242581300, -0.877700100", \
"0.0436091000, 0.0414602000, 0.0349051000, 0.0155753000, -0.045148700, -0.240076500, -0.875019100");
}
related_pin : "B1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0016153630, 0.0052187940, 0.0168604900, 0.0544716200, 0.1759829000, 0.5685523000");
values("0.0245626000, 0.0266064000, 0.0330239000, 0.0526668000, 0.1135416000, 0.3086566000, 0.9389211000", \
"0.0245103000, 0.0265413000, 0.0329450000, 0.0525805000, 0.1134985000, 0.3085676000, 0.9348980000", \
"0.0242575000, 0.0262599000, 0.0325656000, 0.0521222000, 0.1131899000, 0.3084561000, 0.9328988000", \
"0.0237946000, 0.0257163000, 0.0318873000, 0.0513004000, 0.1124599000, 0.3077800000, 0.9372535000", \
"0.0241055000, 0.0259411000, 0.0318148000, 0.0508071000, 0.1116123000, 0.3072772000, 0.9369822000", \
"0.0249849000, 0.0267604000, 0.0326091000, 0.0517580000, 0.1117228000, 0.3062566000, 0.9364688000", \
"0.0292107000, 0.0308523000, 0.0364108000, 0.0550087000, 0.1158274000, 0.3101484000, 0.9353101000");
}
}
max_capacitance : 0.5685520000;
max_transition : 1.5080650000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016153600, 0.0052187900, 0.0168605000, 0.0544716000, 0.1759830000, 0.5685520000");
values("0.1667089000, 0.1705674000, 0.1807010000, 0.2037151000, 0.2523315000, 0.3670029000, 0.6962084000", \
"0.1715227000, 0.1753772000, 0.1855029000, 0.2083039000, 0.2572662000, 0.3717957000, 0.7009525000", \
"0.1839464000, 0.1877690000, 0.1978677000, 0.2208456000, 0.2696686000, 0.3841860000, 0.7133577000", \
"0.2123439000, 0.2161759000, 0.2261812000, 0.2490373000, 0.2980736000, 0.4125423000, 0.7417662000", \
"0.2738375000, 0.2776756000, 0.2877082000, 0.3104602000, 0.3593439000, 0.4740748000, 0.8032273000", \
"0.3905263000, 0.3950144000, 0.4062700000, 0.4312796000, 0.4839855000, 0.6010177000, 0.9311838000", \
"0.5907647000, 0.5958105000, 0.6096319000, 0.6398450000, 0.7004462000, 0.8274088000, 1.1611672000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016153600, 0.0052187900, 0.0168605000, 0.0544716000, 0.1759830000, 0.5685520000");
values("0.0792055000, 0.0828863000, 0.0928173000, 0.1185888000, 0.1902659000, 0.4136975000, 1.1290321000", \
"0.0831300000, 0.0868059000, 0.0967804000, 0.1226076000, 0.1943042000, 0.4179284000, 1.1329810000", \
"0.0931850000, 0.0968258000, 0.1068566000, 0.1325580000, 0.2041857000, 0.4275185000, 1.1429809000", \
"0.1164868000, 0.1201262000, 0.1299970000, 0.1555562000, 0.2271631000, 0.4502684000, 1.1668938000", \
"0.1524564000, 0.1563834000, 0.1667384000, 0.1929570000, 0.2645744000, 0.4890033000, 1.2025244000", \
"0.1916982000, 0.1965845000, 0.2086638000, 0.2363082000, 0.3085659000, 0.5321583000, 1.2485090000", \
"0.2121046000, 0.2184788000, 0.2345657000, 0.2688739000, 0.3430226000, 0.5654948000, 1.2805589000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016153600, 0.0052187900, 0.0168605000, 0.0544716000, 0.1759830000, 0.5685520000");
values("0.0255522000, 0.0280821000, 0.0346573000, 0.0508314000, 0.0938622000, 0.2221205000, 0.6573893000", \
"0.0255547000, 0.0280737000, 0.0345198000, 0.0513946000, 0.0940330000, 0.2224775000, 0.6565484000", \
"0.0255340000, 0.0277512000, 0.0343106000, 0.0507223000, 0.0938892000, 0.2222570000, 0.6567179000", \
"0.0253860000, 0.0278725000, 0.0348922000, 0.0507936000, 0.0937318000, 0.2220253000, 0.6573875000", \
"0.0255751000, 0.0280530000, 0.0349782000, 0.0509857000, 0.0942029000, 0.2216931000, 0.6562850000", \
"0.0312254000, 0.0337963000, 0.0408620000, 0.0580032000, 0.0996626000, 0.2264185000, 0.6588828000", \
"0.0435838000, 0.0464076000, 0.0542099000, 0.0726916000, 0.1166850000, 0.2390488000, 0.6589572000");
}
related_pin : "A1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016153600, 0.0052187900, 0.0168605000, 0.0544716000, 0.1759830000, 0.5685520000");
values("0.0193731000, 0.0224377000, 0.0318313000, 0.0606492000, 0.1566595000, 0.4750573000, 1.5031871000", \
"0.0193656000, 0.0224547000, 0.0317908000, 0.0606000000, 0.1566688000, 0.4750269000, 1.5031799000", \
"0.0193240000, 0.0224966000, 0.0317529000, 0.0604945000, 0.1564875000, 0.4744984000, 1.5031826000", \
"0.0196103000, 0.0227217000, 0.0319863000, 0.0606526000, 0.1564419000, 0.4746661000, 1.5037449000", \
"0.0224793000, 0.0254885000, 0.0344484000, 0.0627508000, 0.1573912000, 0.4740602000, 1.5010383000", \
"0.0297750000, 0.0328684000, 0.0412979000, 0.0673344000, 0.1592594000, 0.4738858000, 1.5030900000", \
"0.0418062000, 0.0456527000, 0.0554911000, 0.0796857000, 0.1638428000, 0.4754935000, 1.5002588000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016153600, 0.0052187900, 0.0168605000, 0.0544716000, 0.1759830000, 0.5685520000");
values("0.1789690000, 0.1828819000, 0.1930213000, 0.2158037000, 0.2637320000, 0.3772057000, 0.7064686000", \
"0.1842585000, 0.1881712000, 0.1983185000, 0.2211609000, 0.2690282000, 0.3825235000, 0.7116340000", \
"0.1973684000, 0.2012791000, 0.2114137000, 0.2342041000, 0.2823592000, 0.3956743000, 0.7249379000", \
"0.2265678000, 0.2304777000, 0.2406150000, 0.2634019000, 0.3113485000, 0.4248725000, 0.7540166000", \
"0.2891637000, 0.2930801000, 0.3032159000, 0.3258961000, 0.3740083000, 0.4877554000, 0.8165842000", \
"0.4112793000, 0.4156516000, 0.4269158000, 0.4516750000, 0.5029182000, 0.6187523000, 0.9477154000", \
"0.6181000000, 0.6234683000, 0.6371988000, 0.6670761000, 0.7262041000, 0.8504076000, 1.1830061000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016153600, 0.0052187900, 0.0168605000, 0.0544716000, 0.1759830000, 0.5685520000");
values("0.0840004000, 0.0877201000, 0.0976883000, 0.1233646000, 0.1950546000, 0.4180092000, 1.1335686000", \
"0.0881932000, 0.0918380000, 0.1018162000, 0.1276303000, 0.1992686000, 0.4226567000, 1.1379225000", \
"0.0973611000, 0.1010033000, 0.1110333000, 0.1367450000, 0.2084193000, 0.4315551000, 1.1469846000", \
"0.1180590000, 0.1216991000, 0.1315874000, 0.1572195000, 0.2289073000, 0.4519153000, 1.1684128000", \
"0.1534077000, 0.1573463000, 0.1678135000, 0.1942218000, 0.2660085000, 0.4892663000, 1.2051552000", \
"0.1977714000, 0.2024717000, 0.2145538000, 0.2425122000, 0.3152376000, 0.5383196000, 1.2537931000", \
"0.2300110000, 0.2361857000, 0.2518082000, 0.2856657000, 0.3615406000, 0.5853615000, 1.2995061000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016153600, 0.0052187900, 0.0168605000, 0.0544716000, 0.1759830000, 0.5685520000");
values("0.0260170000, 0.0284434000, 0.0349520000, 0.0505255000, 0.0930404000, 0.2203122000, 0.6569143000", \
"0.0259900000, 0.0283878000, 0.0347688000, 0.0506457000, 0.0930903000, 0.2206009000, 0.6577096000", \
"0.0261256000, 0.0285093000, 0.0349974000, 0.0504950000, 0.0928906000, 0.2208668000, 0.6569135000", \
"0.0260119000, 0.0284303000, 0.0349098000, 0.0505887000, 0.0930124000, 0.2206867000, 0.6579301000", \
"0.0260024000, 0.0287239000, 0.0347177000, 0.0504723000, 0.0927500000, 0.2207703000, 0.6566809000", \
"0.0313011000, 0.0339602000, 0.0406455000, 0.0563300000, 0.0973993000, 0.2236620000, 0.6581443000", \
"0.0433128000, 0.0462719000, 0.0538721000, 0.0713325000, 0.1133764000, 0.2357806000, 0.6582873000");
}
related_pin : "A2";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016153600, 0.0052187900, 0.0168605000, 0.0544716000, 0.1759830000, 0.5685520000");
values("0.0193831000, 0.0225205000, 0.0317290000, 0.0605641000, 0.1563800000, 0.4739302000, 1.5029724000", \
"0.0193929000, 0.0224443000, 0.0317943000, 0.0606332000, 0.1566589000, 0.4750706000, 1.5033857000", \
"0.0193446000, 0.0225175000, 0.0317715000, 0.0605527000, 0.1563951000, 0.4745414000, 1.5031703000", \
"0.0194832000, 0.0226502000, 0.0319620000, 0.0607179000, 0.1565337000, 0.4746654000, 1.5036117000", \
"0.0220012000, 0.0250666000, 0.0341806000, 0.0624144000, 0.1572862000, 0.4745911000, 1.5031678000", \
"0.0278237000, 0.0309823000, 0.0403250000, 0.0669312000, 0.1586233000, 0.4740527000, 1.5025758000", \
"0.0389087000, 0.0426030000, 0.0527071000, 0.0782833000, 0.1636048000, 0.4754738000, 1.4996989000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016153600, 0.0052187900, 0.0168605000, 0.0544716000, 0.1759830000, 0.5685520000");
values("0.1536402000, 0.1576062000, 0.1677444000, 0.1905571000, 0.2384588000, 0.3519686000, 0.6810947000", \
"0.1570656000, 0.1610082000, 0.1711703000, 0.1939816000, 0.2423291000, 0.3553754000, 0.6847278000", \
"0.1671333000, 0.1710480000, 0.1811008000, 0.2039052000, 0.2520921000, 0.3656219000, 0.6950172000", \
"0.1942200000, 0.1981073000, 0.2082662000, 0.2309622000, 0.2791505000, 0.3927325000, 0.7218831000", \
"0.2611191000, 0.2650255000, 0.2751031000, 0.2976796000, 0.3456586000, 0.4591856000, 0.7883175000", \
"0.3910144000, 0.3957536000, 0.4078788000, 0.4334276000, 0.4843862000, 0.6004732000, 0.9302570000", \
"0.5977439000, 0.6036122000, 0.6188354000, 0.6520439000, 0.7124349000, 0.8325155000, 1.1648997000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016153600, 0.0052187900, 0.0168605000, 0.0544716000, 0.1759830000, 0.5685520000");
values("0.0544353000, 0.0576169000, 0.0665371000, 0.0903139000, 0.1601130000, 0.3810326000, 1.1000937000", \
"0.0590325000, 0.0622123000, 0.0711173000, 0.0948771000, 0.1647808000, 0.3858829000, 1.1152925000", \
"0.0699760000, 0.0731225000, 0.0819383000, 0.1056232000, 0.1756681000, 0.3968275000, 1.1117878000", \
"0.0908976000, 0.0941777000, 0.1031807000, 0.1270713000, 0.1968986000, 0.4206701000, 1.1331958000", \
"0.1173758000, 0.1213029000, 0.1315670000, 0.1567298000, 0.2271165000, 0.4500086000, 1.1640242000", \
"0.1406823000, 0.1459290000, 0.1590848000, 0.1880410000, 0.2594577000, 0.4826555000, 1.1977949000", \
"0.1371970000, 0.1441519000, 0.1619289000, 0.1994857000, 0.2764253000, 0.4989587000, 1.2125223000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016153600, 0.0052187900, 0.0168605000, 0.0544716000, 0.1759830000, 0.5685520000");
values("0.0259005000, 0.0283259000, 0.0348526000, 0.0506371000, 0.0931228000, 0.2205883000, 0.6578232000", \
"0.0259197000, 0.0283137000, 0.0346959000, 0.0506541000, 0.0927827000, 0.2210241000, 0.6571803000", \
"0.0262439000, 0.0283099000, 0.0348177000, 0.0509282000, 0.0928776000, 0.2209568000, 0.6576477000", \
"0.0261770000, 0.0286011000, 0.0346331000, 0.0505801000, 0.0929571000, 0.2204922000, 0.6564415000", \
"0.0260781000, 0.0284484000, 0.0347977000, 0.0507030000, 0.0931648000, 0.2206822000, 0.6560777000", \
"0.0359847000, 0.0385134000, 0.0455518000, 0.0593631000, 0.0994902000, 0.2240934000, 0.6585995000", \
"0.0523576000, 0.0555971000, 0.0646863000, 0.0804370000, 0.1170669000, 0.2346419000, 0.6597344000");
}
related_pin : "B1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016153600, 0.0052187900, 0.0168605000, 0.0544716000, 0.1759830000, 0.5685520000");
values("0.0161763000, 0.0189805000, 0.0278221000, 0.0566970000, 0.1541050000, 0.4737553000, 1.5080651000", \
"0.0161765000, 0.0189896000, 0.0278410000, 0.0567404000, 0.1538318000, 0.4735923000, 1.5025577000", \
"0.0162284000, 0.0190294000, 0.0278980000, 0.0567586000, 0.1537915000, 0.4735705000, 1.4981256000", \
"0.0182533000, 0.0208620000, 0.0292042000, 0.0573284000, 0.1541527000, 0.4738149000, 1.5004109000", \
"0.0237159000, 0.0261375000, 0.0339486000, 0.0601992000, 0.1547536000, 0.4721910000, 1.5048959000", \
"0.0335015000, 0.0362073000, 0.0440379000, 0.0678078000, 0.1569791000, 0.4714880000, 1.5001856000", \
"0.0472626000, 0.0512252000, 0.0616771000, 0.0853861000, 0.1646331000, 0.4738265000, 1.4983581000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
/* removed sky130_fd_sc_hd__a21oi_1 */
/* removed sky130_fd_sc_hd__a21oi_2 */
cell ("sky130_fd_sc_hd__a21oi_4") {
leakage_power () {
value : 0.0037804000;
when : "!A1&!A2&B1";
}
leakage_power () {
value : 0.0024464000;
when : "!A1&!A2&!B1";
}
leakage_power () {
value : 0.0037807000;
when : "!A1&A2&B1";
}
leakage_power () {
value : 0.0043513000;
when : "!A1&A2&!B1";
}
leakage_power () {
value : 0.0037807000;
when : "A1&!A2&B1";
}
leakage_power () {
value : 0.0035496000;
when : "A1&!A2&!B1";
}
leakage_power () {
value : 0.0003708000;
when : "A1&A2&B1";
}
leakage_power () {
value : 0.0035062000;
when : "A1&A2&!B1";
}
area : 16.265600000;
cell_footprint : "sky130_fd_sc_hd__a21oi";
cell_leakage_power : 0.0031957700;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A1") {
capacitance : 0.0085710000;
clock : "false";
direction : "input";
fall_capacitance : 0.0082260000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0176627000, 0.0176647000, 0.0176693000, 0.0176767000, 0.0176937000, 0.0177329000, 0.0178234000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.013210700, -0.013205000, -0.013191600, -0.013160000, -0.013087000, -0.012918900, -0.012531300");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0089170000;
}
pin ("A2") {
capacitance : 0.0092380000;
clock : "false";
direction : "input";
fall_capacitance : 0.0087670000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0164539000, 0.0164246000, 0.0163571000, 0.0163522000, 0.0163411000, 0.0163154000, 0.0162562000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.016374300, -0.016358500, -0.016322100, -0.016320300, -0.016316000, -0.016306000, -0.016283100");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0097100000;
}
pin ("B1") {
capacitance : 0.0085960000;
clock : "false";
direction : "input";
fall_capacitance : 0.0079660000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0079063000, 0.0078853000, 0.0078369000, 0.0078799000, 0.0079788000, 0.0082069000, 0.0087326000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.006904200, -0.006903100, -0.006900400, -0.006895700, -0.006884800, -0.006859600, -0.006801600");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0092270000;
}
pin ("Y") {
direction : "output";
function : "(!A1&!B1) | (!A2&!B1)";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0013805730, 0.0038119630, 0.0105253900, 0.0290621300, 0.0802447800, 0.2215675000");
values("0.0160100000, 0.0146164000, 0.0107003000, -3.67000e-05, -0.029765500, -0.112225300, -0.340738000", \
"0.0154877000, 0.0141227000, 0.0102400000, -0.000481900, -0.030094800, -0.112584600, -0.341119100", \
"0.0147161000, 0.0133482000, 0.0095153000, -0.001078800, -0.030642400, -0.113008400, -0.341536400", \
"0.0136958000, 0.0123339000, 0.0087090000, -0.001829400, -0.031248000, -0.113498200, -0.341832800", \
"0.0135495000, 0.0121518000, 0.0084323000, -0.002128400, -0.031674100, -0.113788400, -0.342271100", \
"0.0155387000, 0.0140834000, 0.0099603000, -0.001415500, -0.031509800, -0.113754600, -0.342075600", \
"0.0192814000, 0.0177658000, 0.0134339000, 0.0020592000, -0.028714700, -0.112502700, -0.342228200");
}
related_pin : "A1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0013805730, 0.0038119630, 0.0105253900, 0.0290621300, 0.0802447800, 0.2215675000");
values("0.0245839000, 0.0261919000, 0.0305010000, 0.0418689000, 0.0718377000, 0.1539028000, 0.3827241000", \
"0.0236878000, 0.0252792000, 0.0295306000, 0.0411230000, 0.0714105000, 0.1548461000, 0.3829275000", \
"0.0229696000, 0.0244674000, 0.0286299000, 0.0399911000, 0.0706113000, 0.1533308000, 0.3798184000", \
"0.0225087000, 0.0239926000, 0.0280340000, 0.0392161000, 0.0695515000, 0.1534905000, 0.3794085000", \
"0.0220932000, 0.0235537000, 0.0275719000, 0.0384716000, 0.0686501000, 0.1512764000, 0.3785113000", \
"0.0220257000, 0.0234543000, 0.0274337000, 0.0384559000, 0.0683968000, 0.1509986000, 0.3774860000", \
"0.0225028000, 0.0238276000, 0.0274343000, 0.0379557000, 0.0685071000, 0.1510636000, 0.3785388000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0013805730, 0.0038119630, 0.0105253900, 0.0290621300, 0.0802447800, 0.2215675000");
values("0.0189295000, 0.0175048000, 0.0135890000, 0.0028487000, -0.026859300, -0.109374500, -0.337905200", \
"0.0184176000, 0.0170017000, 0.0131090000, 0.0023912000, -0.027312700, -0.109788800, -0.338314400", \
"0.0176674000, 0.0162818000, 0.0124433000, 0.0018022000, -0.027803900, -0.110238700, -0.338723100", \
"0.0167596000, 0.0153980000, 0.0115883000, 0.0011001000, -0.028311500, -0.110622200, -0.339027600", \
"0.0165243000, 0.0151472000, 0.0111949000, 0.0005495000, -0.028712300, -0.110727000, -0.339046800", \
"0.0171449000, 0.0158516000, 0.0118297000, 0.0009848000, -0.028716800, -0.111496800, -0.339392400", \
"0.0203859000, 0.0189749000, 0.0148125000, 0.0036139000, -0.026900700, -0.110133000, -0.339314800");
}
related_pin : "A2";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0013805730, 0.0038119630, 0.0105253900, 0.0290621300, 0.0802447800, 0.2215675000");
values("0.0387408000, 0.0402516000, 0.0442085000, 0.0551265000, 0.0849807000, 0.1671127000, 0.3931873000", \
"0.0380820000, 0.0395421000, 0.0435954000, 0.0546534000, 0.0846505000, 0.1669436000, 0.3927868000", \
"0.0374738000, 0.0389158000, 0.0429699000, 0.0540081000, 0.0841868000, 0.1665764000, 0.3927683000", \
"0.0370219000, 0.0383739000, 0.0423688000, 0.0534383000, 0.0836971000, 0.1660855000, 0.3924691000", \
"0.0365073000, 0.0380068000, 0.0420169000, 0.0529156000, 0.0829307000, 0.1655618000, 0.3917991000", \
"0.0365221000, 0.0378742000, 0.0418895000, 0.0528352000, 0.0829947000, 0.1654112000, 0.3915716000", \
"0.0367134000, 0.0380695000, 0.0418534000, 0.0524787000, 0.0832277000, 0.1659130000, 0.3923051000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0013805730, 0.0038119630, 0.0105253900, 0.0290621300, 0.0802447800, 0.2215675000");
values("-0.000178600, -0.001441100, -0.005022100, -0.015454400, -0.045183200, -0.127966500, -0.356849800", \
"-0.000822800, -0.002021000, -0.005491200, -0.015652200, -0.045100100, -0.127715600, -0.356513000", \
"-0.001569200, -0.002780700, -0.006288400, -0.016236800, -0.045290100, -0.127607800, -0.356270400", \
"-0.002277700, -0.003574600, -0.007183700, -0.017158400, -0.045939000, -0.127805600, -0.356249200", \
"-0.001535500, -0.002925700, -0.006727400, -0.017040000, -0.046494700, -0.128264800, -0.356381600", \
"0.0002981000, -0.001201100, -0.005283000, -0.016348200, -0.045885500, -0.128515400, -0.356704100", \
"0.0067197000, 0.0050363000, 0.0004822000, -0.011555800, -0.042962400, -0.125568600, -0.356146700");
}
related_pin : "B1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0013805730, 0.0038119630, 0.0105253900, 0.0290621300, 0.0802447800, 0.2215675000");
values("0.0359073000, 0.0374439000, 0.0416454000, 0.0528963000, 0.0831943000, 0.1654002000, 0.3915609000", \
"0.0351250000, 0.0365980000, 0.0407347000, 0.0521921000, 0.0826883000, 0.1652002000, 0.3914749000", \
"0.0343364000, 0.0358225000, 0.0399558000, 0.0512949000, 0.0817728000, 0.1645821000, 0.3912592000", \
"0.0340924000, 0.0355626000, 0.0395722000, 0.0505803000, 0.0807197000, 0.1637268000, 0.3907216000", \
"0.0340947000, 0.0354957000, 0.0393985000, 0.0502459000, 0.0801303000, 0.1625945000, 0.3897599000", \
"0.0370809000, 0.0384560000, 0.0422412000, 0.0528237000, 0.0821207000, 0.1637129000, 0.3880777000", \
"0.0415913000, 0.0428849000, 0.0466998000, 0.0575601000, 0.0857223000, 0.1654100000, 0.3897643000");
}
}
max_capacitance : 0.2215680000;
max_transition : 1.4966630000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013805700, 0.0038119600, 0.0105254000, 0.0290621000, 0.0802448000, 0.2215680000");
values("0.0246992000, 0.0263024000, 0.0304106000, 0.0410125000, 0.0678401000, 0.1380803000, 0.3284191000", \
"0.0287528000, 0.0303059000, 0.0344198000, 0.0449538000, 0.0717621000, 0.1421019000, 0.3323391000", \
"0.0391000000, 0.0406930000, 0.0447374000, 0.0548324000, 0.0815114000, 0.1516950000, 0.3419164000", \
"0.0539156000, 0.0562234000, 0.0620181000, 0.0758049000, 0.1047227000, 0.1747259000, 0.3650390000", \
"0.0682020000, 0.0716078000, 0.0802008000, 0.1009026000, 0.1450502000, 0.2294386000, 0.4194306000", \
"0.0708632000, 0.0760541000, 0.0893124000, 0.1215272000, 0.1892958000, 0.3160761000, 0.5451449000", \
"0.0329337000, 0.0408214000, 0.0602664000, 0.1091740000, 0.2135064000, 0.4116788000, 0.7576772000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013805700, 0.0038119600, 0.0105254000, 0.0290621000, 0.0802448000, 0.2215680000");
values("0.0750266000, 0.0797863000, 0.0926365000, 0.1260724000, 0.2144161000, 0.4553959000, 1.1264553000", \
"0.0788206000, 0.0836378000, 0.0963492000, 0.1303970000, 0.2206709000, 0.4648922000, 1.1340058000", \
"0.0914359000, 0.0958637000, 0.1082775000, 0.1416296000, 0.2321347000, 0.4742727000, 1.1387330000", \
"0.1205273000, 0.1250511000, 0.1373143000, 0.1697234000, 0.2593165000, 0.5051595000, 1.1692536000", \
"0.1719356000, 0.1776844000, 0.1927567000, 0.2304034000, 0.3200600000, 0.5627075000, 1.2301592000", \
"0.2563241000, 0.2650938000, 0.2868255000, 0.3391377000, 0.4519958000, 0.7019299000, 1.3689805000", \
"0.3922306000, 0.4065449000, 0.4417791000, 0.5239923000, 0.6878029000, 1.0084236000, 1.6948052000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013805700, 0.0038119600, 0.0105254000, 0.0290621000, 0.0802448000, 0.2215680000");
values("0.0237953000, 0.0254264000, 0.0298570000, 0.0421778000, 0.0761349000, 0.1706823000, 0.4346524000", \
"0.0227089000, 0.0243467000, 0.0291293000, 0.0418578000, 0.0760946000, 0.1711810000, 0.4347354000", \
"0.0255739000, 0.0268890000, 0.0307350000, 0.0420823000, 0.0756712000, 0.1710417000, 0.4347011000", \
"0.0352760000, 0.0371595000, 0.0423320000, 0.0541057000, 0.0819962000, 0.1712114000, 0.4346026000", \
"0.0548268000, 0.0575359000, 0.0644661000, 0.0804540000, 0.1146775000, 0.1911494000, 0.4352762000", \
"0.0900704000, 0.0942685000, 0.1048262000, 0.1281075000, 0.1751626000, 0.2719571000, 0.4789162000", \
"0.1522599000, 0.1588400000, 0.1759171000, 0.2133319000, 0.2874350000, 0.4193671000, 0.6672240000");
}
related_pin : "A1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013805700, 0.0038119600, 0.0105254000, 0.0290621000, 0.0802448000, 0.2215680000");
values("0.0467260000, 0.0524283000, 0.0684499000, 0.1124418000, 0.2332804000, 0.5658687000, 1.4956092000", \
"0.0466227000, 0.0523710000, 0.0683550000, 0.1125319000, 0.2335217000, 0.5705383000, 1.4966625000", \
"0.0468068000, 0.0525925000, 0.0684013000, 0.1120551000, 0.2333967000, 0.5663319000, 1.4828127000", \
"0.0484445000, 0.0538229000, 0.0689868000, 0.1124572000, 0.2333526000, 0.5698152000, 1.4816560000", \
"0.0646310000, 0.0699947000, 0.0845821000, 0.1227971000, 0.2360603000, 0.5660067000, 1.4815442000", \
"0.0996765000, 0.1055815000, 0.1224559000, 0.1642453000, 0.2706902000, 0.5735811000, 1.4800224000", \
"0.1774712000, 0.1853416000, 0.2068150000, 0.2567463000, 0.3780628000, 0.6619884000, 1.4964621000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013805700, 0.0038119600, 0.0105254000, 0.0290621000, 0.0802448000, 0.2215680000");
values("0.0303720000, 0.0319421000, 0.0360462000, 0.0465930000, 0.0734489000, 0.1436749000, 0.3338050000", \
"0.0345541000, 0.0361274000, 0.0402576000, 0.0507694000, 0.0776014000, 0.1478207000, 0.3379790000", \
"0.0434589000, 0.0450755000, 0.0491940000, 0.0596294000, 0.0864283000, 0.1566288000, 0.3469683000", \
"0.0582558000, 0.0602947000, 0.0652601000, 0.0777804000, 0.1065639000, 0.1770607000, 0.3676280000", \
"0.0760603000, 0.0789380000, 0.0865548000, 0.1045777000, 0.1430287000, 0.2231852000, 0.4154668000", \
"0.0872149000, 0.0918042000, 0.1033720000, 0.1311212000, 0.1900211000, 0.3022428000, 0.5220377000", \
"0.0635701000, 0.0704689000, 0.0891165000, 0.1331368000, 0.2257614000, 0.4016808000, 0.7096582000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013805700, 0.0038119600, 0.0105254000, 0.0290621000, 0.0802448000, 0.2215680000");
values("0.1000751000, 0.1046043000, 0.1162003000, 0.1478840000, 0.2332025000, 0.4665976000, 1.1106092000", \
"0.1042715000, 0.1085854000, 0.1206454000, 0.1524753000, 0.2383677000, 0.4724077000, 1.1153842000", \
"0.1167402000, 0.1209889000, 0.1329661000, 0.1650169000, 0.2512142000, 0.4855794000, 1.1288390000", \
"0.1453300000, 0.1496031000, 0.1613673000, 0.1928352000, 0.2792188000, 0.5142880000, 1.1581179000", \
"0.2010961000, 0.2057910000, 0.2193319000, 0.2531864000, 0.3390513000, 0.5739352000, 1.2181819000", \
"0.2952266000, 0.3026608000, 0.3204691000, 0.3643097000, 0.4688417000, 0.7096645000, 1.3546856000", \
"0.4502845000, 0.4609294000, 0.4883598000, 0.5551191000, 0.7015018000, 1.0043314000, 1.6689661000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013805700, 0.0038119600, 0.0105254000, 0.0290621000, 0.0802448000, 0.2215680000");
values("0.0234857000, 0.0251504000, 0.0295464000, 0.0419536000, 0.0761041000, 0.1707974000, 0.4347723000", \
"0.0232008000, 0.0247701000, 0.0293919000, 0.0418056000, 0.0760696000, 0.1707507000, 0.4349299000", \
"0.0243234000, 0.0258277000, 0.0300541000, 0.0419620000, 0.0758903000, 0.1710470000, 0.4344189000", \
"0.0319007000, 0.0334027000, 0.0376642000, 0.0487173000, 0.0792328000, 0.1710440000, 0.4344038000", \
"0.0488382000, 0.0509167000, 0.0560617000, 0.0687969000, 0.1008201000, 0.1824777000, 0.4351133000", \
"0.0817142000, 0.0846931000, 0.0919354000, 0.1097751000, 0.1499707000, 0.2369296000, 0.4610488000", \
"0.1421957000, 0.1463004000, 0.1580165000, 0.1848181000, 0.2429588000, 0.3541444000, 0.5896604000");
}
related_pin : "A2";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013805700, 0.0038119600, 0.0105254000, 0.0290621000, 0.0802448000, 0.2215680000");
values("0.0663352000, 0.0720004000, 0.0874282000, 0.1301507000, 0.2472445000, 0.5690678000, 1.4580566000", \
"0.0663367000, 0.0719630000, 0.0875674000, 0.1303482000, 0.2474753000, 0.5699129000, 1.4580978000", \
"0.0663620000, 0.0719541000, 0.0876010000, 0.1302648000, 0.2472489000, 0.5708328000, 1.4571687000", \
"0.0667478000, 0.0722302000, 0.0875231000, 0.1301830000, 0.2478976000, 0.5710591000, 1.4584911000", \
"0.0802531000, 0.0854773000, 0.0988501000, 0.1376960000, 0.2497255000, 0.5692700000, 1.4564131000", \
"0.1143615000, 0.1200268000, 0.1359616000, 0.1769583000, 0.2825214000, 0.5786259000, 1.4604168000", \
"0.1914367000, 0.1988145000, 0.2184393000, 0.2669700000, 0.3850886000, 0.6629319000, 1.4757747000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013805700, 0.0038119600, 0.0105254000, 0.0290621000, 0.0802448000, 0.2215680000");
values("0.0135185000, 0.0143941000, 0.0166940000, 0.0225876000, 0.0383249000, 0.0810906000, 0.1989269000", \
"0.0178918000, 0.0189115000, 0.0213059000, 0.0272632000, 0.0430952000, 0.0859894000, 0.2037653000", \
"0.0235355000, 0.0251751000, 0.0290854000, 0.0374390000, 0.0540872000, 0.0970135000, 0.2148406000", \
"0.0284403000, 0.0310814000, 0.0371770000, 0.0506845000, 0.0762546000, 0.1222949000, 0.2401767000", \
"0.0278651000, 0.0319002000, 0.0417128000, 0.0633590000, 0.1038603000, 0.1746218000, 0.2996976000", \
"0.0095130000, 0.0158928000, 0.0313962000, 0.0651880000, 0.1290223000, 0.2406523000, 0.4254231000", \
"-0.058599800, -0.048449400, -0.025075800, 0.0284418000, 0.1300526000, 0.3056845000, 0.5961014000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013805700, 0.0038119600, 0.0105254000, 0.0290621000, 0.0802448000, 0.2215680000");
values("0.0716525000, 0.0759262000, 0.0883610000, 0.1208460000, 0.2072832000, 0.4414711000, 1.0850894000", \
"0.0743050000, 0.0788088000, 0.0906466000, 0.1237824000, 0.2106755000, 0.4456273000, 1.0889943000", \
"0.0845636000, 0.0887598000, 0.1009570000, 0.1334126000, 0.2201716000, 0.4560929000, 1.1002584000", \
"0.1131277000, 0.1172963000, 0.1286992000, 0.1603097000, 0.2460977000, 0.4820407000, 1.1270492000", \
"0.1725520000, 0.1782892000, 0.1926072000, 0.2278103000, 0.3130372000, 0.5473165000, 1.1927437000", \
"0.2681701000, 0.2767737000, 0.2989280000, 0.3522381000, 0.4660110000, 0.7020082000, 1.3409110000", \
"0.4304704000, 0.4427189000, 0.4749074000, 0.5537185000, 0.7241591000, 1.0536048000, 1.7029687000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013805700, 0.0038119600, 0.0105254000, 0.0290621000, 0.0802448000, 0.2215680000");
values("0.0096355000, 0.0107941000, 0.0140843000, 0.0226383000, 0.0442555000, 0.1021003000, 0.2614125000", \
"0.0111552000, 0.0120372000, 0.0148126000, 0.0227606000, 0.0442452000, 0.1021308000, 0.2611587000", \
"0.0194078000, 0.0201429000, 0.0221837000, 0.0276640000, 0.0457742000, 0.1021044000, 0.2609619000", \
"0.0338533000, 0.0347488000, 0.0372785000, 0.0440128000, 0.0599016000, 0.1065845000, 0.2611496000", \
"0.0597022000, 0.0608729000, 0.0643303000, 0.0736680000, 0.0953186000, 0.1401274000, 0.2692475000", \
"0.1059452000, 0.1077217000, 0.1127917000, 0.1262226000, 0.1586446000, 0.2213740000, 0.3435039000", \
"0.1902590000, 0.1930315000, 0.2015757000, 0.2211665000, 0.2681819000, 0.3641596000, 0.5366358000");
}
related_pin : "B1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013805700, 0.0038119600, 0.0105254000, 0.0290621000, 0.0802448000, 0.2215680000");
values("0.0660474000, 0.0717453000, 0.0872211000, 0.1299034000, 0.2472559000, 0.5689522000, 1.4575016000", \
"0.0660480000, 0.0717603000, 0.0873675000, 0.1300289000, 0.2473235000, 0.5697718000, 1.4559419000", \
"0.0657350000, 0.0712875000, 0.0871369000, 0.1299754000, 0.2471239000, 0.5697799000, 1.4559501000", \
"0.0684186000, 0.0734758000, 0.0879290000, 0.1293574000, 0.2472611000, 0.5712855000, 1.4595147000", \
"0.0943538000, 0.1002580000, 0.1142815000, 0.1470440000, 0.2506376000, 0.5690546000, 1.4568604000", \
"0.1374919000, 0.1453960000, 0.1652583000, 0.2117812000, 0.3101206000, 0.5831928000, 1.4582071000", \
"0.2077899000, 0.2193168000, 0.2494748000, 0.3159837000, 0.4532922000, 0.7197276000, 1.4803000000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
}
}
/* removed sky130_fd_sc_hd__a221o_1 */
/* removed sky130_fd_sc_hd__a221o_2 */
/* removed sky130_fd_sc_hd__a221o_4 */
/* removed sky130_fd_sc_hd__a221oi_1 */
/* removed sky130_fd_sc_hd__a221oi_2 */
/* removed sky130_fd_sc_hd__a221oi_4 */
/* removed sky130_fd_sc_hd__a222oi_1 */
/* removed sky130_fd_sc_hd__a22o_1 */
/* removed sky130_fd_sc_hd__a22o_2 */
/* removed sky130_fd_sc_hd__a22o_4 */
/* removed sky130_fd_sc_hd__a22oi_1 */
/* removed sky130_fd_sc_hd__a22oi_2 */
cell ("sky130_fd_sc_hd__a22oi_4") {
leakage_power () {
value : 0.0020851000;
when : "!A1&!A2&!B1&B2";
}
leakage_power () {
value : 0.0303796000;
when : "!A1&!A2&!B1&!B2";
}
leakage_power () {
value : 0.0052827000;
when : "!A1&!A2&B1&B2";
}
leakage_power () {
value : 0.0016600000;
when : "!A1&!A2&B1&!B2";
}
leakage_power () {
value : 0.0038277000;
when : "!A1&A2&!B1&B2";
}
leakage_power () {
value : 0.0316658000;
when : "!A1&A2&!B1&!B2";
}
leakage_power () {
value : 0.0052827000;
when : "!A1&A2&B1&B2";
}
leakage_power () {
value : 0.0034003000;
when : "!A1&A2&B1&!B2";
}
leakage_power () {
value : 0.0034013000;
when : "A1&!A2&!B1&B2";
}
leakage_power () {
value : 0.0516426000;
when : "A1&!A2&!B1&!B2";
}
leakage_power () {
value : 0.0052827000;
when : "A1&!A2&B1&B2";
}
leakage_power () {
value : 0.0029795000;
when : "A1&!A2&B1&!B2";
}
leakage_power () {
value : 0.0030315000;
when : "A1&A2&!B1&B2";
}
leakage_power () {
value : 0.0726102000;
when : "A1&A2&!B1&!B2";
}
leakage_power () {
value : 0.0034066000;
when : "A1&A2&B1&B2";
}
leakage_power () {
value : 0.0031381000;
when : "A1&A2&B1&!B2";
}
area : 21.270400000;
cell_footprint : "sky130_fd_sc_hd__a22oi";
cell_leakage_power : 0.0143172700;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A1") {
capacitance : 0.0083100000;
clock : "false";
direction : "input";
fall_capacitance : 0.0080080000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0178772000, 0.0178987000, 0.0179483000, 0.0179495000, 0.0179525000, 0.0179596000, 0.0179759000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.012679300, -0.012695000, -0.012731400, -0.012697500, -0.012619300, -0.012439100, -0.012023600");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0086130000;
}
pin ("A2") {
capacitance : 0.0086170000;
clock : "false";
direction : "input";
fall_capacitance : 0.0081530000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0156594000, 0.0156545000, 0.0156434000, 0.0156434000, 0.0156435000, 0.0156437000, 0.0156443000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.015608100, -0.015607000, -0.015604400, -0.015604500, -0.015604800, -0.015605500, -0.015607100");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0090810000;
}
pin ("B1") {
capacitance : 0.0083380000;
clock : "false";
direction : "input";
fall_capacitance : 0.0078820000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0181848000, 0.0181864000, 0.0181902000, 0.0181943000, 0.0182038000, 0.0182258000, 0.0182763000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.012980600, -0.012988800, -0.013007300, -0.012978200, -0.012910700, -0.012755200, -0.012396800");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0087950000;
}
pin ("B2") {
capacitance : 0.0085430000;
clock : "false";
direction : "input";
fall_capacitance : 0.0078830000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0157805000, 0.0157648000, 0.0157285000, 0.0157282000, 0.0157273000, 0.0157254000, 0.0157209000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.015714000, -0.015708500, -0.015695700, -0.015695200, -0.015693800, -0.015690400, -0.015682800");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0092040000;
}
pin ("Y") {
direction : "output";
function : "(!A1&!B1) | (!A1&!B2) | (!A2&!B1) | (!A2&!B2)";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0014471280, 0.0041883570, 0.0121221700, 0.0350846600, 0.1015440000, 0.2938942000");
values("0.0253393000, 0.0238166000, 0.0194834000, 0.0067331000, -0.029981200, -0.137263900, -0.448252100", \
"0.0250118000, 0.0234531000, 0.0191466000, 0.0064756000, -0.030277500, -0.137523700, -0.448488500", \
"0.0243290000, 0.0228136000, 0.0185291000, 0.0059329000, -0.030655100, -0.137760300, -0.448838500", \
"0.0234157000, 0.0219365000, 0.0176373000, 0.0051878000, -0.031252900, -0.138015200, -0.448920400", \
"0.0227697000, 0.0212915000, 0.0169648000, 0.0043793000, -0.031945300, -0.138336600, -0.449000200", \
"0.0236092000, 0.0220708000, 0.0176135000, 0.0047512000, -0.032514900, -0.138894100, -0.449434700", \
"0.0269242000, 0.0253514000, 0.0210235000, 0.0075713000, -0.029903300, -0.138814700, -0.448752700");
}
related_pin : "A1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0014471280, 0.0041883570, 0.0121221700, 0.0350846600, 0.1015440000, 0.2938942000");
values("0.0402919000, 0.0418603000, 0.0463599000, 0.0593540000, 0.0963766000, 0.2030235000, 0.5105981000", \
"0.0396315000, 0.0412097000, 0.0457499000, 0.0589367000, 0.0962026000, 0.2030137000, 0.5105751000", \
"0.0385817000, 0.0401560000, 0.0447798000, 0.0580144000, 0.0956433000, 0.2025323000, 0.5105666000", \
"0.0377043000, 0.0392728000, 0.0438029000, 0.0569959000, 0.0947207000, 0.2019771000, 0.5101401000", \
"0.0370570000, 0.0386016000, 0.0431381000, 0.0561964000, 0.0935107000, 0.2009108000, 0.5094441000", \
"0.0369368000, 0.0385339000, 0.0429972000, 0.0559685000, 0.0932598000, 0.2000615000, 0.5086143000", \
"0.0364563000, 0.0380043000, 0.0423323000, 0.0551678000, 0.0932039000, 0.2001956000, 0.5080979000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0014471280, 0.0041883570, 0.0121221700, 0.0350846600, 0.1015440000, 0.2938942000");
values("0.0279718000, 0.0264536000, 0.0220841000, 0.0093661000, -0.027352700, -0.134523600, -0.445687500", \
"0.0276738000, 0.0261202000, 0.0217565000, 0.0090579000, -0.027753600, -0.134936700, -0.445806000", \
"0.0271093000, 0.0256049000, 0.0212433000, 0.0086208000, -0.028008200, -0.135231600, -0.446230200", \
"0.0263951000, 0.0249059000, 0.0205521000, 0.0080843000, -0.028525200, -0.135513800, -0.446425400", \
"0.0257699000, 0.0242467000, 0.0199182000, 0.0074354000, -0.028796600, -0.135582000, -0.446381300", \
"0.0263242000, 0.0247945000, 0.0203930000, 0.0076328000, -0.029703900, -0.136231700, -0.446575800", \
"0.0290966000, 0.0275283000, 0.0229168000, 0.0098408000, -0.027593600, -0.135669800, -0.446629300");
}
related_pin : "A2";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0014471280, 0.0041883570, 0.0121221700, 0.0350846600, 0.1015440000, 0.2938942000");
values("0.0546029000, 0.0560109000, 0.0604943000, 0.0734170000, 0.1105456000, 0.2170325000, 0.5246839000", \
"0.0539013000, 0.0556769000, 0.0600105000, 0.0729848000, 0.1101896000, 0.2168797000, 0.5244711000", \
"0.0533042000, 0.0549145000, 0.0595183000, 0.0724907000, 0.1098150000, 0.2165619000, 0.5242626000", \
"0.0527949000, 0.0543645000, 0.0587675000, 0.0717847000, 0.1092680000, 0.2162712000, 0.5242186000", \
"0.0521650000, 0.0537193000, 0.0582479000, 0.0712470000, 0.1084787000, 0.2156312000, 0.5236947000", \
"0.0521116000, 0.0536901000, 0.0582176000, 0.0713985000, 0.1083180000, 0.2151139000, 0.5234957000", \
"0.0517065000, 0.0531488000, 0.0576538000, 0.0706600000, 0.1084715000, 0.2156389000, 0.5234586000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0014471280, 0.0041883570, 0.0121221700, 0.0350846600, 0.1015440000, 0.2938942000");
values("0.0099202000, 0.0085240000, 0.0043539000, -0.008147200, -0.045132000, -0.152700600, -0.464295100", \
"0.0093598000, 0.0080191000, 0.0040004000, -0.008269100, -0.044987300, -0.152402700, -0.463908700", \
"0.0086646000, 0.0073704000, 0.0032791000, -0.008713100, -0.045064400, -0.152192600, -0.463572900", \
"0.0076887000, 0.0063839000, 0.0025116000, -0.009553400, -0.045594400, -0.152355600, -0.463497600", \
"0.0076197000, 0.0061578000, 0.0019488000, -0.010198400, -0.046345500, -0.152799600, -0.463589300", \
"0.0089823000, 0.0074544000, 0.0029330000, -0.009858200, -0.046881000, -0.153635800, -0.464135200", \
"0.0133372000, 0.0115279000, 0.0066488000, -0.007016800, -0.045056400, -0.151575400, -0.464439800");
}
related_pin : "B1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0014471280, 0.0041883570, 0.0121221700, 0.0350846600, 0.1015440000, 0.2938942000");
values("0.0286357000, 0.0302416000, 0.0349134000, 0.0481555000, 0.0853579000, 0.1918005000, 0.4997312000", \
"0.0277371000, 0.0293301000, 0.0339826000, 0.0473716000, 0.0851219000, 0.1918103000, 0.4995425000", \
"0.0263707000, 0.0280376000, 0.0328773000, 0.0461488000, 0.0842712000, 0.1913577000, 0.4993692000", \
"0.0256030000, 0.0272195000, 0.0318664000, 0.0452329000, 0.0829353000, 0.1906211000, 0.4990588000", \
"0.0259316000, 0.0274899000, 0.0319098000, 0.0449138000, 0.0821702000, 0.1894037000, 0.4985164000", \
"0.0269195000, 0.0284220000, 0.0327692000, 0.0454918000, 0.0819551000, 0.1878909000, 0.4974165000", \
"0.0314679000, 0.0328687000, 0.0369521000, 0.0489235000, 0.0849531000, 0.1903373000, 0.4950249000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0014471280, 0.0041883570, 0.0121221700, 0.0350846600, 0.1015440000, 0.2938942000");
values("0.0131204000, 0.0117030000, 0.0074898000, -0.005056400, -0.042057800, -0.149630300, -0.461187300", \
"0.0125771000, 0.0112149000, 0.0071690000, -0.005139900, -0.041888900, -0.149302900, -0.460822900", \
"0.0116771000, 0.0103438000, 0.0063885000, -0.005615400, -0.041979000, -0.149140300, -0.460534700", \
"0.0106193000, 0.0092544000, 0.0052450000, -0.006628200, -0.042569300, -0.149298900, -0.460446000", \
"0.0106015000, 0.0091561000, 0.0046974000, -0.007697900, -0.043541100, -0.149831900, -0.460566800", \
"0.0108807000, 0.0093532000, 0.0049454000, -0.007693000, -0.044258700, -0.150816700, -0.461151000", \
"0.0145946000, 0.0129212000, 0.0081711000, -0.005213300, -0.042997600, -0.150556700, -0.461570000");
}
related_pin : "B2";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0014471280, 0.0041883570, 0.0121221700, 0.0350846600, 0.1015440000, 0.2938942000");
values("0.0431284000, 0.0446411000, 0.0492576000, 0.0621391000, 0.0992362000, 0.2059276000, 0.5134908000", \
"0.0422546000, 0.0438113000, 0.0483958000, 0.0615141000, 0.0988625000, 0.2055256000, 0.5132624000", \
"0.0415875000, 0.0429713000, 0.0476036000, 0.0607664000, 0.0983289000, 0.2052475000, 0.5132364000", \
"0.0408873000, 0.0425818000, 0.0468208000, 0.0599476000, 0.0975134000, 0.2048133000, 0.5130078000", \
"0.0405113000, 0.0420736000, 0.0466158000, 0.0595140000, 0.0968406000, 0.2042165000, 0.5125959000", \
"0.0439760000, 0.0454630000, 0.0478574000, 0.0606996000, 0.0973025000, 0.2038405000, 0.5123072000", \
"0.0479204000, 0.0492427000, 0.0530556000, 0.0653258000, 0.1012893000, 0.2072600000, 0.5150428000");
}
}
max_capacitance : 0.2938940000;
max_transition : 1.9330300000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000");
values("0.0417445000, 0.0435681000, 0.0485145000, 0.0619497000, 0.0985133000, 0.2003095000, 0.4901049000", \
"0.0458038000, 0.0475463000, 0.0524354000, 0.0660325000, 0.1025963000, 0.2043993000, 0.4942327000", \
"0.0554042000, 0.0571813000, 0.0622079000, 0.0758136000, 0.1123753000, 0.2143615000, 0.5041227000", \
"0.0770916000, 0.0792248000, 0.0849485000, 0.0993265000, 0.1358835000, 0.2372621000, 0.5274726000", \
"0.1041311000, 0.1072230000, 0.1156826000, 0.1368496000, 0.1862697000, 0.2923346000, 0.5813445000", \
"0.1277344000, 0.1324649000, 0.1453635000, 0.1775102000, 0.2529581000, 0.4045462000, 0.7077506000", \
"0.1215629000, 0.1286769000, 0.1480033000, 0.1989488000, 0.3141817000, 0.5482430000, 0.9758004000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000");
values("0.1164222000, 0.1210808000, 0.1345229000, 0.1723089000, 0.2790601000, 0.5843661000, 1.4653841000", \
"0.1209319000, 0.1255380000, 0.1388080000, 0.1774753000, 0.2847454000, 0.5904908000, 1.4716270000", \
"0.1326454000, 0.1375862000, 0.1509966000, 0.1898092000, 0.2977342000, 0.6043214000, 1.4864336000", \
"0.1623014000, 0.1668592000, 0.1806140000, 0.2189379000, 0.3271789000, 0.6349473000, 1.5178909000", \
"0.2251698000, 0.2303825000, 0.2444336000, 0.2823281000, 0.3895800000, 0.6978479000, 1.5811403000", \
"0.3346391000, 0.3413004000, 0.3599990000, 0.4094291000, 0.5310003000, 0.8397888000, 1.7239398000", \
"0.5172891000, 0.5269347000, 0.5561922000, 0.6296463000, 0.7973325000, 1.1625448000, 2.0547104000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000");
values("0.0340878000, 0.0361235000, 0.0422447000, 0.0592769000, 0.1086477000, 0.2511921000, 0.6649156000", \
"0.0339891000, 0.0361047000, 0.0421220000, 0.0593126000, 0.1084602000, 0.2512084000, 0.6650505000", \
"0.0337524000, 0.0358148000, 0.0417741000, 0.0588173000, 0.1084213000, 0.2511625000, 0.6650423000", \
"0.0430323000, 0.0451689000, 0.0508253000, 0.0651425000, 0.1099980000, 0.2509584000, 0.6655157000", \
"0.0634686000, 0.0662911000, 0.0739823000, 0.0930318000, 0.1371711000, 0.2590482000, 0.6650379000", \
"0.1025690000, 0.1067395000, 0.1178916000, 0.1449141000, 0.2048187000, 0.3308241000, 0.6837850000", \
"0.1706656000, 0.1772532000, 0.1956361000, 0.2374549000, 0.3231373000, 0.4923946000, 0.8448724000");
}
related_pin : "A1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000");
values("0.0779312000, 0.0839445000, 0.1010797000, 0.1512969000, 0.2956210000, 0.7134809000, 1.9131829000", \
"0.0779733000, 0.0839049000, 0.1011784000, 0.1511343000, 0.2954056000, 0.7137815000, 1.9184689000", \
"0.0779562000, 0.0839733000, 0.1012305000, 0.1509693000, 0.2955611000, 0.7106135000, 1.9199820000", \
"0.0780272000, 0.0839978000, 0.1013013000, 0.1510399000, 0.2955087000, 0.7138344000, 1.9173543000", \
"0.0873177000, 0.0924887000, 0.1082702000, 0.1552651000, 0.2962257000, 0.7142522000, 1.9131268000", \
"0.1226244000, 0.1287622000, 0.1455350000, 0.1923661000, 0.3182650000, 0.7143448000, 1.9134858000", \
"0.2027936000, 0.2099108000, 0.2309023000, 0.2855137000, 0.4225924000, 0.7759873000, 1.9221404000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000");
values("0.0474738000, 0.0491836000, 0.0541107000, 0.0676458000, 0.1042513000, 0.2059293000, 0.4956935000", \
"0.0517628000, 0.0534818000, 0.0583649000, 0.0719101000, 0.1085038000, 0.2102347000, 0.4997607000", \
"0.0602761000, 0.0620712000, 0.0669906000, 0.0805443000, 0.1171903000, 0.2190245000, 0.5088149000", \
"0.0778102000, 0.0797662000, 0.0851350000, 0.0994629000, 0.1365885000, 0.2387385000, 0.5286689000", \
"0.1045198000, 0.1068015000, 0.1139547000, 0.1328730000, 0.1771505000, 0.2833234000, 0.5745071000", \
"0.1312719000, 0.1352654000, 0.1462351000, 0.1740410000, 0.2381320000, 0.3732429000, 0.6790359000", \
"0.1339625000, 0.1401325000, 0.1569483000, 0.2007458000, 0.3010077000, 0.5036428000, 0.8899688000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000");
values("0.1403011000, 0.1449765000, 0.1580999000, 0.1948136000, 0.3006424000, 0.6038869000, 1.4792638000", \
"0.1448539000, 0.1488527000, 0.1630592000, 0.1999835000, 0.3061056000, 0.6093294000, 1.4862901000", \
"0.1579123000, 0.1623242000, 0.1756708000, 0.2126936000, 0.3194477000, 0.6229902000, 1.4983709000", \
"0.1864067000, 0.1915839000, 0.2049051000, 0.2424699000, 0.3491344000, 0.6530611000, 1.5273720000", \
"0.2475735000, 0.2526837000, 0.2657055000, 0.3028457000, 0.4097611000, 0.7138452000, 1.5882202000", \
"0.3585175000, 0.3649444000, 0.3819160000, 0.4265593000, 0.5437800000, 0.8484420000, 1.7242338000", \
"0.5465813000, 0.5558322000, 0.5802979000, 0.6451184000, 0.7994862000, 1.1551017000, 2.0362965000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000");
values("0.0340117000, 0.0361221000, 0.0421537000, 0.0593012000, 0.1083913000, 0.2512797000, 0.6646033000", \
"0.0339587000, 0.0360765000, 0.0421134000, 0.0592495000, 0.1085006000, 0.2511415000, 0.6641467000", \
"0.0338392000, 0.0359198000, 0.0419009000, 0.0590302000, 0.1084856000, 0.2510722000, 0.6653167000", \
"0.0391383000, 0.0411208000, 0.0467295000, 0.0623502000, 0.1095170000, 0.2512552000, 0.6651164000", \
"0.0552646000, 0.0573132000, 0.0636014000, 0.0805666000, 0.1257285000, 0.2559055000, 0.6643457000", \
"0.0894548000, 0.0926127000, 0.1008802000, 0.1225156000, 0.1734830000, 0.3007174000, 0.6756755000", \
"0.1529864000, 0.1575951000, 0.1698229000, 0.2010118000, 0.2692203000, 0.4199210000, 0.7748576000");
}
related_pin : "A2";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000");
values("0.0995492000, 0.1053329000, 0.1224722000, 0.1724776000, 0.3162268000, 0.7306545000, 1.9279787000", \
"0.0992519000, 0.1057550000, 0.1224851000, 0.1724560000, 0.3161712000, 0.7313938000, 1.9287429000", \
"0.0992181000, 0.1051971000, 0.1228983000, 0.1723709000, 0.3161570000, 0.7293468000, 1.9263071000", \
"0.0996254000, 0.1054479000, 0.1224923000, 0.1726829000, 0.3163019000, 0.7324892000, 1.9253423000", \
"0.1060969000, 0.1115181000, 0.1276325000, 0.1752453000, 0.3161072000, 0.7321900000, 1.9278825000", \
"0.1397020000, 0.1457288000, 0.1623346000, 0.2094295000, 0.3365938000, 0.7337920000, 1.9310664000", \
"0.2176954000, 0.2245951000, 0.2445315000, 0.2978962000, 0.4341381000, 0.7934992000, 1.9312560000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000");
values("0.0226582000, 0.0243244000, 0.0286888000, 0.0411025000, 0.0756606000, 0.1747282000, 0.4606694000", \
"0.0264655000, 0.0281009000, 0.0326891000, 0.0452622000, 0.0800505000, 0.1792922000, 0.4653158000", \
"0.0350289000, 0.0370553000, 0.0425992000, 0.0551419000, 0.0902112000, 0.1897405000, 0.4758414000", \
"0.0446733000, 0.0479641000, 0.0558396000, 0.0748424000, 0.1139526000, 0.2133936000, 0.4995565000", \
"0.0505388000, 0.0554023000, 0.0681669000, 0.0979096000, 0.1572587000, 0.2685965000, 0.5544695000", \
"0.0411346000, 0.0486037000, 0.0681659000, 0.1137236000, 0.2054904000, 0.3736105000, 0.6819510000", \
"-0.014818300, -0.003589400, 0.0262403000, 0.0971367000, 0.2386578000, 0.4962242000, 0.9470810000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000");
values("0.0818341000, 0.0867306000, 0.1004277000, 0.1386571000, 0.2455902000, 0.5505721000, 1.4286211000", \
"0.0846807000, 0.0894617000, 0.1032309000, 0.1417232000, 0.2495788000, 0.5553151000, 1.4350769000", \
"0.0937343000, 0.0986598000, 0.1127356000, 0.1513680000, 0.2598005000, 0.5661975000, 1.4453764000", \
"0.1221416000, 0.1268239000, 0.1401532000, 0.1785294000, 0.2859888000, 0.5936474000, 1.4735303000", \
"0.1870806000, 0.1928977000, 0.2079373000, 0.2469413000, 0.3513157000, 0.6607772000, 1.5411797000", \
"0.2931430000, 0.3021253000, 0.3253662000, 0.3841138000, 0.5130201000, 0.8160209000, 1.6963490000", \
"0.4714806000, 0.4841497000, 0.5203987000, 0.6081065000, 0.8046320000, 1.1918719000, 2.0601643000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000");
values("0.0271302000, 0.0291179000, 0.0348428000, 0.0514067000, 0.0990824000, 0.2364862000, 0.6343001000", \
"0.0270728000, 0.0290501000, 0.0348112000, 0.0513865000, 0.0989437000, 0.2361088000, 0.6341374000", \
"0.0319334000, 0.0334337000, 0.0378968000, 0.0524807000, 0.0990795000, 0.2361028000, 0.6343665000", \
"0.0467995000, 0.0484578000, 0.0534186000, 0.0659123000, 0.1044442000, 0.2362197000, 0.6341406000", \
"0.0759947000, 0.0779900000, 0.0836371000, 0.0993737000, 0.1385738000, 0.2490606000, 0.6337847000", \
"0.1286285000, 0.1314959000, 0.1395542000, 0.1611897000, 0.2128991000, 0.3278816000, 0.6554654000", \
"0.2214663000, 0.2251100000, 0.2379311000, 0.2688567000, 0.3448621000, 0.5052693000, 0.8229272000");
}
related_pin : "B1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000");
values("0.0761138000, 0.0821411000, 0.0993721000, 0.1496950000, 0.2937522000, 0.7106578000, 1.9181785000", \
"0.0759807000, 0.0820037000, 0.0994890000, 0.1494486000, 0.2938463000, 0.7099293000, 1.9193587000", \
"0.0755900000, 0.0817584000, 0.0991945000, 0.1492965000, 0.2936813000, 0.7096602000, 1.9119042000", \
"0.0766757000, 0.0821098000, 0.0984037000, 0.1488819000, 0.2935907000, 0.7105493000, 1.9130819000", \
"0.1027698000, 0.1077609000, 0.1204742000, 0.1609890000, 0.2943774000, 0.7121881000, 1.9127455000", \
"0.1516066000, 0.1588885000, 0.1785447000, 0.2270490000, 0.3396299000, 0.7117802000, 1.9121646000", \
"0.2340882000, 0.2450353000, 0.2752829000, 0.3475464000, 0.4984683000, 0.8203409000, 1.9191428000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000");
values("0.0286168000, 0.0302352000, 0.0346828000, 0.0470228000, 0.0814442000, 0.1805408000, 0.4665177000", \
"0.0327393000, 0.0343488000, 0.0388934000, 0.0514307000, 0.0861342000, 0.1853438000, 0.4713586000", \
"0.0404568000, 0.0422719000, 0.0472108000, 0.0601012000, 0.0950977000, 0.1944753000, 0.4806038000", \
"0.0510488000, 0.0535689000, 0.0601617000, 0.0767240000, 0.1144013000, 0.2144196000, 0.5010608000", \
"0.0608858000, 0.0648255000, 0.0754091000, 0.0992794000, 0.1505566000, 0.2594478000, 0.5467063000", \
"0.0578355000, 0.0642254000, 0.0806415000, 0.1190568000, 0.1975495000, 0.3450590000, 0.6504912000", \
"0.0107234000, 0.0209699000, 0.0476450000, 0.1098294000, 0.2351581000, 0.4604083000, 0.8599036000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000");
values("0.1082230000, 0.1128985000, 0.1260021000, 0.1629210000, 0.2691893000, 0.5723120000, 1.4489692000", \
"0.1111734000, 0.1157078000, 0.1291604000, 0.1663824000, 0.2728969000, 0.5763287000, 1.4512963000", \
"0.1213297000, 0.1257096000, 0.1390407000, 0.1768964000, 0.2839203000, 0.5877378000, 1.4631052000", \
"0.1481713000, 0.1531951000, 0.1650106000, 0.2029967000, 0.3101978000, 0.6147316000, 1.4891648000", \
"0.2159227000, 0.2207934000, 0.2340453000, 0.2708777000, 0.3760712000, 0.6806518000, 1.5560234000", \
"0.3354750000, 0.3426383000, 0.3623801000, 0.4140233000, 0.5349186000, 0.8361730000, 1.7102157000", \
"0.5304325000, 0.5410480000, 0.5707648000, 0.6471319000, 0.8287259000, 1.1998541000, 2.0650193000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000");
values("0.0271085000, 0.0290808000, 0.0348123000, 0.0513670000, 0.0989957000, 0.2360353000, 0.6343288000", \
"0.0271474000, 0.0291171000, 0.0348236000, 0.0513541000, 0.0990049000, 0.2361856000, 0.6340432000", \
"0.0292271000, 0.0309813000, 0.0361743000, 0.0518462000, 0.0990294000, 0.2363313000, 0.6337351000", \
"0.0392879000, 0.0408697000, 0.0455711000, 0.0592449000, 0.1019316000, 0.2360102000, 0.6335341000", \
"0.0617096000, 0.0635309000, 0.0685524000, 0.0827801000, 0.1229871000, 0.2438975000, 0.6335307000", \
"0.1064480000, 0.1087860000, 0.1150951000, 0.1329393000, 0.1779336000, 0.2949763000, 0.6493002000", \
"0.1924056000, 0.1948004000, 0.2029130000, 0.2260323000, 0.2856071000, 0.4205707000, 0.7542081000");
}
related_pin : "B2";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000");
values("0.0993889000, 0.1051368000, 0.1229124000, 0.1723519000, 0.3160406000, 0.7294441000, 1.9306530000", \
"0.0990583000, 0.1051396000, 0.1224240000, 0.1724492000, 0.3161502000, 0.7304130000, 1.9322841000", \
"0.0992892000, 0.1050649000, 0.1226380000, 0.1723956000, 0.3163194000, 0.7298038000, 1.9323505000", \
"0.0983218000, 0.1043340000, 0.1215959000, 0.1721562000, 0.3169159000, 0.7295715000, 1.9249191000", \
"0.1174946000, 0.1222487000, 0.1366366000, 0.1801790000, 0.3164289000, 0.7307575000, 1.9295123000", \
"0.1700676000, 0.1770157000, 0.1965383000, 0.2435186000, 0.3573708000, 0.7333417000, 1.9313051000", \
"0.2592366000, 0.2695661000, 0.2966724000, 0.3631665000, 0.5132976000, 0.8368187000, 1.9330302000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
}
}
/* removed sky130_fd_sc_hd__a2bb2o_1 */
/* removed sky130_fd_sc_hd__a2bb2o_2 */
cell ("sky130_fd_sc_hd__a2bb2o_4") {
leakage_power () {
value : 0.0076036000;
when : "!A1_N&!A2_N&!B1&B2";
}
leakage_power () {
value : 0.0076022000;
when : "!A1_N&!A2_N&!B1&!B2";
}
leakage_power () {
value : 0.0047386000;
when : "!A1_N&!A2_N&B1&B2";
}
leakage_power () {
value : 0.0076036000;
when : "!A1_N&!A2_N&B1&!B2";
}
leakage_power () {
value : 0.0074979000;
when : "!A1_N&A2_N&!B1&B2";
}
leakage_power () {
value : 0.0068503000;
when : "!A1_N&A2_N&!B1&!B2";
}
leakage_power () {
value : 0.0063325000;
when : "!A1_N&A2_N&B1&B2";
}
leakage_power () {
value : 0.0078283000;
when : "!A1_N&A2_N&B1&!B2";
}
leakage_power () {
value : 0.0077883000;
when : "A1_N&!A2_N&!B1&B2";
}
leakage_power () {
value : 0.0071407000;
when : "A1_N&!A2_N&!B1&!B2";
}
leakage_power () {
value : 0.0066229000;
when : "A1_N&!A2_N&B1&B2";
}
leakage_power () {
value : 0.0081189000;
when : "A1_N&!A2_N&B1&!B2";
}
leakage_power () {
value : 0.0062568000;
when : "A1_N&A2_N&!B1&B2";
}
leakage_power () {
value : 0.0056092000;
when : "A1_N&A2_N&!B1&!B2";
}
leakage_power () {
value : 0.0050914000;
when : "A1_N&A2_N&B1&B2";
}
leakage_power () {
value : 0.0065873000;
when : "A1_N&A2_N&B1&!B2";
}
area : 20.019200000;
cell_footprint : "sky130_fd_sc_hd__a2bb2o";
cell_leakage_power : 0.0068295290;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A1_N") {
capacitance : 0.0049000000;
clock : "false";
direction : "input";
fall_capacitance : 0.0046580000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0278574000, 0.0276228000, 0.0270821000, 0.0271445000, 0.0272884000, 0.0276201000, 0.0283845000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0053596000, 0.0051463000, 0.0046544000, 0.0048403000, 0.0052688000, 0.0062565000, 0.0085333000");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0051410000;
}
pin ("A2_N") {
capacitance : 0.0044270000;
clock : "false";
direction : "input";
fall_capacitance : 0.0041430000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0230574000, 0.0227685000, 0.0221024000, 0.0223078000, 0.0227814000, 0.0238730000, 0.0263892000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0020278000, 0.0018581000, 0.0014670000, 0.0016478000, 0.0020646000, 0.0030254000, 0.0052399000");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0047100000;
}
pin ("B1") {
capacitance : 0.0047680000;
clock : "false";
direction : "input";
fall_capacitance : 0.0045350000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0082890000, 0.0082899000, 0.0082921000, 0.0082953000, 0.0083028000, 0.0083200000, 0.0083597000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.008313200, -0.008301800, -0.008275700, -0.008277400, -0.008281400, -0.008290600, -0.008311800");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0050020000;
}
pin ("B2") {
capacitance : 0.0043600000;
clock : "false";
direction : "input";
fall_capacitance : 0.0041820000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0075709000, 0.0075693000, 0.0075654000, 0.0075631000, 0.0075579000, 0.0075459000, 0.0075181000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.007572500, -0.007564000, -0.007544600, -0.007541500, -0.007534600, -0.007518600, -0.007481900");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0045390000;
}
pin ("X") {
direction : "output";
function : "(B1&B2) | (!A1_N&!A2_N)";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015825240, 0.0050087660, 0.0158529900, 0.0501754700, 0.1588078000, 0.5026343000");
values("0.0323165000, 0.0305573000, 0.0254494000, 0.0107493000, -0.040389500, -0.213909800, -0.770061800", \
"0.0321204000, 0.0306888000, 0.0254917000, 0.0108620000, -0.040288900, -0.214075000, -0.770238300", \
"0.0322610000, 0.0305085000, 0.0254340000, 0.0107101000, -0.040388100, -0.213945000, -0.770213700", \
"0.0314792000, 0.0298244000, 0.0246320000, 0.0099746000, -0.041052500, -0.214791600, -0.770956700", \
"0.0309119000, 0.0292581000, 0.0241147000, 0.0093729000, -0.041694000, -0.215461100, -0.771551100", \
"0.0366395000, 0.0349030000, 0.0295632000, 0.0127515000, -0.041983000, -0.215972800, -0.772086800", \
"0.0378807000, 0.0361480000, 0.0309127000, 0.0139949000, -0.040290300, -0.215284500, -0.771912200");
}
related_pin : "A1_N";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015825240, 0.0050087660, 0.0158529900, 0.0501754700, 0.1588078000, 0.5026343000");
values("0.0302697000, 0.0322524000, 0.0384436000, 0.0570356000, 0.1132173000, 0.2879976000, 0.8402169000", \
"0.0300439000, 0.0320358000, 0.0382543000, 0.0568404000, 0.1129866000, 0.2876188000, 0.8357736000", \
"0.0301627000, 0.0321360000, 0.0383539000, 0.0569477000, 0.1130572000, 0.2876874000, 0.8359631000", \
"0.0297729000, 0.0317448000, 0.0379566000, 0.0565822000, 0.1126505000, 0.2872521000, 0.8357990000", \
"0.0291298000, 0.0310985000, 0.0373014000, 0.0558740000, 0.1119945000, 0.2866992000, 0.8350848000", \
"0.0281262000, 0.0299588000, 0.0356076000, 0.0549394000, 0.1109248000, 0.2856672000, 0.8340111000", \
"0.0293831000, 0.0312189000, 0.0370126000, 0.0551023000, 0.1113418000, 0.2868924000, 0.8360326000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015825240, 0.0050087660, 0.0158529900, 0.0501754700, 0.1588078000, 0.5026343000");
values("0.0329228000, 0.0311826000, 0.0260438000, 0.0114195000, -0.039646600, -0.213383200, -0.769864800", \
"0.0330128000, 0.0313357000, 0.0262189000, 0.0115946000, -0.039561900, -0.213461800, -0.769781600", \
"0.0328731000, 0.0310996000, 0.0261315000, 0.0113556000, -0.039655400, -0.213413100, -0.769925300", \
"0.0320358000, 0.0302668000, 0.0251452000, 0.0105192000, -0.040631400, -0.214228000, -0.770647100", \
"0.0311774000, 0.0295234000, 0.0243369000, 0.0097811000, -0.041346100, -0.215129400, -0.771397000", \
"0.0370681000, 0.0353011000, 0.0299705000, 0.0130435000, -0.041493700, -0.215720300, -0.772033300", \
"0.0383221000, 0.0366337000, 0.0314170000, 0.0144458000, -0.040084000, -0.214698200, -0.771550400");
}
related_pin : "A2_N";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015825240, 0.0050087660, 0.0158529900, 0.0501754700, 0.1588078000, 0.5026343000");
values("0.0296997000, 0.0316812000, 0.0378923000, 0.0564860000, 0.1125915000, 0.2872007000, 0.8351577000", \
"0.0294611000, 0.0314483000, 0.0376442000, 0.0562531000, 0.1124456000, 0.2870244000, 0.8391289000", \
"0.0295965000, 0.0315860000, 0.0377944000, 0.0564027000, 0.1125696000, 0.2872508000, 0.8355389000", \
"0.0290149000, 0.0309834000, 0.0371948000, 0.0557828000, 0.1119185000, 0.2865432000, 0.8346786000", \
"0.0282360000, 0.0302220000, 0.0364318000, 0.0550049000, 0.1110828000, 0.2857607000, 0.8338320000", \
"0.0276289000, 0.0294400000, 0.0351152000, 0.0542422000, 0.1103715000, 0.2848539000, 0.8342153000", \
"0.0291957000, 0.0311059000, 0.0367890000, 0.0548255000, 0.1107809000, 0.2859858000, 0.8354000000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015825240, 0.0050087660, 0.0158529900, 0.0501754700, 0.1588078000, 0.5026343000");
values("0.0351194000, 0.0333439000, 0.0283012000, 0.0135748000, -0.037599700, -0.211312100, -0.767726900", \
"0.0349394000, 0.0332931000, 0.0280223000, 0.0133685000, -0.037760800, -0.211604500, -0.768227100", \
"0.0346374000, 0.0328901000, 0.0277233000, 0.0130711000, -0.038061400, -0.211902600, -0.768478300", \
"0.0343079000, 0.0326521000, 0.0275306000, 0.0127341000, -0.038341200, -0.212226800, -0.768709600", \
"0.0340671000, 0.0323479000, 0.0271497000, 0.0123674000, -0.038891900, -0.212764300, -0.769032500", \
"0.0331015000, 0.0313257000, 0.0259739000, 0.0114010000, -0.039502000, -0.212877300, -0.769138100", \
"0.0414386000, 0.0394852000, 0.0336185000, 0.0160219000, -0.038933000, -0.213276200, -0.768919800");
}
related_pin : "B1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015825240, 0.0050087660, 0.0158529900, 0.0501754700, 0.1588078000, 0.5026343000");
values("0.0345718000, 0.0364822000, 0.0425960000, 0.0610973000, 0.1176301000, 0.2928181000, 0.8442256000", \
"0.0342924000, 0.0362255000, 0.0422004000, 0.0608859000, 0.1174591000, 0.2927641000, 0.8435968000", \
"0.0339279000, 0.0358574000, 0.0419247000, 0.0605120000, 0.1170625000, 0.2921882000, 0.8436940000", \
"0.0336661000, 0.0355668000, 0.0414538000, 0.0599095000, 0.1164864000, 0.2917010000, 0.8431427000", \
"0.0333716000, 0.0353475000, 0.0412681000, 0.0594459000, 0.1156060000, 0.2911183000, 0.8420561000", \
"0.0344605000, 0.0362440000, 0.0419673000, 0.0594305000, 0.1150235000, 0.2896430000, 0.8421426000", \
"0.0364945000, 0.0381598000, 0.0437974000, 0.0614359000, 0.1168876000, 0.2918859000, 0.8409365000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015825240, 0.0050087660, 0.0158529900, 0.0501754700, 0.1588078000, 0.5026343000");
values("0.0298508000, 0.0282418000, 0.0231228000, 0.0085247000, -0.042607500, -0.216574600, -0.773317600", \
"0.0294205000, 0.0279571000, 0.0227780000, 0.0083196000, -0.042854400, -0.216978200, -0.773584800", \
"0.0291404000, 0.0275188000, 0.0224035000, 0.0078045000, -0.043328500, -0.217411500, -0.774013200", \
"0.0286944000, 0.0270479000, 0.0219157000, 0.0072164000, -0.043925100, -0.217875100, -0.774458300", \
"0.0284434000, 0.0267870000, 0.0216217000, 0.0067385000, -0.044476200, -0.218331200, -0.774921600", \
"0.0286302000, 0.0267110000, 0.0214447000, 0.0057924000, -0.044888100, -0.218556100, -0.774927000", \
"0.0358400000, 0.0338557000, 0.0280113000, 0.0104445000, -0.044370100, -0.218827300, -0.774650000");
}
related_pin : "B2";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015825240, 0.0050087660, 0.0158529900, 0.0501754700, 0.1588078000, 0.5026343000");
values("0.0339403000, 0.0358929000, 0.0419667000, 0.0604432000, 0.1170041000, 0.2919003000, 0.8439185000", \
"0.0336213000, 0.0355477000, 0.0416143000, 0.0602418000, 0.1168625000, 0.2921273000, 0.8427336000", \
"0.0333217000, 0.0352559000, 0.0413260000, 0.0599084000, 0.1164473000, 0.2916929000, 0.8433081000", \
"0.0331914000, 0.0350902000, 0.0409995000, 0.0593003000, 0.1157805000, 0.2910394000, 0.8426510000", \
"0.0330275000, 0.0348660000, 0.0408315000, 0.0586907000, 0.1150819000, 0.2905472000, 0.8413806000", \
"0.0345343000, 0.0363097000, 0.0418899000, 0.0596473000, 0.1144322000, 0.2894923000, 0.8414125000", \
"0.0370730000, 0.0387354000, 0.0442726000, 0.0615688000, 0.1172539000, 0.2918811000, 0.8409611000");
}
}
max_capacitance : 0.5026340000;
max_transition : 1.5045660000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015825200, 0.0050087700, 0.0158530000, 0.0501755000, 0.1588080000, 0.5026340000");
values("0.1812610000, 0.1852033000, 0.1952684000, 0.2175679000, 0.2638536000, 0.3705279000, 0.6698899000", \
"0.1858589000, 0.1897823000, 0.1998833000, 0.2222378000, 0.2686923000, 0.3753406000, 0.6750271000", \
"0.1962532000, 0.2001951000, 0.2102637000, 0.2325911000, 0.2788858000, 0.3855981000, 0.6848581000", \
"0.2139762000, 0.2179139000, 0.2279842000, 0.2501680000, 0.2967764000, 0.4034162000, 0.7032850000", \
"0.2347944000, 0.2386233000, 0.2485787000, 0.2708004000, 0.3172033000, 0.4242022000, 0.7234984000", \
"0.2528099000, 0.2567236000, 0.2667510000, 0.2890031000, 0.3355522000, 0.4421618000, 0.7417389000", \
"0.2441395000, 0.2480549000, 0.2581288000, 0.2803808000, 0.3269595000, 0.4338373000, 0.7336718000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015825200, 0.0050087700, 0.0158530000, 0.0501755000, 0.1588080000, 0.5026340000");
values("0.1824226000, 0.1860255000, 0.1956822000, 0.2213225000, 0.2947436000, 0.5205480000, 1.2368203000", \
"0.1867734000, 0.1903485000, 0.2000419000, 0.2256768000, 0.2991186000, 0.5247163000, 1.2371056000", \
"0.1990939000, 0.2026934000, 0.2123735000, 0.2380258000, 0.3115148000, 0.5372138000, 1.2494737000", \
"0.2264985000, 0.2300219000, 0.2397595000, 0.2653641000, 0.3388854000, 0.5646577000, 1.2771347000", \
"0.2841544000, 0.2877657000, 0.2974600000, 0.3231024000, 0.3965533000, 0.6222400000, 1.3348850000", \
"0.3865151000, 0.3901513000, 0.4000870000, 0.4259103000, 0.4993993000, 0.7254375000, 1.4385320000", \
"0.5620023000, 0.5660107000, 0.5763886000, 0.6025792000, 0.6765027000, 0.9025882000, 1.6156780000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015825200, 0.0050087700, 0.0158530000, 0.0501755000, 0.1588080000, 0.5026340000");
values("0.0272758000, 0.0296727000, 0.0360078000, 0.0508259000, 0.0910198000, 0.2086603000, 0.6017411000", \
"0.0275356000, 0.0295664000, 0.0357445000, 0.0510662000, 0.0910329000, 0.2084289000, 0.6008078000", \
"0.0272592000, 0.0296384000, 0.0358992000, 0.0509376000, 0.0910643000, 0.2086215000, 0.5988084000", \
"0.0272169000, 0.0295094000, 0.0356818000, 0.0516760000, 0.0910885000, 0.2086468000, 0.6010752000", \
"0.0271890000, 0.0295709000, 0.0360172000, 0.0508740000, 0.0911764000, 0.2086268000, 0.5982201000", \
"0.0272823000, 0.0295988000, 0.0357263000, 0.0508421000, 0.0908837000, 0.2076126000, 0.6015757000", \
"0.0274010000, 0.0296989000, 0.0363008000, 0.0513626000, 0.0910893000, 0.2087313000, 0.6007771000");
}
related_pin : "A1_N";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015825200, 0.0050087700, 0.0158530000, 0.0501755000, 0.1588080000, 0.5026340000");
values("0.0202336000, 0.0232279000, 0.0324526000, 0.0624682000, 0.1616113000, 0.4827844000, 1.5032696000", \
"0.0202150000, 0.0231697000, 0.0324161000, 0.0624597000, 0.1617578000, 0.4827989000, 1.5000770000", \
"0.0202620000, 0.0232406000, 0.0324581000, 0.0623352000, 0.1619234000, 0.4826979000, 1.5004742000", \
"0.0202755000, 0.0232055000, 0.0324603000, 0.0623458000, 0.1620417000, 0.4825131000, 1.5020135000", \
"0.0204634000, 0.0234344000, 0.0326253000, 0.0624816000, 0.1620069000, 0.4828038000, 1.5017680000", \
"0.0215738000, 0.0244295000, 0.0335427000, 0.0630982000, 0.1619973000, 0.4824850000, 1.4991157000", \
"0.0240575000, 0.0268462000, 0.0355111000, 0.0642467000, 0.1631057000, 0.4822050000, 1.4986121000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015825200, 0.0050087700, 0.0158530000, 0.0501755000, 0.1588080000, 0.5026340000");
values("0.1822825000, 0.1862167000, 0.1962834000, 0.2185939000, 0.2652104000, 0.3717345000, 0.6713780000", \
"0.1868372000, 0.1907816000, 0.2008805000, 0.2232203000, 0.2697154000, 0.3765788000, 0.6766055000", \
"0.1964574000, 0.2003889000, 0.2104753000, 0.2327846000, 0.2792445000, 0.3859301000, 0.6856138000", \
"0.2113896000, 0.2153215000, 0.2253858000, 0.2477037000, 0.2941588000, 0.4010264000, 0.7011700000", \
"0.2279148000, 0.2317978000, 0.2418286000, 0.2641193000, 0.3105803000, 0.4174112000, 0.7172130000", \
"0.2369783000, 0.2408986000, 0.2509851000, 0.2732104000, 0.3196493000, 0.4268016000, 0.7269091000", \
"0.2160743000, 0.2200039000, 0.2300966000, 0.2524422000, 0.2987782000, 0.4059462000, 0.7062007000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015825200, 0.0050087700, 0.0158530000, 0.0501755000, 0.1588080000, 0.5026340000");
values("0.1655555000, 0.1691459000, 0.1788201000, 0.2044676000, 0.2779781000, 0.5038446000, 1.2159201000", \
"0.1684302000, 0.1719607000, 0.1816799000, 0.2072486000, 0.2805023000, 0.5072162000, 1.2218718000", \
"0.1786103000, 0.1821955000, 0.1918917000, 0.2175345000, 0.2909892000, 0.5165509000, 1.2292439000", \
"0.2075203000, 0.2111209000, 0.2207970000, 0.2464456000, 0.3199261000, 0.5455973000, 1.2581258000", \
"0.2728460000, 0.2764479000, 0.2861684000, 0.3118189000, 0.3852970000, 0.6110517000, 1.3235582000", \
"0.3851472000, 0.3888103000, 0.3986720000, 0.4244023000, 0.4980326000, 0.7241714000, 1.4364657000", \
"0.5752232000, 0.5792071000, 0.5896179000, 0.6158729000, 0.6895162000, 0.9157875000, 1.6282844000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015825200, 0.0050087700, 0.0158530000, 0.0501755000, 0.1588080000, 0.5026340000");
values("0.0272993000, 0.0296853000, 0.0358498000, 0.0509247000, 0.0904921000, 0.2088181000, 0.6016163000", \
"0.0271602000, 0.0295058000, 0.0356824000, 0.0509440000, 0.0909749000, 0.2085710000, 0.6012919000", \
"0.0272910000, 0.0296682000, 0.0359575000, 0.0510633000, 0.0909354000, 0.2088417000, 0.6013569000", \
"0.0272873000, 0.0296464000, 0.0358130000, 0.0509477000, 0.0910013000, 0.2088441000, 0.6007365000", \
"0.0273778000, 0.0296528000, 0.0356064000, 0.0512050000, 0.0911795000, 0.2087047000, 0.5999983000", \
"0.0276008000, 0.0297946000, 0.0359221000, 0.0514218000, 0.0911216000, 0.2089825000, 0.6018152000", \
"0.0277500000, 0.0301583000, 0.0360224000, 0.0514395000, 0.0912500000, 0.2091380000, 0.6015667000");
}
related_pin : "A2_N";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015825200, 0.0050087700, 0.0158530000, 0.0501755000, 0.1588080000, 0.5026340000");
values("0.0202436000, 0.0232260000, 0.0324498000, 0.0623287000, 0.1620334000, 0.4824611000, 1.4944298000", \
"0.0201850000, 0.0231806000, 0.0324696000, 0.0622199000, 0.1619947000, 0.4824139000, 1.5022836000", \
"0.0202346000, 0.0231790000, 0.0324096000, 0.0624588000, 0.1617336000, 0.4828315000, 1.5016131000", \
"0.0202398000, 0.0232302000, 0.0324152000, 0.0624434000, 0.1618740000, 0.4827348000, 1.4998565000", \
"0.0205044000, 0.0234190000, 0.0326399000, 0.0624228000, 0.1619002000, 0.4827233000, 1.4993775000", \
"0.0216136000, 0.0245511000, 0.0335465000, 0.0629855000, 0.1626201000, 0.4812334000, 1.5018957000", \
"0.0245481000, 0.0274302000, 0.0359098000, 0.0643876000, 0.1628658000, 0.4824696000, 1.4966000000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015825200, 0.0050087700, 0.0158530000, 0.0501755000, 0.1588080000, 0.5026340000");
values("0.1832677000, 0.1871899000, 0.1972930000, 0.2195867000, 0.2657564000, 0.3723799000, 0.6715818000", \
"0.1884592000, 0.1923844000, 0.2024134000, 0.2247268000, 0.2713312000, 0.3777033000, 0.6768715000", \
"0.2012875000, 0.2051929000, 0.2152417000, 0.2375440000, 0.2841492000, 0.3905490000, 0.6896697000", \
"0.2294062000, 0.2333433000, 0.2433886000, 0.2654468000, 0.3119119000, 0.4186300000, 0.7179067000", \
"0.2899330000, 0.2938747000, 0.3039225000, 0.3262085000, 0.3727103000, 0.4795057000, 0.7791087000", \
"0.4078901000, 0.4121949000, 0.4233002000, 0.4475785000, 0.4968193000, 0.6057806000, 0.9058223000", \
"0.6075947000, 0.6129514000, 0.6263973000, 0.6557050000, 0.7122333000, 0.8294899000, 1.1332602000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015825200, 0.0050087700, 0.0158530000, 0.0501755000, 0.1588080000, 0.5026340000");
values("0.0874570000, 0.0912668000, 0.1016947000, 0.1285348000, 0.2031202000, 0.4307015000, 1.1446318000", \
"0.0916983000, 0.0955198000, 0.1058678000, 0.1328092000, 0.2074045000, 0.4346563000, 1.1491892000", \
"0.1008996000, 0.1047587000, 0.1151176000, 0.1420274000, 0.2166610000, 0.4441100000, 1.1582209000", \
"0.1216649000, 0.1254562000, 0.1357051000, 0.1624611000, 0.2368858000, 0.4644932000, 1.1809712000", \
"0.1575424000, 0.1615903000, 0.1723703000, 0.1998444000, 0.2744607000, 0.5027982000, 1.2157336000", \
"0.2028766000, 0.2076627000, 0.2199482000, 0.2488787000, 0.3240270000, 0.5515226000, 1.2681981000", \
"0.2372363000, 0.2435110000, 0.2592370000, 0.2933702000, 0.3713470000, 0.5992233000, 1.3124750000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015825200, 0.0050087700, 0.0158530000, 0.0501755000, 0.1588080000, 0.5026340000");
values("0.0272731000, 0.0296895000, 0.0357828000, 0.0507589000, 0.0911377000, 0.2086786000, 0.6013721000", \
"0.0272286000, 0.0295815000, 0.0358464000, 0.0510711000, 0.0905280000, 0.2086257000, 0.6005728000", \
"0.0273304000, 0.0297067000, 0.0358527000, 0.0510310000, 0.0904901000, 0.2086234000, 0.6006809000", \
"0.0272012000, 0.0295235000, 0.0356983000, 0.0513976000, 0.0911446000, 0.2087204000, 0.5988943000", \
"0.0275334000, 0.0296876000, 0.0357807000, 0.0510464000, 0.0906990000, 0.2086315000, 0.6002530000", \
"0.0327861000, 0.0355581000, 0.0415285000, 0.0574785000, 0.0961118000, 0.2120244000, 0.6009643000", \
"0.0447861000, 0.0476984000, 0.0550899000, 0.0715436000, 0.1110040000, 0.2233819000, 0.6017117000");
}
related_pin : "B1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015825200, 0.0050087700, 0.0158530000, 0.0501755000, 0.1588080000, 0.5026340000");
values("0.0209540000, 0.0242483000, 0.0341076000, 0.0646292000, 0.1639472000, 0.4862745000, 1.5041085000", \
"0.0208504000, 0.0242109000, 0.0341468000, 0.0645977000, 0.1639251000, 0.4859760000, 1.5042531000", \
"0.0210096000, 0.0242758000, 0.0341581000, 0.0645560000, 0.1639896000, 0.4858194000, 1.5044034000", \
"0.0210204000, 0.0243200000, 0.0343614000, 0.0647621000, 0.1641279000, 0.4854330000, 1.5042104000", \
"0.0235496000, 0.0268917000, 0.0366359000, 0.0662944000, 0.1642557000, 0.4859042000, 1.5018760000", \
"0.0295181000, 0.0327747000, 0.0423258000, 0.0708572000, 0.1661045000, 0.4838154000, 1.5042033000", \
"0.0406088000, 0.0446235000, 0.0547955000, 0.0819446000, 0.1702493000, 0.4874301000, 1.5001632000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015825200, 0.0050087700, 0.0158530000, 0.0501755000, 0.1588080000, 0.5026340000");
values("0.1702127000, 0.1741091000, 0.1840822000, 0.2065363000, 0.2533614000, 0.3612158000, 0.6607177000", \
"0.1750437000, 0.1788742000, 0.1888635000, 0.2113796000, 0.2583751000, 0.3660531000, 0.6657034000", \
"0.1872954000, 0.1911535000, 0.2010708000, 0.2235583000, 0.2705599000, 0.3781002000, 0.6779639000", \
"0.2150837000, 0.2189451000, 0.2289053000, 0.2512846000, 0.2981699000, 0.4060560000, 0.7057155000", \
"0.2755499000, 0.2793739000, 0.2893368000, 0.3116957000, 0.3586855000, 0.4665607000, 0.7663435000", \
"0.3909991000, 0.3953231000, 0.4063924000, 0.4309555000, 0.4812717000, 0.5917445000, 0.8927923000", \
"0.5894414000, 0.5946347000, 0.6080097000, 0.6374939000, 0.6955500000, 0.8153180000, 1.1193858000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015825200, 0.0050087700, 0.0158530000, 0.0501755000, 0.1588080000, 0.5026340000");
values("0.0822472000, 0.0860652000, 0.0964554000, 0.1233319000, 0.1979896000, 0.4249381000, 1.1394222000", \
"0.0862175000, 0.0900196000, 0.1003956000, 0.1272997000, 0.2018228000, 0.4300120000, 1.1425511000", \
"0.0962197000, 0.1000743000, 0.1104338000, 0.1373281000, 0.2119496000, 0.4394332000, 1.1537176000", \
"0.1195205000, 0.1232833000, 0.1335707000, 0.1601844000, 0.2346224000, 0.4620599000, 1.1789925000", \
"0.1555624000, 0.1595543000, 0.1703106000, 0.1974903000, 0.2719112000, 0.5006506000, 1.2134276000", \
"0.1960956000, 0.2009849000, 0.2131793000, 0.2417964000, 0.3161753000, 0.5438435000, 1.2580605000", \
"0.2173330000, 0.2237495000, 0.2398292000, 0.2739040000, 0.3508231000, 0.5774936000, 1.2917118000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015825200, 0.0050087700, 0.0158530000, 0.0501755000, 0.1588080000, 0.5026340000");
values("0.0266137000, 0.0289259000, 0.0352627000, 0.0514061000, 0.0918973000, 0.2096584000, 0.6014580000", \
"0.0264699000, 0.0288846000, 0.0352264000, 0.0511827000, 0.0920069000, 0.2097849000, 0.5986128000", \
"0.0267024000, 0.0288242000, 0.0354694000, 0.0511405000, 0.0920107000, 0.2100717000, 0.5999802000", \
"0.0266235000, 0.0289143000, 0.0353763000, 0.0511613000, 0.0920282000, 0.2101115000, 0.6011577000", \
"0.0267299000, 0.0291147000, 0.0355821000, 0.0510656000, 0.0919617000, 0.2099276000, 0.6002916000", \
"0.0324466000, 0.0349604000, 0.0422635000, 0.0583436000, 0.0976720000, 0.2138224000, 0.6013415000", \
"0.0449421000, 0.0478249000, 0.0556585000, 0.0728937000, 0.1143831000, 0.2270420000, 0.6038857000");
}
related_pin : "B2";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015825200, 0.0050087700, 0.0158530000, 0.0501755000, 0.1588080000, 0.5026340000");
values("0.0208685000, 0.0242575000, 0.0341108000, 0.0645947000, 0.1641248000, 0.4846235000, 1.5045658000", \
"0.0209097000, 0.0243180000, 0.0341864000, 0.0646124000, 0.1640981000, 0.4856112000, 1.5013609000", \
"0.0209883000, 0.0242255000, 0.0341365000, 0.0645834000, 0.1638339000, 0.4858472000, 1.5044791000", \
"0.0210857000, 0.0243931000, 0.0344188000, 0.0647935000, 0.1638821000, 0.4852158000, 1.5023398000", \
"0.0239007000, 0.0271725000, 0.0367082000, 0.0666904000, 0.1645811000, 0.4855289000, 1.5005689000", \
"0.0311271000, 0.0342270000, 0.0432145000, 0.0709573000, 0.1664819000, 0.4845334000, 1.5018551000", \
"0.0435989000, 0.0474942000, 0.0573894000, 0.0833269000, 0.1704882000, 0.4876212000, 1.5008146000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
/* removed sky130_fd_sc_hd__a2bb2oi_1 */
/* removed sky130_fd_sc_hd__a2bb2oi_2 */
cell ("sky130_fd_sc_hd__a2bb2oi_4") {
leakage_power () {
value : 0.0083212000;
when : "!A1_N&!A2_N&!B1&B2";
}
leakage_power () {
value : 0.0083169000;
when : "!A1_N&!A2_N&!B1&!B2";
}
leakage_power () {
value : 0.0048861000;
when : "!A1_N&!A2_N&B1&B2";
}
leakage_power () {
value : 0.0083212000;
when : "!A1_N&!A2_N&B1&!B2";
}
leakage_power () {
value : 0.0080573000;
when : "!A1_N&A2_N&!B1&B2";
}
leakage_power () {
value : 0.0108887000;
when : "!A1_N&A2_N&!B1&!B2";
}
leakage_power () {
value : 0.0074817000;
when : "!A1_N&A2_N&B1&B2";
}
leakage_power () {
value : 0.0088884000;
when : "!A1_N&A2_N&B1&!B2";
}
leakage_power () {
value : 0.0068163000;
when : "A1_N&!A2_N&!B1&B2";
}
leakage_power () {
value : 0.0116828000;
when : "A1_N&!A2_N&!B1&!B2";
}
leakage_power () {
value : 0.0062407000;
when : "A1_N&!A2_N&B1&B2";
}
leakage_power () {
value : 0.0076474000;
when : "A1_N&!A2_N&B1&!B2";
}
leakage_power () {
value : 0.0044426000;
when : "A1_N&A2_N&!B1&B2";
}
leakage_power () {
value : 0.0073549000;
when : "A1_N&A2_N&!B1&!B2";
}
leakage_power () {
value : 0.0038670000;
when : "A1_N&A2_N&B1&B2";
}
leakage_power () {
value : 0.0052738000;
when : "A1_N&A2_N&B1&!B2";
}
area : 26.275200000;
cell_footprint : "sky130_fd_sc_hd__a2bb2oi";
cell_leakage_power : 0.0074054400;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A1_N") {
capacitance : 0.0087620000;
clock : "false";
direction : "input";
fall_capacitance : 0.0082950000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0556216000, 0.0551134000, 0.0539421000, 0.0540220000, 0.0542062000, 0.0546308000, 0.0556096000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0102057000, 0.0097930000, 0.0088418000, 0.0091615000, 0.0098984000, 0.0115970000, 0.0155127000");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0092290000;
}
pin ("A2_N") {
capacitance : 0.0087550000;
clock : "false";
direction : "input";
fall_capacitance : 0.0080860000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0456988000, 0.0451550000, 0.0439016000, 0.0442933000, 0.0451960000, 0.0472768000, 0.0520733000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0025406000, 0.0022623000, 0.0016208000, 0.0019459000, 0.0026952000, 0.0044224000, 0.0084035000");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0094240000;
}
pin ("B1") {
capacitance : 0.0091800000;
clock : "false";
direction : "input";
fall_capacitance : 0.0087280000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0162435000, 0.0162423000, 0.0162396000, 0.0162417000, 0.0162466000, 0.0162579000, 0.0162838000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.016243600, -0.016237200, -0.016222700, -0.016220000, -0.016213700, -0.016199500, -0.016166400");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0096330000;
}
pin ("B2") {
capacitance : 0.0085110000;
clock : "false";
direction : "input";
fall_capacitance : 0.0081740000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0150595000, 0.0150451000, 0.0150119000, 0.0150187000, 0.0150344000, 0.0150706000, 0.0151539000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.015015300, -0.015015000, -0.015014300, -0.015018300, -0.015027700, -0.015049300, -0.015099000");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0088480000;
}
pin ("Y") {
direction : "output";
function : "(A1_N&!B1) | (A1_N&!B2) | (A2_N&!B1) | (A2_N&!B2)";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0013852860, 0.0038380370, 0.0106335600, 0.0294610500, 0.0816239800, 0.2261452000");
values("0.0042095000, 0.0032138000, 0.0003528000, -0.008360100, -0.035893700, -0.118840300, -0.352577300", \
"0.0038274000, 0.0028333000, -7.10000e-05, -0.008767700, -0.036248700, -0.119195100, -0.352896400", \
"0.0042324000, 0.0031486000, 0.0003082000, -0.008358200, -0.035944900, -0.118870800, -0.352550700", \
"0.0035245000, 0.0023801000, -0.000453500, -0.009236300, -0.036691700, -0.119593400, -0.353146500", \
"0.0025703000, 0.0015154000, -0.001572100, -0.010197300, -0.037648800, -0.120360700, -0.353886600", \
"0.0033758000, 0.0020357000, -0.001726400, -0.012135400, -0.039514200, -0.121181300, -0.354359100", \
"0.0069495000, 0.0055306000, 0.0016169000, -0.008855200, -0.038479900, -0.121654200, -0.353513600");
}
related_pin : "A1_N";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0013852860, 0.0038380370, 0.0106335600, 0.0294610500, 0.0816239800, 0.2261452000");
values("0.0334924000, 0.0350264000, 0.0389766000, 0.0500108000, 0.0802687000, 0.1635932000, 0.3949988000", \
"0.0335161000, 0.0349175000, 0.0389533000, 0.0499614000, 0.0801835000, 0.1635314000, 0.3945959000", \
"0.0338304000, 0.0354048000, 0.0393652000, 0.0504722000, 0.0807662000, 0.1641805000, 0.3952607000", \
"0.0324591000, 0.0338924000, 0.0379431000, 0.0490892000, 0.0794901000, 0.1630454000, 0.3940847000", \
"0.0310210000, 0.0324964000, 0.0365645000, 0.0477882000, 0.0783073000, 0.1620062000, 0.3934014000", \
"0.0316158000, 0.0330356000, 0.0367255000, 0.0473150000, 0.0780184000, 0.1616054000, 0.3927758000", \
"0.0331312000, 0.0344679000, 0.0383731000, 0.0490924000, 0.0797538000, 0.1625968000, 0.3935406000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0013852860, 0.0038380370, 0.0106335600, 0.0294610500, 0.0816239800, 0.2261452000");
values("0.0041475000, 0.0030910000, 0.0002624000, -0.008401600, -0.035941800, -0.118858800, -0.352531500", \
"0.0037101000, 0.0027330000, -0.000153200, -0.008791100, -0.036290800, -0.119205100, -0.352848200", \
"0.0042600000, 0.0032083000, 0.0002947000, -0.008316600, -0.035910800, -0.118768000, -0.352411000", \
"0.0031676000, 0.0020434000, -0.000943900, -0.009578700, -0.037127400, -0.119869500, -0.353404800", \
"0.0023466000, 0.0012556000, -0.001802700, -0.010638500, -0.038113800, -0.120580500, -0.353997500", \
"0.0048764000, 0.0034060000, -0.000580600, -0.010818000, -0.039116600, -0.120470000, -0.353611300", \
"0.0071417000, 0.0056644000, 0.0016576000, -0.009093000, -0.038468800, -0.121886700, -0.353522500");
}
related_pin : "A2_N";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0013852860, 0.0038380370, 0.0106335600, 0.0294610500, 0.0816239800, 0.2261452000");
values("0.0353345000, 0.0369507000, 0.0410513000, 0.0523849000, 0.0828736000, 0.1664484000, 0.3976297000", \
"0.0352438000, 0.0368562000, 0.0410291000, 0.0524049000, 0.0829573000, 0.1666217000, 0.3978114000", \
"0.0349204000, 0.0364354000, 0.0405986000, 0.0520067000, 0.0828839000, 0.1666353000, 0.3976491000", \
"0.0331782000, 0.0346729000, 0.0387868000, 0.0501771000, 0.0811024000, 0.1651131000, 0.3964303000", \
"0.0313580000, 0.0328417000, 0.0369804000, 0.0482078000, 0.0792116000, 0.1636365000, 0.3950165000", \
"0.0314669000, 0.0329266000, 0.0369969000, 0.0478610000, 0.0783138000, 0.1624937000, 0.3946137000", \
"0.0336754000, 0.0354174000, 0.0390921000, 0.0503073000, 0.0806163000, 0.1635643000, 0.3955040000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0013852860, 0.0038380370, 0.0106335600, 0.0294610500, 0.0816239800, 0.2261452000");
values("0.0188025000, 0.0173796000, 0.0134668000, 0.0025939000, -0.027609900, -0.111751800, -0.345486200", \
"0.0182571000, 0.0168573000, 0.0129470000, 0.0021249000, -0.028011200, -0.112158300, -0.345777500", \
"0.0175590000, 0.0161776000, 0.0123099000, 0.0015139000, -0.028498000, -0.112509200, -0.346171700", \
"0.0166388000, 0.0152812000, 0.0114281000, 0.0008765000, -0.029033900, -0.112873000, -0.346419500", \
"0.0164281000, 0.0150023000, 0.0110539000, 0.0002002000, -0.029596000, -0.113063700, -0.346514200", \
"0.0173950000, 0.0159458000, 0.0119028000, 0.0009335000, -0.029243700, -0.113776900, -0.346834900", \
"0.0204892000, 0.0190201000, 0.0149147000, 0.0035289000, -0.027429000, -0.112413000, -0.346700800");
}
related_pin : "B1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0013852860, 0.0038380370, 0.0106335600, 0.0294610500, 0.0816239800, 0.2261452000");
values("0.0389041000, 0.0403591000, 0.0444189000, 0.0553943000, 0.0857182000, 0.1693192000, 0.4005907000", \
"0.0382415000, 0.0396794000, 0.0437150000, 0.0549191000, 0.0853324000, 0.1691159000, 0.4000705000", \
"0.0374959000, 0.0389422000, 0.0430293000, 0.0542859000, 0.0848740000, 0.1686979000, 0.4002774000", \
"0.0369872000, 0.0384263000, 0.0425134000, 0.0536420000, 0.0842719000, 0.1682973000, 0.3996023000", \
"0.0365922000, 0.0380343000, 0.0420177000, 0.0530429000, 0.0835653000, 0.1674131000, 0.3992191000", \
"0.0363929000, 0.0378403000, 0.0418723000, 0.0530163000, 0.0835863000, 0.1674232000, 0.3986968000", \
"0.0367316000, 0.0381439000, 0.0419625000, 0.0526621000, 0.0836553000, 0.1677354000, 0.3994072000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0013852860, 0.0038380370, 0.0106335600, 0.0294610500, 0.0816239800, 0.2261452000");
values("0.0179066000, 0.0164941000, 0.0125875000, 0.0016944000, -0.028449400, -0.112538900, -0.346421500", \
"0.0173742000, 0.0159766000, 0.0120969000, 0.0012751000, -0.028801200, -0.112963200, -0.346683000", \
"0.0166598000, 0.0152741000, 0.0114746000, 0.0007173000, -0.029328600, -0.113226200, -0.347041400", \
"0.0156538000, 0.0143115000, 0.0105567000, -5.90000e-06, -0.029833300, -0.113619400, -0.347189200", \
"0.0159089000, 0.0145385000, 0.0107553000, -8.77000e-05, -0.029957700, -0.113621700, -0.347191900", \
"0.0175552000, 0.0161034000, 0.0121141000, 0.0006538000, -0.029623400, -0.113753200, -0.347285100", \
"0.0223660000, 0.0207969000, 0.0165358000, 0.0049942000, -0.025915400, -0.110834900, -0.346256800");
}
related_pin : "B2";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0013852860, 0.0038380370, 0.0106335600, 0.0294610500, 0.0816239800, 0.2261452000");
values("0.0271960000, 0.0288229000, 0.0330934000, 0.0444360000, 0.0749256000, 0.1585417000, 0.3897718000", \
"0.0263053000, 0.0279172000, 0.0322151000, 0.0438625000, 0.0745589000, 0.1584570000, 0.3898368000", \
"0.0255591000, 0.0271530000, 0.0313318000, 0.0428018000, 0.0739841000, 0.1586611000, 0.3930156000", \
"0.0251669000, 0.0266493000, 0.0306990000, 0.0420717000, 0.0728006000, 0.1575359000, 0.3892952000", \
"0.0247442000, 0.0262193000, 0.0302501000, 0.0412850000, 0.0719877000, 0.1562817000, 0.3884458000", \
"0.0246407000, 0.0260757000, 0.0300558000, 0.0412428000, 0.0719633000, 0.1560336000, 0.3875943000", \
"0.0251487000, 0.0264818000, 0.0300989000, 0.0407907000, 0.0717313000, 0.1561475000, 0.3877962000");
}
}
max_capacitance : 0.2261450000;
max_transition : 1.4947280000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013852900, 0.0038380400, 0.0106336000, 0.0294610000, 0.0816240000, 0.2261450000");
values("0.1354253000, 0.1378961000, 0.1438628000, 0.1572142000, 0.1846833000, 0.2430794000, 0.3822626000", \
"0.1393996000, 0.1416599000, 0.1479257000, 0.1611871000, 0.1886811000, 0.2471217000, 0.3863370000", \
"0.1514291000, 0.1539181000, 0.1596362000, 0.1730668000, 0.2006474000, 0.2590816000, 0.3983149000", \
"0.1788453000, 0.1813406000, 0.1871256000, 0.2003458000, 0.2279930000, 0.2862846000, 0.4257093000", \
"0.2349268000, 0.2373393000, 0.2435162000, 0.2571300000, 0.2852441000, 0.3440507000, 0.4834779000", \
"0.3328479000, 0.3356704000, 0.3426917000, 0.3578970000, 0.3888999000, 0.4517941000, 0.5944993000", \
"0.4994719000, 0.5029067000, 0.5112846000, 0.5302941000, 0.5670820000, 0.6374808000, 0.7851451000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013852900, 0.0038380400, 0.0106336000, 0.0294610000, 0.0816240000, 0.2261450000");
values("0.0947069000, 0.0989362000, 0.1095689000, 0.1393114000, 0.2197788000, 0.4411359000, 1.0542964000", \
"0.0993493000, 0.1032951000, 0.1144162000, 0.1441753000, 0.2245696000, 0.4459459000, 1.0598052000", \
"0.1099726000, 0.1143020000, 0.1250314000, 0.1550168000, 0.2357708000, 0.4571896000, 1.0699384000", \
"0.1293784000, 0.1333044000, 0.1444736000, 0.1742728000, 0.2553444000, 0.4769940000, 1.0899719000", \
"0.1521973000, 0.1561657000, 0.1672431000, 0.1975182000, 0.2790005000, 0.5008583000, 1.1138149000", \
"0.1730955000, 0.1770984000, 0.1879411000, 0.2174145000, 0.2988352000, 0.5213879000, 1.1343501000", \
"0.1667251000, 0.1701478000, 0.1812752000, 0.2106847000, 0.2915621000, 0.5143921000, 1.1287483000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013852900, 0.0038380400, 0.0106336000, 0.0294610000, 0.0816240000, 0.2261450000");
values("0.0341067000, 0.0351732000, 0.0381042000, 0.0466836000, 0.0701567000, 0.1328159000, 0.3111436000", \
"0.0341671000, 0.0351510000, 0.0381613000, 0.0467479000, 0.0701247000, 0.1330306000, 0.3110475000", \
"0.0341147000, 0.0351506000, 0.0381415000, 0.0466071000, 0.0701583000, 0.1330106000, 0.3110454000", \
"0.0341435000, 0.0351754000, 0.0381169000, 0.0467746000, 0.0700864000, 0.1326463000, 0.3109394000", \
"0.0365273000, 0.0374604000, 0.0402510000, 0.0485788000, 0.0713470000, 0.1334548000, 0.3109187000", \
"0.0436890000, 0.0446433000, 0.0474539000, 0.0558847000, 0.0790901000, 0.1407304000, 0.3150951000", \
"0.0593999000, 0.0602694000, 0.0630755000, 0.0715482000, 0.0943359000, 0.1533546000, 0.3224956000");
}
related_pin : "A1_N";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013852900, 0.0038380400, 0.0106336000, 0.0294610000, 0.0816240000, 0.2261450000");
values("0.0613919000, 0.0667214000, 0.0813321000, 0.1216061000, 0.2319784000, 0.5350451000, 1.3766649000", \
"0.0614467000, 0.0666581000, 0.0813963000, 0.1214246000, 0.2315334000, 0.5356692000, 1.3796920000", \
"0.0613849000, 0.0667104000, 0.0813282000, 0.1216071000, 0.2318740000, 0.5354455000, 1.3769286000", \
"0.0614498000, 0.0666385000, 0.0813133000, 0.1214349000, 0.2316130000, 0.5367731000, 1.3794761000", \
"0.0615396000, 0.0669005000, 0.0814815000, 0.1223547000, 0.2320890000, 0.5364329000, 1.3774069000", \
"0.0623876000, 0.0676332000, 0.0820325000, 0.1220798000, 0.2326222000, 0.5358224000, 1.3792185000", \
"0.0674961000, 0.0726155000, 0.0859048000, 0.1237570000, 0.2328732000, 0.5364806000, 1.3764330000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013852900, 0.0038380400, 0.0106336000, 0.0294610000, 0.0816240000, 0.2261450000");
values("0.1131290000, 0.1156881000, 0.1216988000, 0.1350429000, 0.1624224000, 0.2207372000, 0.3601275000", \
"0.1157843000, 0.1181749000, 0.1241559000, 0.1375062000, 0.1651635000, 0.2236500000, 0.3628974000", \
"0.1262453000, 0.1286670000, 0.1346483000, 0.1477774000, 0.1753955000, 0.2336683000, 0.3730196000", \
"0.1541685000, 0.1565537000, 0.1625054000, 0.1756649000, 0.2034929000, 0.2617129000, 0.4010846000", \
"0.2181547000, 0.2206477000, 0.2263781000, 0.2401365000, 0.2683724000, 0.3274165000, 0.4670324000", \
"0.3234562000, 0.3265004000, 0.3338487000, 0.3494389000, 0.3802113000, 0.4420172000, 0.5854506000", \
"0.4975127000, 0.5012540000, 0.5105069000, 0.5302769000, 0.5675434000, 0.6349522000, 0.7787422000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013852900, 0.0038380400, 0.0106336000, 0.0294610000, 0.0816240000, 0.2261450000");
values("0.0919634000, 0.0962175000, 0.1076468000, 0.1382918000, 0.2199534000, 0.4421294000, 1.0557752000", \
"0.0963274000, 0.1007890000, 0.1121730000, 0.1428790000, 0.2245953000, 0.4468880000, 1.0609152000", \
"0.1058959000, 0.1100450000, 0.1217226000, 0.1525565000, 0.2346388000, 0.4575244000, 1.0712974000", \
"0.1208086000, 0.1249363000, 0.1362545000, 0.1670394000, 0.2495069000, 0.4732882000, 1.0871862000", \
"0.1373971000, 0.1414660000, 0.1528766000, 0.1831972000, 0.2661202000, 0.4901287000, 1.1045654000", \
"0.1490993000, 0.1530869000, 0.1644893000, 0.1947166000, 0.2765827000, 0.5013285000, 1.1165103000", \
"0.1317365000, 0.1363246000, 0.1475012000, 0.1774374000, 0.2580933000, 0.4816316000, 1.0974548000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013852900, 0.0038380400, 0.0106336000, 0.0294610000, 0.0816240000, 0.2261450000");
values("0.0341349000, 0.0351650000, 0.0381009000, 0.0467041000, 0.0701748000, 0.1332363000, 0.3110052000", \
"0.0341689000, 0.0351415000, 0.0381052000, 0.0467964000, 0.0700973000, 0.1330613000, 0.3110981000", \
"0.0341625000, 0.0351374000, 0.0380619000, 0.0467039000, 0.0702608000, 0.1330032000, 0.3109573000", \
"0.0342415000, 0.0352451000, 0.0381418000, 0.0467430000, 0.0701832000, 0.1330705000, 0.3111684000", \
"0.0378875000, 0.0387503000, 0.0414806000, 0.0495486000, 0.0720735000, 0.1338805000, 0.3109662000", \
"0.0502751000, 0.0508958000, 0.0529525000, 0.0599086000, 0.0799767000, 0.1405512000, 0.3162982000", \
"0.0693419000, 0.0700433000, 0.0723043000, 0.0791631000, 0.0982680000, 0.1519491000, 0.3209057000");
}
related_pin : "A2_N";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013852900, 0.0038380400, 0.0106336000, 0.0294610000, 0.0816240000, 0.2261450000");
values("0.0612121000, 0.0665552000, 0.0810459000, 0.1211998000, 0.2315833000, 0.5356587000, 1.3789050000", \
"0.0611916000, 0.0665441000, 0.0811913000, 0.1214014000, 0.2314234000, 0.5363079000, 1.3760312000", \
"0.0610908000, 0.0664464000, 0.0810310000, 0.1212036000, 0.2313912000, 0.5363706000, 1.3751150000", \
"0.0611997000, 0.0665609000, 0.0810538000, 0.1212632000, 0.2315082000, 0.5367613000, 1.3757562000", \
"0.0614501000, 0.0667182000, 0.0813584000, 0.1219739000, 0.2318873000, 0.5357507000, 1.3749879000", \
"0.0628413000, 0.0679845000, 0.0820518000, 0.1219996000, 0.2322420000, 0.5359075000, 1.3786227000", \
"0.0708850000, 0.0751917000, 0.0881568000, 0.1250186000, 0.2332185000, 0.5365755000, 1.3760160000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013852900, 0.0038380400, 0.0106336000, 0.0294610000, 0.0816240000, 0.2261450000");
values("0.0311282000, 0.0327288000, 0.0368553000, 0.0475018000, 0.0746965000, 0.1464447000, 0.3414915000", \
"0.0352322000, 0.0368097000, 0.0409928000, 0.0516624000, 0.0787714000, 0.1505795000, 0.3457818000", \
"0.0440487000, 0.0456668000, 0.0498332000, 0.0603915000, 0.0875955000, 0.1593170000, 0.3544554000", \
"0.0586347000, 0.0605974000, 0.0657491000, 0.0786288000, 0.1075242000, 0.1797699000, 0.3751837000", \
"0.0764732000, 0.0794898000, 0.0869956000, 0.1046666000, 0.1434831000, 0.2253637000, 0.4221830000", \
"0.0867694000, 0.0913273000, 0.1030544000, 0.1310407000, 0.1906432000, 0.3044813000, 0.5294937000", \
"0.0631228000, 0.0702413000, 0.0891477000, 0.1328587000, 0.2269964000, 0.4039412000, 0.7166283000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013852900, 0.0038380400, 0.0106336000, 0.0294610000, 0.0816240000, 0.2261450000");
values("0.0958201000, 0.0999465000, 0.1112395000, 0.1411028000, 0.2221349000, 0.4442295000, 1.0577740000", \
"0.1004321000, 0.1044370000, 0.1156871000, 0.1458819000, 0.2274115000, 0.4498095000, 1.0634760000", \
"0.1128341000, 0.1168922000, 0.1281325000, 0.1584623000, 0.2405042000, 0.4632803000, 1.0773623000", \
"0.1413854000, 0.1454214000, 0.1565211000, 0.1865053000, 0.2683905000, 0.4916015000, 1.1072346000", \
"0.1968080000, 0.2014698000, 0.2141332000, 0.2471047000, 0.3287854000, 0.5521530000, 1.1669459000", \
"0.2901398000, 0.2964992000, 0.3146382000, 0.3574306000, 0.4589449000, 0.6893250000, 1.3050595000", \
"0.4431708000, 0.4537099000, 0.4811592000, 0.5474226000, 0.6905942000, 0.9867633000, 1.6253212000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013852900, 0.0038380400, 0.0106336000, 0.0294610000, 0.0816240000, 0.2261450000");
values("0.0231988000, 0.0248576000, 0.0293108000, 0.0420434000, 0.0772499000, 0.1758112000, 0.4499320000", \
"0.0229577000, 0.0245378000, 0.0291932000, 0.0419058000, 0.0771907000, 0.1758549000, 0.4503029000", \
"0.0240200000, 0.0255592000, 0.0298330000, 0.0421251000, 0.0770830000, 0.1758114000, 0.4504337000", \
"0.0314309000, 0.0330302000, 0.0373843000, 0.0488803000, 0.0803019000, 0.1758396000, 0.4501909000", \
"0.0484758000, 0.0504425000, 0.0558919000, 0.0688840000, 0.1012075000, 0.1869901000, 0.4511320000", \
"0.0811204000, 0.0839209000, 0.0914450000, 0.1096956000, 0.1510729000, 0.2409694000, 0.4778407000", \
"0.1406908000, 0.1451499000, 0.1568563000, 0.1846483000, 0.2427167000, 0.3594391000, 0.6027385000");
}
related_pin : "B1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013852900, 0.0038380400, 0.0106336000, 0.0294610000, 0.0816240000, 0.2261450000");
values("0.0615719000, 0.0667391000, 0.0813502000, 0.1213981000, 0.2314243000, 0.5347034000, 1.3752263000", \
"0.0615385000, 0.0667511000, 0.0812533000, 0.1214122000, 0.2315344000, 0.5367874000, 1.3734835000", \
"0.0615161000, 0.0667367000, 0.0813305000, 0.1213695000, 0.2321068000, 0.5346686000, 1.3772026000", \
"0.0618991000, 0.0671529000, 0.0814725000, 0.1214413000, 0.2314093000, 0.5350111000, 1.3794101000", \
"0.0758574000, 0.0808319000, 0.0937975000, 0.1297202000, 0.2336147000, 0.5357017000, 1.3782051000", \
"0.1097782000, 0.1153273000, 0.1306525000, 0.1698676000, 0.2679934000, 0.5443811000, 1.3796602000", \
"0.1865709000, 0.1938578000, 0.2131081000, 0.2597290000, 0.3700620000, 0.6355417000, 1.3943194000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013852900, 0.0038380400, 0.0106336000, 0.0294610000, 0.0816240000, 0.2261450000");
values("0.0253204000, 0.0268810000, 0.0310538000, 0.0417599000, 0.0688895000, 0.1405238000, 0.3356658000", \
"0.0292807000, 0.0308821000, 0.0350345000, 0.0457105000, 0.0728136000, 0.1446683000, 0.3396256000", \
"0.0395393000, 0.0411724000, 0.0452619000, 0.0555474000, 0.0825585000, 0.1542146000, 0.3494262000", \
"0.0541514000, 0.0564205000, 0.0623959000, 0.0763697000, 0.1060414000, 0.1769818000, 0.3721317000", \
"0.0678947000, 0.0712211000, 0.0798187000, 0.1010801000, 0.1458213000, 0.2317507000, 0.4257032000", \
"0.0704145000, 0.0756529000, 0.0892912000, 0.1216491000, 0.1898165000, 0.3181257000, 0.5505554000", \
"0.0312214000, 0.0390992000, 0.0593938000, 0.1079028000, 0.2135519000, 0.4127104000, 0.7669163000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013852900, 0.0038380400, 0.0106336000, 0.0294610000, 0.0816240000, 0.2261450000");
values("0.0764909000, 0.0812894000, 0.0939253000, 0.1271147000, 0.2171862000, 0.4587051000, 1.1287744000", \
"0.0801710000, 0.0849917000, 0.0976537000, 0.1317271000, 0.2210186000, 0.4646113000, 1.1347335000", \
"0.0926680000, 0.0971861000, 0.1095242000, 0.1429885000, 0.2338495000, 0.4802753000, 1.1583539000", \
"0.1215655000, 0.1261837000, 0.1383642000, 0.1710256000, 0.2606992000, 0.5096031000, 1.1791419000", \
"0.1725208000, 0.1783708000, 0.1934966000, 0.2314414000, 0.3214936000, 0.5658638000, 1.2400411000", \
"0.2567967000, 0.2657464000, 0.2875468000, 0.3404835000, 0.4543893000, 0.7061624000, 1.3801605000", \
"0.3926455000, 0.4070994000, 0.4426537000, 0.5257806000, 0.6914706000, 1.0160528000, 1.7055843000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013852900, 0.0038380400, 0.0106336000, 0.0294610000, 0.0816240000, 0.2261450000");
values("0.0232730000, 0.0249104000, 0.0294541000, 0.0420808000, 0.0773216000, 0.1757352000, 0.4505714000", \
"0.0222893000, 0.0240506000, 0.0288104000, 0.0418111000, 0.0771574000, 0.1758837000, 0.4499137000", \
"0.0249452000, 0.0263488000, 0.0303776000, 0.0421076000, 0.0767777000, 0.1757663000, 0.4502309000", \
"0.0345889000, 0.0365562000, 0.0416579000, 0.0535602000, 0.0827754000, 0.1756595000, 0.4503196000", \
"0.0541310000, 0.0569455000, 0.0641108000, 0.0801499000, 0.1162156000, 0.1954871000, 0.4506411000", \
"0.0888767000, 0.0931751000, 0.1040160000, 0.1276170000, 0.1783571000, 0.2756267000, 0.4942272000", \
"0.1494559000, 0.1563394000, 0.1745322000, 0.2125149000, 0.2867950000, 0.4250358000, 0.6798170000");
}
related_pin : "B2";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013852900, 0.0038380400, 0.0106336000, 0.0294610000, 0.0816240000, 0.2261450000");
values("0.0459677000, 0.0516783000, 0.0672976000, 0.1110813000, 0.2316182000, 0.5632186000, 1.4771047000", \
"0.0460823000, 0.0517103000, 0.0673858000, 0.1109780000, 0.2311902000, 0.5631665000, 1.4840030000", \
"0.0461927000, 0.0518628000, 0.0676066000, 0.1110636000, 0.2315113000, 0.5651321000, 1.4942887000", \
"0.0476548000, 0.0530137000, 0.0680697000, 0.1114555000, 0.2312583000, 0.5649680000, 1.4814626000", \
"0.0634547000, 0.0687903000, 0.0836265000, 0.1215533000, 0.2345455000, 0.5647436000, 1.4807072000", \
"0.0986990000, 0.1048536000, 0.1214192000, 0.1634172000, 0.2691035000, 0.5723181000, 1.4800516000", \
"0.1763009000, 0.1844642000, 0.2063255000, 0.2561083000, 0.3771322000, 0.6629259000, 1.4947281000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
}
}
/* removed sky130_fd_sc_hd__a311o_1 */
/* removed sky130_fd_sc_hd__a311o_2 */
/* removed sky130_fd_sc_hd__a311o_4 */
/* removed sky130_fd_sc_hd__a311oi_1 */
/* removed sky130_fd_sc_hd__a311oi_2 */
/* removed sky130_fd_sc_hd__a311oi_4 */
/* removed sky130_fd_sc_hd__a31o_1 */
/* removed sky130_fd_sc_hd__a31o_2 */
/* removed sky130_fd_sc_hd__a31o_4 */
/* removed sky130_fd_sc_hd__a31oi_1 */
/* removed sky130_fd_sc_hd__a31oi_2 */
/* removed sky130_fd_sc_hd__a31oi_4 */
/* removed sky130_fd_sc_hd__a32o_1 */
/* removed sky130_fd_sc_hd__a32o_2 */
cell ("sky130_fd_sc_hd__a32o_4") {
leakage_power () {
value : 0.0053900000;
when : "!A1&!A2&!A3&!B1&B2";
}
leakage_power () {
value : 0.0047000000;
when : "!A1&!A2&!A3&!B1&!B2";
}
leakage_power () {
value : 0.0057453000;
when : "!A1&!A2&!A3&B1&B2";
}
leakage_power () {
value : 0.0052260000;
when : "!A1&!A2&!A3&B1&!B2";
}
leakage_power () {
value : 0.0054184000;
when : "!A1&!A2&A3&!B1&B2";
}
leakage_power () {
value : 0.0047285000;
when : "!A1&!A2&A3&!B1&!B2";
}
leakage_power () {
value : 0.0057453000;
when : "!A1&!A2&A3&B1&B2";
}
leakage_power () {
value : 0.0052546000;
when : "!A1&!A2&A3&B1&!B2";
}
leakage_power () {
value : 0.0054237000;
when : "!A1&A2&!A3&!B1&B2";
}
leakage_power () {
value : 0.0047338000;
when : "!A1&A2&!A3&!B1&!B2";
}
leakage_power () {
value : 0.0057453000;
when : "!A1&A2&!A3&B1&B2";
}
leakage_power () {
value : 0.0052599000;
when : "!A1&A2&!A3&B1&!B2";
}
leakage_power () {
value : 0.0061047000;
when : "!A1&A2&A3&!B1&B2";
}
leakage_power () {
value : 0.0054147000;
when : "!A1&A2&A3&!B1&!B2";
}
leakage_power () {
value : 0.0057453000;
when : "!A1&A2&A3&B1&B2";
}
leakage_power () {
value : 0.0059408000;
when : "!A1&A2&A3&B1&!B2";
}
leakage_power () {
value : 0.0054350000;
when : "A1&!A2&!A3&!B1&B2";
}
leakage_power () {
value : 0.0047430000;
when : "A1&!A2&!A3&!B1&!B2";
}
leakage_power () {
value : 0.0057453000;
when : "A1&!A2&!A3&B1&B2";
}
leakage_power () {
value : 0.0052703000;
when : "A1&!A2&!A3&B1&!B2";
}
leakage_power () {
value : 0.0059403000;
when : "A1&!A2&A3&!B1&B2";
}
leakage_power () {
value : 0.0052501000;
when : "A1&!A2&A3&!B1&!B2";
}
leakage_power () {
value : 0.0057462000;
when : "A1&!A2&A3&B1&B2";
}
leakage_power () {
value : 0.0057746000;
when : "A1&!A2&A3&B1&!B2";
}
leakage_power () {
value : 0.0059702000;
when : "A1&A2&!A3&!B1&B2";
}
leakage_power () {
value : 0.0052780000;
when : "A1&A2&!A3&!B1&!B2";
}
leakage_power () {
value : 0.0057452000;
when : "A1&A2&!A3&B1&B2";
}
leakage_power () {
value : 0.0058030000;
when : "A1&A2&!A3&B1&!B2";
}
leakage_power () {
value : 0.0060543000;
when : "A1&A2&A3&!B1&B2";
}
leakage_power () {
value : 0.0062855000;
when : "A1&A2&A3&!B1&!B2";
}
leakage_power () {
value : 0.0022874000;
when : "A1&A2&A3&B1&B2";
}
leakage_power () {
value : 0.0062303000;
when : "A1&A2&A3&B1&!B2";
}
area : 21.270400000;
cell_footprint : "sky130_fd_sc_hd__a32o";
cell_leakage_power : 0.0054417170;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A1") {
capacitance : 0.0042720000;
clock : "false";
direction : "input";
fall_capacitance : 0.0041030000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0092163000, 0.0092109000, 0.0091985000, 0.0092000000, 0.0092035000, 0.0092117000, 0.0092305000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.006441700, -0.006446300, -0.006456800, -0.006441100, -0.006404800, -0.006321100, -0.006128300");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0044410000;
}
pin ("A2") {
capacitance : 0.0042840000;
clock : "false";
direction : "input";
fall_capacitance : 0.0040990000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0085840000, 0.0085770000, 0.0085610000, 0.0085894000, 0.0086551000, 0.0088063000, 0.0091550000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.007914300, -0.007916600, -0.007922000, -0.007923900, -0.007928400, -0.007938800, -0.007962600");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0044700000;
}
pin ("A3") {
capacitance : 0.0044660000;
clock : "false";
direction : "input";
fall_capacitance : 0.0041920000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0082592000, 0.0082553000, 0.0082462000, 0.0082495000, 0.0082572000, 0.0082749000, 0.0083156000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.008244000, -0.008243400, -0.008242000, -0.008241000, -0.008238700, -0.008233500, -0.008221400");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0047390000;
}
pin ("B1") {
capacitance : 0.0043510000;
clock : "false";
direction : "input";
fall_capacitance : 0.0040630000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0092281000, 0.0092271000, 0.0092248000, 0.0092216000, 0.0092143000, 0.0091974000, 0.0091584000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.006491400, -0.006495200, -0.006503900, -0.006485600, -0.006443300, -0.006346000, -0.006121600");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0046390000;
}
pin ("B2") {
capacitance : 0.0042810000;
clock : "false";
direction : "input";
fall_capacitance : 0.0039490000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0077717000, 0.0077680000, 0.0077593000, 0.0077584000, 0.0077564000, 0.0077517000, 0.0077410000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.007742300, -0.007741400, -0.007739300, -0.007735300, -0.007726100, -0.007704700, -0.007655600");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0046120000;
}
pin ("X") {
direction : "output";
function : "(A1&A2&A3) | (B1&B2)";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015998200, 0.0051188490, 0.0163784700, 0.0524052200, 0.1676779000, 0.5365088000");
values("0.0342602000, 0.0324812000, 0.0273363000, 0.0123641000, -0.040934700, -0.225160000, -0.821915200", \
"0.0341961000, 0.0324186000, 0.0272692000, 0.0123104000, -0.040965000, -0.225182900, -0.822047400", \
"0.0338849000, 0.0321275000, 0.0270072000, 0.0120676000, -0.041331200, -0.225409200, -0.822335400", \
"0.0331047000, 0.0313484000, 0.0261855000, 0.0113140000, -0.041926100, -0.226045000, -0.822926900", \
"0.0324500000, 0.0307449000, 0.0255575000, 0.0105755000, -0.042728500, -0.226808600, -0.823571000", \
"0.0323963000, 0.0305780000, 0.0256120000, 0.0104790000, -0.043137300, -0.227131300, -0.823892900", \
"0.0414712000, 0.0394609000, 0.0331187000, 0.0147159000, -0.043242200, -0.227570400, -0.823863700");
}
related_pin : "A1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015998200, 0.0051188490, 0.0163784700, 0.0524052200, 0.1676779000, 0.5365088000");
values("0.0367803000, 0.0386147000, 0.0446528000, 0.0638584000, 0.1225574000, 0.3074977000, 0.8978022000", \
"0.0365757000, 0.0384107000, 0.0444249000, 0.0635840000, 0.1225380000, 0.3074990000, 0.8989715000", \
"0.0362881000, 0.0381363000, 0.0441131000, 0.0633860000, 0.1222306000, 0.3072220000, 0.8989063000", \
"0.0358637000, 0.0376619000, 0.0436121000, 0.0628937000, 0.1218678000, 0.3067918000, 0.9017991000", \
"0.0357507000, 0.0375508000, 0.0434290000, 0.0625891000, 0.1212801000, 0.3062719000, 0.8978020000", \
"0.0372539000, 0.0389869000, 0.0445177000, 0.0625099000, 0.1211604000, 0.3059143000, 0.8975955000", \
"0.0402019000, 0.0417823000, 0.0472307000, 0.0651464000, 0.1232055000, 0.3078066000, 0.8986330000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015998200, 0.0051188490, 0.0163784700, 0.0524052200, 0.1676779000, 0.5365088000");
values("0.0406982000, 0.0388763000, 0.0336620000, 0.0187075000, -0.034419800, -0.218372900, -0.815193300", \
"0.0405417000, 0.0387226000, 0.0334967000, 0.0187613000, -0.034669900, -0.218660900, -0.815305100", \
"0.0402788000, 0.0384838000, 0.0332886000, 0.0182985000, -0.034949700, -0.218943700, -0.815568900", \
"0.0396771000, 0.0379598000, 0.0327238000, 0.0177098000, -0.035574800, -0.219448300, -0.816066000", \
"0.0391040000, 0.0373330000, 0.0320585000, 0.0171549000, -0.036162000, -0.220115700, -0.816701700", \
"0.0389067000, 0.0370816000, 0.0317935000, 0.0165979000, -0.036586100, -0.220535400, -0.817115900", \
"0.0478645000, 0.0458626000, 0.0397333000, 0.0212808000, -0.036819800, -0.221195800, -0.817496500");
}
related_pin : "A2";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015998200, 0.0051188490, 0.0163784700, 0.0524052200, 0.1676779000, 0.5365088000");
values("0.0382928000, 0.0400848000, 0.0460118000, 0.0652828000, 0.1241118000, 0.3085949000, 0.9033375000", \
"0.0380635000, 0.0398769000, 0.0459248000, 0.0651104000, 0.1237636000, 0.3085899000, 0.9032305000", \
"0.0377800000, 0.0395677000, 0.0455807000, 0.0648351000, 0.1234881000, 0.3081372000, 0.8989100000", \
"0.0373949000, 0.0392154000, 0.0452322000, 0.0643806000, 0.1232014000, 0.3079666000, 0.8999176000", \
"0.0371942000, 0.0389797000, 0.0448958000, 0.0640265000, 0.1226791000, 0.3073637000, 0.9018149000", \
"0.0383541000, 0.0400699000, 0.0456764000, 0.0637769000, 0.1225226000, 0.3071360000, 0.8988616000", \
"0.0411334000, 0.0427850000, 0.0482253000, 0.0662490000, 0.1245978000, 0.3088585000, 0.9000456000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015998200, 0.0051188490, 0.0163784700, 0.0524052200, 0.1676779000, 0.5365088000");
values("0.0458395000, 0.0440631000, 0.0388324000, 0.0237211000, -0.029784600, -0.214094400, -0.810911600", \
"0.0458673000, 0.0440329000, 0.0387107000, 0.0236921000, -0.029877200, -0.214273900, -0.811106000", \
"0.0454233000, 0.0436281000, 0.0382976000, 0.0233242000, -0.030120200, -0.214385600, -0.811372900", \
"0.0451701000, 0.0433358000, 0.0381143000, 0.0230132000, -0.030586500, -0.214765600, -0.811571200", \
"0.0447974000, 0.0430015000, 0.0377458000, 0.0226057000, -0.030988600, -0.215162200, -0.811909300", \
"0.0448495000, 0.0429822000, 0.0376031000, 0.0224175000, -0.030977700, -0.215159000, -0.811918600", \
"0.0542026000, 0.0521646000, 0.0461137000, 0.0275517000, -0.030665100, -0.215258300, -0.811440300");
}
related_pin : "A3";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015998200, 0.0051188490, 0.0163784700, 0.0524052200, 0.1676779000, 0.5365088000");
values("0.0381963000, 0.0400792000, 0.0460699000, 0.0652183000, 0.1239121000, 0.3083924000, 0.9016084000", \
"0.0380115000, 0.0398077000, 0.0457509000, 0.0650199000, 0.1238274000, 0.3080837000, 0.9025915000", \
"0.0377220000, 0.0395542000, 0.0455985000, 0.0648277000, 0.1234268000, 0.3077976000, 0.8983268000", \
"0.0373870000, 0.0392220000, 0.0452285000, 0.0644798000, 0.1230566000, 0.3074994000, 0.8978428000", \
"0.0371723000, 0.0389579000, 0.0448935000, 0.0639645000, 0.1226568000, 0.3075062000, 0.8985005000", \
"0.0385059000, 0.0402483000, 0.0459591000, 0.0639118000, 0.1227272000, 0.3070491000, 0.8989336000", \
"0.0407633000, 0.0424292000, 0.0480372000, 0.0661054000, 0.1245128000, 0.3085683000, 0.8972162000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015998200, 0.0051188490, 0.0163784700, 0.0524052200, 0.1676779000, 0.5365088000");
values("0.0334345000, 0.0315838000, 0.0263427000, 0.0112358000, -0.042139500, -0.226246400, -0.822851200", \
"0.0332666000, 0.0315019000, 0.0262572000, 0.0111534000, -0.042268600, -0.226373400, -0.823036900", \
"0.0328134000, 0.0309714000, 0.0258076000, 0.0106541000, -0.042736900, -0.226733000, -0.823471700", \
"0.0323909000, 0.0306015000, 0.0253382000, 0.0102217000, -0.043240000, -0.227279000, -0.823915600", \
"0.0319498000, 0.0301391000, 0.0248747000, 0.0098146000, -0.043637200, -0.227620500, -0.824243300", \
"0.0329422000, 0.0311270000, 0.0255844000, 0.0100789000, -0.043712900, -0.227601600, -0.824122900", \
"0.0438520000, 0.0417263000, 0.0353631000, 0.0164638000, -0.042150300, -0.226595400, -0.822996700");
}
related_pin : "B1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015998200, 0.0051188490, 0.0163784700, 0.0524052200, 0.1676779000, 0.5365088000");
values("0.0295724000, 0.0314990000, 0.0377403000, 0.0572210000, 0.1163367000, 0.3018058000, 0.8940574000", \
"0.0295869000, 0.0315135000, 0.0376458000, 0.0572199000, 0.1163331000, 0.3017897000, 0.8930922000", \
"0.0294413000, 0.0313489000, 0.0375763000, 0.0570421000, 0.1161150000, 0.3010373000, 0.8944190000", \
"0.0291291000, 0.0310400000, 0.0371145000, 0.0563254000, 0.1153577000, 0.3010185000, 0.8931337000", \
"0.0291258000, 0.0309374000, 0.0369541000, 0.0557764000, 0.1146545000, 0.3002908000, 0.8927529000", \
"0.0304353000, 0.0321403000, 0.0377754000, 0.0561030000, 0.1142667000, 0.2988762000, 0.8914739000", \
"0.0332218000, 0.0347188000, 0.0400913000, 0.0579054000, 0.1161520000, 0.3007143000, 0.8884842000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015998200, 0.0051188490, 0.0163784700, 0.0524052200, 0.1676779000, 0.5365088000");
values("0.0402889000, 0.0384835000, 0.0332772000, 0.0181770000, -0.035204300, -0.219166300, -0.815586300", \
"0.0400539000, 0.0382423000, 0.0329340000, 0.0179101000, -0.035325700, -0.219230900, -0.815850700", \
"0.0397343000, 0.0380156000, 0.0327456000, 0.0176554000, -0.035706700, -0.219644400, -0.816036100", \
"0.0395351000, 0.0377482000, 0.0324621000, 0.0173349000, -0.036066300, -0.219966400, -0.816474600", \
"0.0391355000, 0.0373593000, 0.0320564000, 0.0170511000, -0.036413000, -0.220297500, -0.816737200", \
"0.0405927000, 0.0388001000, 0.0332952000, 0.0179491000, -0.035672000, -0.219616800, -0.815971600", \
"0.0531150000, 0.0509894000, 0.0446231000, 0.0255108000, -0.033456900, -0.218059500, -0.814490000");
}
related_pin : "B2";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015998200, 0.0051188490, 0.0163784700, 0.0524052200, 0.1676779000, 0.5365088000");
values("0.0308567000, 0.0327835000, 0.0390184000, 0.0585138000, 0.1175976000, 0.3026992000, 0.8956743000", \
"0.0308621000, 0.0327897000, 0.0390669000, 0.0584977000, 0.1176537000, 0.3030899000, 0.8952564000", \
"0.0307657000, 0.0326820000, 0.0388490000, 0.0583100000, 0.1175007000, 0.3029111000, 0.8901727000", \
"0.0303975000, 0.0323087000, 0.0384963000, 0.0578146000, 0.1168637000, 0.3024753000, 0.8946058000", \
"0.0300892000, 0.0319176000, 0.0379877000, 0.0569514000, 0.1159969000, 0.3016563000, 0.8966604000", \
"0.0312110000, 0.0329692000, 0.0386857000, 0.0571847000, 0.1155186000, 0.3000721000, 0.8926748000", \
"0.0333859000, 0.0350250000, 0.0405285000, 0.0585219000, 0.1172163000, 0.3017095000, 0.8929161000");
}
}
max_capacitance : 0.5365090000;
max_transition : 1.5014820000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
values("0.2416561000, 0.2462427000, 0.2580539000, 0.2842765000, 0.3385462000, 0.4578538000, 0.7790916000", \
"0.2473248000, 0.2519134000, 0.2637716000, 0.2900513000, 0.3442046000, 0.4636069000, 0.7846774000", \
"0.2598859000, 0.2644685000, 0.2762517000, 0.3025166000, 0.3565060000, 0.4760202000, 0.7972008000", \
"0.2892658000, 0.2938495000, 0.3056414000, 0.3318431000, 0.3856349000, 0.5054288000, 0.8263091000", \
"0.3527044000, 0.3572154000, 0.3690483000, 0.3951287000, 0.4492522000, 0.5690736000, 0.8903359000", \
"0.4855028000, 0.4903440000, 0.5028156000, 0.5301869000, 0.5855470000, 0.7060894000, 1.0274767000", \
"0.7219137000, 0.7276318000, 0.7420104000, 0.7738717000, 0.8369313000, 0.9664460000, 1.2909560000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
values("0.1430799000, 0.1488374000, 0.1637321000, 0.1983809000, 0.2799113000, 0.5060163000, 1.2133828000", \
"0.1466550000, 0.1523993000, 0.1673253000, 0.2019363000, 0.2835891000, 0.5092397000, 1.2176424000", \
"0.1555708000, 0.1613320000, 0.1762867000, 0.2108645000, 0.2924821000, 0.5182105000, 1.2265018000", \
"0.1778492000, 0.1835589000, 0.1984953000, 0.2331538000, 0.3147882000, 0.5402582000, 1.2513456000", \
"0.2271172000, 0.2328971000, 0.2478252000, 0.2825618000, 0.3641053000, 0.5896944000, 1.2983088000", \
"0.2994378000, 0.3058670000, 0.3221790000, 0.3586358000, 0.4419220000, 0.6690458000, 1.3785415000", \
"0.3729763000, 0.3808916000, 0.4011399000, 0.4445302000, 0.5321041000, 0.7600154000, 1.4687367000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
values("0.0323322000, 0.0347718000, 0.0426408000, 0.0597220000, 0.1041847000, 0.2293872000, 0.6398706000", \
"0.0323309000, 0.0347720000, 0.0422523000, 0.0600065000, 0.1043010000, 0.2297711000, 0.6386693000", \
"0.0321213000, 0.0348636000, 0.0421920000, 0.0603689000, 0.1043139000, 0.2293669000, 0.6388038000", \
"0.0321028000, 0.0348794000, 0.0422345000, 0.0601940000, 0.1036255000, 0.2298274000, 0.6405084000", \
"0.0321643000, 0.0350863000, 0.0424237000, 0.0604573000, 0.1041656000, 0.2296639000, 0.6396775000", \
"0.0355747000, 0.0384926000, 0.0459177000, 0.0632207000, 0.1070741000, 0.2302070000, 0.6402187000", \
"0.0466174000, 0.0493651000, 0.0576041000, 0.0765896000, 0.1216372000, 0.2436988000, 0.6450389000");
}
related_pin : "A1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
values("0.0341971000, 0.0381731000, 0.0489996000, 0.0798409000, 0.1724192000, 0.4821035000, 1.4970271000", \
"0.0343232000, 0.0381949000, 0.0492962000, 0.0799025000, 0.1723925000, 0.4818635000, 1.4998266000", \
"0.0342000000, 0.0381081000, 0.0491956000, 0.0798715000, 0.1724526000, 0.4819005000, 1.4989029000", \
"0.0342606000, 0.0379323000, 0.0489689000, 0.0797043000, 0.1719123000, 0.4815594000, 1.5011744000", \
"0.0350193000, 0.0388211000, 0.0495338000, 0.0802542000, 0.1726920000, 0.4817421000, 1.5006497000", \
"0.0426890000, 0.0460593000, 0.0562114000, 0.0854368000, 0.1762620000, 0.4831949000, 1.4995554000", \
"0.0567031000, 0.0609340000, 0.0725126000, 0.1008409000, 0.1834747000, 0.4858817000, 1.4938366000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
values("0.2643898000, 0.2691908000, 0.2814375000, 0.3082238000, 0.3623996000, 0.4826037000, 0.8043524000", \
"0.2694225000, 0.2742227000, 0.2864641000, 0.3131558000, 0.3677345000, 0.4878720000, 0.8098181000", \
"0.2815997000, 0.2864161000, 0.2987301000, 0.3253757000, 0.3802378000, 0.4998688000, 0.8215947000", \
"0.3101980000, 0.3150295000, 0.3272696000, 0.3539822000, 0.4086583000, 0.5284272000, 0.8501921000", \
"0.3702127000, 0.3749081000, 0.3871447000, 0.4138957000, 0.4685329000, 0.5885578000, 0.9104654000", \
"0.4966444000, 0.5016977000, 0.5145908000, 0.5422808000, 0.5970947000, 0.7184672000, 1.0403780000", \
"0.7199218000, 0.7258730000, 0.7408728000, 0.7728229000, 0.8351794000, 0.9642122000, 1.2902543000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
values("0.1538872000, 0.1595954000, 0.1745014000, 0.2091492000, 0.2906290000, 0.5157426000, 1.2260749000", \
"0.1578754000, 0.1636371000, 0.1785452000, 0.2131689000, 0.2946896000, 0.5200665000, 1.2286653000", \
"0.1669053000, 0.1726407000, 0.1875931000, 0.2221984000, 0.3036428000, 0.5294954000, 1.2372778000", \
"0.1874194000, 0.1931540000, 0.2080497000, 0.2426399000, 0.3240941000, 0.5498445000, 1.2578590000", \
"0.2321897000, 0.2379923000, 0.2529904000, 0.2877840000, 0.3693243000, 0.5953603000, 1.3032939000", \
"0.3040567000, 0.3105145000, 0.3270377000, 0.3638126000, 0.4475706000, 0.6744850000, 1.3835353000", \
"0.3853828000, 0.3931788000, 0.4130881000, 0.4561596000, 0.5448453000, 0.7731076000, 1.4808901000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
values("0.0343128000, 0.0371845000, 0.0447289000, 0.0619814000, 0.1056352000, 0.2301390000, 0.6409913000", \
"0.0343036000, 0.0371861000, 0.0439876000, 0.0617079000, 0.1042750000, 0.2304438000, 0.6389216000", \
"0.0342102000, 0.0370025000, 0.0445649000, 0.0612175000, 0.1051965000, 0.2300632000, 0.6404460000", \
"0.0343341000, 0.0371531000, 0.0443795000, 0.0612429000, 0.1051863000, 0.2301268000, 0.6407875000", \
"0.0342630000, 0.0374855000, 0.0441806000, 0.0615644000, 0.1055195000, 0.2301601000, 0.6399409000", \
"0.0373561000, 0.0403627000, 0.0473919000, 0.0640855000, 0.1076332000, 0.2311983000, 0.6409986000", \
"0.0471229000, 0.0503705000, 0.0584895000, 0.0765587000, 0.1204550000, 0.2425490000, 0.6440075000");
}
related_pin : "A2";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
values("0.0341657000, 0.0378577000, 0.0492545000, 0.0798146000, 0.1721292000, 0.4817140000, 1.5013508000", \
"0.0340808000, 0.0381068000, 0.0491088000, 0.0797889000, 0.1724035000, 0.4811034000, 1.4997610000", \
"0.0342335000, 0.0381648000, 0.0491854000, 0.0799000000, 0.1723064000, 0.4819026000, 1.4985477000", \
"0.0341093000, 0.0381019000, 0.0492214000, 0.0798392000, 0.1724571000, 0.4818116000, 1.4997278000", \
"0.0351337000, 0.0389628000, 0.0499733000, 0.0801321000, 0.1726785000, 0.4811606000, 1.4984950000", \
"0.0407356000, 0.0446320000, 0.0556196000, 0.0853132000, 0.1757977000, 0.4827691000, 1.4998457000", \
"0.0536338000, 0.0582029000, 0.0696358000, 0.0984033000, 0.1840911000, 0.4856254000, 1.4933028000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
values("0.2759154000, 0.2808505000, 0.2933095000, 0.3202566000, 0.3752439000, 0.4951263000, 0.8178240000", \
"0.2810306000, 0.2859393000, 0.2984021000, 0.3255012000, 0.3803582000, 0.5004517000, 0.8224548000", \
"0.2939344000, 0.2988399000, 0.3113105000, 0.3383808000, 0.3928452000, 0.5131651000, 0.8355441000", \
"0.3227266000, 0.3276244000, 0.3401843000, 0.3671034000, 0.4219501000, 0.5420564000, 0.8647754000", \
"0.3816092000, 0.3865656000, 0.3990432000, 0.4259955000, 0.4806829000, 0.6012326000, 0.9236280000", \
"0.5045989000, 0.5096064000, 0.5226957000, 0.5502771000, 0.6056314000, 0.7264793000, 1.0491783000", \
"0.7246420000, 0.7303842000, 0.7454022000, 0.7770402000, 0.8387691000, 0.9669172000, 1.2928650000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
values("0.1581201000, 0.1638444000, 0.1787613000, 0.2133777000, 0.2948544000, 0.5198452000, 1.2276802000", \
"0.1619187000, 0.1676411000, 0.1825799000, 0.2172446000, 0.2987025000, 0.5235446000, 1.2334083000", \
"0.1692836000, 0.1750500000, 0.1899610000, 0.2246173000, 0.3060277000, 0.5315409000, 1.2390131000", \
"0.1840420000, 0.1897918000, 0.2047301000, 0.2393195000, 0.3207085000, 0.5462919000, 1.2535850000", \
"0.2143597000, 0.2202101000, 0.2353865000, 0.2701561000, 0.3518360000, 0.5772876000, 1.2851795000", \
"0.2642422000, 0.2705509000, 0.2867526000, 0.3235054000, 0.4073127000, 0.6340066000, 1.3423861000", \
"0.3229904000, 0.3303442000, 0.3492312000, 0.3906589000, 0.4788823000, 0.7076381000, 1.4147957000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
values("0.0356227000, 0.0384083000, 0.0462411000, 0.0623723000, 0.1061212000, 0.2312433000, 0.6423874000", \
"0.0356204000, 0.0384640000, 0.0452858000, 0.0631626000, 0.1064278000, 0.2309664000, 0.6430431000", \
"0.0357441000, 0.0387079000, 0.0453934000, 0.0624631000, 0.1065464000, 0.2312919000, 0.6422138000", \
"0.0356015000, 0.0386347000, 0.0460684000, 0.0623591000, 0.1062078000, 0.2311442000, 0.6424422000", \
"0.0355463000, 0.0383452000, 0.0458940000, 0.0623312000, 0.1063414000, 0.2311426000, 0.6429577000", \
"0.0381116000, 0.0413987000, 0.0479860000, 0.0648253000, 0.1078615000, 0.2318818000, 0.6435458000", \
"0.0469908000, 0.0506152000, 0.0583839000, 0.0762027000, 0.1196985000, 0.2418897000, 0.6457597000");
}
related_pin : "A3";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
values("0.0341320000, 0.0382984000, 0.0490482000, 0.0797596000, 0.1723459000, 0.4811385000, 1.4979705000", \
"0.0343032000, 0.0380307000, 0.0490383000, 0.0798030000, 0.1723596000, 0.4817029000, 1.5014824000", \
"0.0341055000, 0.0381672000, 0.0490682000, 0.0799159000, 0.1724084000, 0.4819113000, 1.4986073000", \
"0.0342832000, 0.0381032000, 0.0491219000, 0.0798926000, 0.1724256000, 0.4819449000, 1.4981253000", \
"0.0353769000, 0.0388659000, 0.0497296000, 0.0804273000, 0.1724447000, 0.4819224000, 1.5002024000", \
"0.0389614000, 0.0427584000, 0.0542844000, 0.0847151000, 0.1757666000, 0.4820616000, 1.4993450000", \
"0.0489755000, 0.0531112000, 0.0646945000, 0.0953690000, 0.1827642000, 0.4849585000, 1.4973804000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
values("0.2218537000, 0.2266124000, 0.2388036000, 0.2654438000, 0.3201834000, 0.4402634000, 0.7627749000", \
"0.2261330000, 0.2308938000, 0.2430931000, 0.2697410000, 0.3244753000, 0.4444941000, 0.7668950000", \
"0.2364402000, 0.2411782000, 0.2529904000, 0.2796088000, 0.3338793000, 0.4543163000, 0.7765164000", \
"0.2629673000, 0.2677205000, 0.2799118000, 0.3065389000, 0.3610904000, 0.4814359000, 0.8038041000", \
"0.3295710000, 0.3342906000, 0.3463728000, 0.3730869000, 0.4277208000, 0.5481473000, 0.8705446000", \
"0.4849878000, 0.4901369000, 0.5031560000, 0.5306400000, 0.5857735000, 0.7065230000, 1.0289363000", \
"0.7454241000, 0.7519714000, 0.7689583000, 0.8040995000, 0.8682788000, 0.9950858000, 1.3223154000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
values("0.0898754000, 0.0951194000, 0.1088585000, 0.1408565000, 0.2179114000, 0.4411974000, 1.1510239000", \
"0.0942457000, 0.0994882000, 0.1132041000, 0.1451952000, 0.2222584000, 0.4456169000, 1.1522436000", \
"0.1045682000, 0.1098164000, 0.1235591000, 0.1554858000, 0.2325546000, 0.4554602000, 1.1645449000", \
"0.1280423000, 0.1332705000, 0.1469295000, 0.1786361000, 0.2557436000, 0.4792557000, 1.1842717000", \
"0.1664695000, 0.1723183000, 0.1870561000, 0.2202328000, 0.2982614000, 0.5218901000, 1.2324310000", \
"0.2115545000, 0.2190898000, 0.2375621000, 0.2750134000, 0.3560418000, 0.5805034000, 1.2912605000", \
"0.2428068000, 0.2523992000, 0.2762938000, 0.3241185000, 0.4140256000, 0.6393264000, 1.3460904000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
values("0.0344588000, 0.0372409000, 0.0444427000, 0.0613961000, 0.1053996000, 0.2305710000, 0.6411706000", \
"0.0342966000, 0.0370466000, 0.0447007000, 0.0616273000, 0.1056650000, 0.2305510000, 0.6408770000", \
"0.0344490000, 0.0373135000, 0.0444956000, 0.0622205000, 0.1060036000, 0.2304156000, 0.6420589000", \
"0.0342539000, 0.0370341000, 0.0443671000, 0.0616676000, 0.1057710000, 0.2305137000, 0.6413757000", \
"0.0346889000, 0.0374502000, 0.0448697000, 0.0624320000, 0.1057409000, 0.2311226000, 0.6415356000", \
"0.0394243000, 0.0422671000, 0.0499031000, 0.0652788000, 0.1074845000, 0.2313920000, 0.6420229000", \
"0.0586342000, 0.0622275000, 0.0700463000, 0.0872188000, 0.1256647000, 0.2434379000, 0.6470253000");
}
related_pin : "B1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
values("0.0279339000, 0.0319919000, 0.0427107000, 0.0715719000, 0.1638420000, 0.4769756000, 1.4977037000", \
"0.0279667000, 0.0318963000, 0.0424901000, 0.0715665000, 0.1638064000, 0.4762085000, 1.4930942000", \
"0.0278589000, 0.0318126000, 0.0426424000, 0.0717922000, 0.1640733000, 0.4755537000, 1.4988193000", \
"0.0281585000, 0.0321818000, 0.0428385000, 0.0719886000, 0.1641648000, 0.4761013000, 1.4975745000", \
"0.0337627000, 0.0376067000, 0.0479663000, 0.0753742000, 0.1657603000, 0.4760543000, 1.4962469000", \
"0.0473925000, 0.0512380000, 0.0607856000, 0.0854015000, 0.1707236000, 0.4780676000, 1.4945316000", \
"0.0678609000, 0.0724745000, 0.0844264000, 0.1085634000, 0.1840091000, 0.4801596000, 1.4896590000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
values("0.2365798000, 0.2415000000, 0.2540553000, 0.2809845000, 0.3360194000, 0.4566215000, 0.7791627000", \
"0.2403787000, 0.2452901000, 0.2577697000, 0.2848572000, 0.3393468000, 0.4599661000, 0.7824662000", \
"0.2509123000, 0.2558509000, 0.2683517000, 0.2953403000, 0.3504048000, 0.4708046000, 0.7936601000", \
"0.2782403000, 0.2831815000, 0.2956977000, 0.3226238000, 0.3775488000, 0.4979285000, 0.8211837000", \
"0.3437761000, 0.3486935000, 0.3611772000, 0.3882687000, 0.4429034000, 0.5639100000, 0.8863565000", \
"0.4963432000, 0.5017700000, 0.5147052000, 0.5423955000, 0.5974141000, 0.7186124000, 1.0416122000", \
"0.7537443000, 0.7605337000, 0.7776396000, 0.8128169000, 0.8759141000, 1.0020723000, 1.3285030000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
values("0.0957302000, 0.1009796000, 0.1147306000, 0.1467346000, 0.2237388000, 0.4468995000, 1.1549355000", \
"0.1004188000, 0.1056641000, 0.1194187000, 0.1514073000, 0.2285283000, 0.4518373000, 1.1573265000", \
"0.1098388000, 0.1151086000, 0.1288851000, 0.1607783000, 0.2379867000, 0.4612408000, 1.1664533000", \
"0.1298354000, 0.1350640000, 0.1487381000, 0.1806019000, 0.2577045000, 0.4811979000, 1.1856518000", \
"0.1650038000, 0.1706827000, 0.1852445000, 0.2182133000, 0.2961244000, 0.5190788000, 1.2310265000", \
"0.2107620000, 0.2176963000, 0.2349537000, 0.2715684000, 0.3524109000, 0.5762777000, 1.2863000000", \
"0.2478312000, 0.2568249000, 0.2793485000, 0.3247997000, 0.4133104000, 0.6392684000, 1.3451318000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
values("0.0357644000, 0.0386644000, 0.0461774000, 0.0624079000, 0.1060586000, 0.2308585000, 0.6426437000", \
"0.0357499000, 0.0386741000, 0.0453791000, 0.0632610000, 0.1067183000, 0.2311186000, 0.6426344000", \
"0.0357526000, 0.0385879000, 0.0458842000, 0.0623599000, 0.1060147000, 0.2310135000, 0.6421095000", \
"0.0357294000, 0.0383714000, 0.0453791000, 0.0627917000, 0.1063421000, 0.2310926000, 0.6422176000", \
"0.0357727000, 0.0387133000, 0.0452790000, 0.0628846000, 0.1064720000, 0.2305456000, 0.6432848000", \
"0.0397560000, 0.0424652000, 0.0493480000, 0.0650028000, 0.1077454000, 0.2320846000, 0.6421599000", \
"0.0584725000, 0.0616108000, 0.0699732000, 0.0863652000, 0.1234758000, 0.2414813000, 0.6470851000");
}
related_pin : "B2";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000");
values("0.0279260000, 0.0319952000, 0.0426704000, 0.0715666000, 0.1639796000, 0.4772453000, 1.4989895000", \
"0.0279965000, 0.0319768000, 0.0426993000, 0.0716012000, 0.1639619000, 0.4762466000, 1.4972055000", \
"0.0279001000, 0.0319305000, 0.0424887000, 0.0716576000, 0.1640528000, 0.4762471000, 1.4960497000", \
"0.0281581000, 0.0321059000, 0.0429211000, 0.0717690000, 0.1640993000, 0.4759375000, 1.4976670000", \
"0.0318366000, 0.0356293000, 0.0465338000, 0.0743421000, 0.1650793000, 0.4768403000, 1.4978638000", \
"0.0415961000, 0.0457220000, 0.0560170000, 0.0826538000, 0.1699200000, 0.4772506000, 1.4949740000", \
"0.0594015000, 0.0648303000, 0.0766495000, 0.1015189000, 0.1810019000, 0.4805714000, 1.4909654000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
/* removed sky130_fd_sc_hd__a32oi_1 */
/* removed sky130_fd_sc_hd__a32oi_2 */
cell ("sky130_fd_sc_hd__a32oi_4") {
leakage_power () {
value : 0.0020017000;
when : "!A1&!A2&!A3&!B1&B2";
}
leakage_power () {
value : 0.0002656000;
when : "!A1&!A2&!A3&!B1&!B2";
}
leakage_power () {
value : 0.0051337000;
when : "!A1&!A2&!A3&B1&B2";
}
leakage_power () {
value : 0.0015811000;
when : "!A1&!A2&!A3&B1&!B2";
}
leakage_power () {
value : 0.0020858000;
when : "!A1&!A2&A3&!B1&B2";
}
leakage_power () {
value : 0.0003500000;
when : "!A1&!A2&A3&!B1&!B2";
}
leakage_power () {
value : 0.0051337000;
when : "!A1&!A2&A3&B1&B2";
}
leakage_power () {
value : 0.0016654000;
when : "!A1&!A2&A3&B1&!B2";
}
leakage_power () {
value : 0.0020610000;
when : "!A1&A2&!A3&!B1&B2";
}
leakage_power () {
value : 0.0003247000;
when : "!A1&A2&!A3&!B1&!B2";
}
leakage_power () {
value : 0.0051337000;
when : "!A1&A2&!A3&B1&B2";
}
leakage_power () {
value : 0.0016402000;
when : "!A1&A2&!A3&B1&!B2";
}
leakage_power () {
value : 0.0038377000;
when : "!A1&A2&A3&!B1&B2";
}
leakage_power () {
value : 0.0020941000;
when : "!A1&A2&A3&!B1&!B2";
}
leakage_power () {
value : 0.0051337000;
when : "!A1&A2&A3&B1&B2";
}
leakage_power () {
value : 0.0034103000;
when : "!A1&A2&A3&B1&!B2";
}
leakage_power () {
value : 0.0020858000;
when : "A1&!A2&!A3&!B1&B2";
}
leakage_power () {
value : 0.0003434000;
when : "A1&!A2&!A3&!B1&!B2";
}
leakage_power () {
value : 0.0051337000;
when : "A1&!A2&!A3&B1&B2";
}
leakage_power () {
value : 0.0016653000;
when : "A1&!A2&!A3&B1&!B2";
}
leakage_power () {
value : 0.0034103000;
when : "A1&!A2&A3&!B1&B2";
}
leakage_power () {
value : 0.0016713000;
when : "A1&!A2&A3&!B1&!B2";
}
leakage_power () {
value : 0.0051337000;
when : "A1&!A2&A3&B1&B2";
}
leakage_power () {
value : 0.0029897000;
when : "A1&!A2&A3&B1&!B2";
}
leakage_power () {
value : 0.0031021000;
when : "A1&A2&!A3&!B1&B2";
}
leakage_power () {
value : 0.0013641000;
when : "A1&A2&!A3&!B1&!B2";
}
leakage_power () {
value : 0.0051337000;
when : "A1&A2&!A3&B1&B2";
}
leakage_power () {
value : 0.0026885000;
when : "A1&A2&!A3&B1&!B2";
}
leakage_power () {
value : 0.0039808000;
when : "A1&A2&A3&!B1&B2";
}
leakage_power () {
value : 0.0041797000;
when : "A1&A2&A3&!B1&!B2";
}
leakage_power () {
value : 0.0004544000;
when : "A1&A2&A3&B1&B2";
}
leakage_power () {
value : 0.0041240000;
when : "A1&A2&A3&B1&!B2";
}
area : 27.526400000;
cell_footprint : "sky130_fd_sc_hd__a32oi";
cell_leakage_power : 0.0027910300;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A1") {
capacitance : 0.0083290000;
clock : "false";
direction : "input";
fall_capacitance : 0.0081180000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0180689000, 0.0180753000, 0.0180902000, 0.0180910000, 0.0180930000, 0.0180975000, 0.0181080000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.012790900, -0.012801500, -0.012825800, -0.012795500, -0.012725800, -0.012565000, -0.012194500");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0085390000;
}
pin ("A2") {
capacitance : 0.0082260000;
clock : "false";
direction : "input";
fall_capacitance : 0.0079160000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0170436000, 0.0170463000, 0.0170524000, 0.0171143000, 0.0172572000, 0.0175865000, 0.0183456000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.015580600, -0.015563100, -0.015522800, -0.015520600, -0.015515700, -0.015504200, -0.015477700");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0085360000;
}
pin ("A3") {
capacitance : 0.0085060000;
clock : "false";
direction : "input";
fall_capacitance : 0.0080340000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0156261000, 0.0156256000, 0.0156245000, 0.0156310000, 0.0156461000, 0.0156809000, 0.0157611000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.015626000, -0.015623500, -0.015617800, -0.015605700, -0.015578000, -0.015514200, -0.015367000");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0089780000;
}
pin ("B1") {
capacitance : 0.0082430000;
clock : "false";
direction : "input";
fall_capacitance : 0.0077540000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0179179000, 0.0179139000, 0.0179046000, 0.0179095000, 0.0179207000, 0.0179466000, 0.0180062000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.013000400, -0.013013200, -0.013042600, -0.013013900, -0.012947800, -0.012795500, -0.012444500");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0087320000;
}
pin ("B2") {
capacitance : 0.0084790000;
clock : "false";
direction : "input";
fall_capacitance : 0.0077930000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0158960000, 0.0158818000, 0.0158489000, 0.0158494000, 0.0158507000, 0.0158537000, 0.0158606000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.015838800, -0.015829300, -0.015807500, -0.015806300, -0.015803800, -0.015797800, -0.015784100");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0091650000;
}
pin ("Y") {
direction : "output";
function : "(!A1&!B1) | (!A1&!B2) | (!A2&!B1) | (!A3&!B1) | (!A2&!B2) | (!A3&!B2)";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0014103010, 0.0039778980, 0.0112200700, 0.0316473500, 0.0892646000, 0.2517799000");
values("0.0278766000, 0.0264201000, 0.0222980000, 0.0109070000, -0.021786800, -0.114684400, -0.377348600", \
"0.0275289000, 0.0260537000, 0.0219530000, 0.0104810000, -0.022150900, -0.115003200, -0.377570500", \
"0.0268871000, 0.0254520000, 0.0214726000, 0.0100793000, -0.022487800, -0.115302300, -0.377778600", \
"0.0260353000, 0.0246087000, 0.0206181000, 0.0092055000, -0.023207700, -0.115737000, -0.378136800", \
"0.0252271000, 0.0238025000, 0.0197467000, 0.0084272000, -0.023928100, -0.116042800, -0.378226900", \
"0.0253285000, 0.0238487000, 0.0196637000, 0.0079230000, -0.024711500, -0.116796200, -0.378893300", \
"0.0289701000, 0.0274419000, 0.0231762000, 0.0113162000, -0.022496500, -0.116512700, -0.378185300");
}
related_pin : "A1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0014103010, 0.0039778980, 0.0112200700, 0.0316473500, 0.0892646000, 0.2517799000");
values("0.0410125000, 0.0425153000, 0.0467475000, 0.0586282000, 0.0917013000, 0.1839724000, 0.4439377000", \
"0.0405943000, 0.0421112000, 0.0464076000, 0.0584278000, 0.0916548000, 0.1841829000, 0.4441066000", \
"0.0397363000, 0.0412736000, 0.0456023000, 0.0577566000, 0.0912575000, 0.1840801000, 0.4442834000", \
"0.0386007000, 0.0401267000, 0.0444189000, 0.0565431000, 0.0903480000, 0.1837342000, 0.4441581000", \
"0.0377004000, 0.0391902000, 0.0434548000, 0.0553810000, 0.0889233000, 0.1824561000, 0.4433356000", \
"0.0374857000, 0.0391039000, 0.0432051000, 0.0551072000, 0.0883531000, 0.1813678000, 0.4423273000", \
"0.0366890000, 0.0381412000, 0.0422750000, 0.0544668000, 0.0882858000, 0.1811266000, 0.4419393000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0014103010, 0.0039778980, 0.0112200700, 0.0316473500, 0.0892646000, 0.2517799000");
values("0.0307081000, 0.0292893000, 0.0252044000, 0.0136874000, -0.019107800, -0.111937000, -0.374439200", \
"0.0303897000, 0.0289353000, 0.0247893000, 0.0132089000, -0.019365100, -0.112178000, -0.374758500", \
"0.0298725000, 0.0284395000, 0.0243794000, 0.0129363000, -0.019752000, -0.112549300, -0.375083500", \
"0.0291630000, 0.0277158000, 0.0236573000, 0.0121711000, -0.020304700, -0.112898800, -0.375339400", \
"0.0284397000, 0.0270150000, 0.0229908000, 0.0116320000, -0.020778100, -0.113087400, -0.375272200", \
"0.0282484000, 0.0267933000, 0.0224719000, 0.0109428000, -0.021590700, -0.113838900, -0.375819300", \
"0.0303459000, 0.0288439000, 0.0246433000, 0.0127830000, -0.020512200, -0.113992200, -0.375966000");
}
related_pin : "A2";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0014103010, 0.0039778980, 0.0112200700, 0.0316473500, 0.0892646000, 0.2517799000");
values("0.0544658000, 0.0559562000, 0.0599835000, 0.0717614000, 0.1048586000, 0.1973518000, 0.4571095000", \
"0.0540045000, 0.0555083000, 0.0595638000, 0.0714129000, 0.1045284000, 0.1970975000, 0.4574470000", \
"0.0530197000, 0.0545277000, 0.0588307000, 0.0707566000, 0.1040973000, 0.1968323000, 0.4571198000", \
"0.0520749000, 0.0534723000, 0.0577903000, 0.0697466000, 0.1032137000, 0.1961499000, 0.4566939000", \
"0.0510458000, 0.0525347000, 0.0568070000, 0.0686036000, 0.1021390000, 0.1952136000, 0.4558781000", \
"0.0505036000, 0.0519561000, 0.0562282000, 0.0681005000, 0.1010342000, 0.1941495000, 0.4550029000", \
"0.0488229000, 0.0503015000, 0.0545728000, 0.0671363000, 0.1003978000, 0.1935604000, 0.4535081000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0014103010, 0.0039778980, 0.0112200700, 0.0316473500, 0.0892646000, 0.2517799000");
values("0.0302688000, 0.0288083000, 0.0247579000, 0.0131676000, -0.019475300, -0.112361000, -0.374933900", \
"0.0299341000, 0.0284707000, 0.0243305000, 0.0127557000, -0.019839300, -0.112678800, -0.375234700", \
"0.0294092000, 0.0279650000, 0.0239288000, 0.0123518000, -0.020262300, -0.112944100, -0.375520300", \
"0.0287223000, 0.0272943000, 0.0231942000, 0.0117371000, -0.020738500, -0.113302900, -0.375759300", \
"0.0282017000, 0.0267667000, 0.0226755000, 0.0112398000, -0.021160900, -0.113587200, -0.375843200", \
"0.0282989000, 0.0268208000, 0.0226803000, 0.0109056000, -0.021806300, -0.114261600, -0.376347000", \
"0.0298117000, 0.0283509000, 0.0241266000, 0.0122734000, -0.020800300, -0.114381500, -0.376567200");
}
related_pin : "A3";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0014103010, 0.0039778980, 0.0112200700, 0.0316473500, 0.0892646000, 0.2517799000");
values("0.0656566000, 0.0671949000, 0.0711356000, 0.0829324000, 0.1160739000, 0.2086735000, 0.4690819000", \
"0.0652911000, 0.0666609000, 0.0707460000, 0.0825732000, 0.1157552000, 0.2083764000, 0.4687773000", \
"0.0646514000, 0.0663538000, 0.0703813000, 0.0822300000, 0.1154985000, 0.2082918000, 0.4683546000", \
"0.0641798000, 0.0656163000, 0.0700869000, 0.0817687000, 0.1149867000, 0.2077813000, 0.4685010000", \
"0.0637448000, 0.0652511000, 0.0694875000, 0.0812767000, 0.1144962000, 0.2072476000, 0.4680689000", \
"0.0636562000, 0.0651908000, 0.0694741000, 0.0812847000, 0.1142260000, 0.2071672000, 0.4676047000", \
"0.0626060000, 0.0640273000, 0.0684984000, 0.0809993000, 0.1143476000, 0.2071161000, 0.4675350000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0014103010, 0.0039778980, 0.0112200700, 0.0316473500, 0.0892646000, 0.2517799000");
values("0.0102263000, 0.0088686000, 0.0049933000, -0.006373800, -0.039200700, -0.132401000, -0.395612800", \
"0.0096843000, 0.0083805000, 0.0046204000, -0.006519800, -0.039082800, -0.132124400, -0.395284700", \
"0.0089692000, 0.0076993000, 0.0039742000, -0.006955200, -0.039174200, -0.131924600, -0.394938800", \
"0.0081225000, 0.0068616000, 0.0031360000, -0.007790500, -0.039708900, -0.132074100, -0.394845200", \
"0.0079151000, 0.0065004000, 0.0025781000, -0.008374000, -0.040394300, -0.132533900, -0.394987800", \
"0.0092460000, 0.0077176000, 0.0034984000, -0.008182800, -0.040718900, -0.133321700, -0.395526400", \
"0.0132181000, 0.0115731000, 0.0069952000, -0.005371200, -0.039320600, -0.131611200, -0.395698600");
}
related_pin : "B1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0014103010, 0.0039778980, 0.0112200700, 0.0316473500, 0.0892646000, 0.2517799000");
values("0.0397343000, 0.0414025000, 0.0458187000, 0.0579274000, 0.0914463000, 0.1844203000, 0.4445760000", \
"0.0391156000, 0.0406920000, 0.0451554000, 0.0572473000, 0.0910800000, 0.1839581000, 0.4442736000", \
"0.0380187000, 0.0396739000, 0.0441225000, 0.0562301000, 0.0902209000, 0.1836820000, 0.4443456000", \
"0.0372774000, 0.0388756000, 0.0431924000, 0.0550595000, 0.0890679000, 0.1826366000, 0.4439148000", \
"0.0372482000, 0.0387278000, 0.0429049000, 0.0547830000, 0.0879884000, 0.1812268000, 0.4429036000", \
"0.0378416000, 0.0393090000, 0.0434913000, 0.0552754000, 0.0885517000, 0.1809267000, 0.4417179000", \
"0.0418625000, 0.0432153000, 0.0471429000, 0.0582656000, 0.0905266000, 0.1808693000, 0.4405905000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0014103010, 0.0039778980, 0.0112200700, 0.0316473500, 0.0892646000, 0.2517799000");
values("0.0132651000, 0.0118955000, 0.0079330000, -0.003528800, -0.036412200, -0.129638400, -0.392910400", \
"0.0127093000, 0.0113932000, 0.0076019000, -0.003613700, -0.036263800, -0.129364900, -0.392545000", \
"0.0118289000, 0.0105485000, 0.0068633000, -0.004070500, -0.036354600, -0.129175800, -0.392232900", \
"0.0108067000, 0.0094992000, 0.0057612000, -0.005030700, -0.036897100, -0.129299400, -0.392090500", \
"0.0107241000, 0.0093482000, 0.0051328000, -0.006110800, -0.037902800, -0.129823800, -0.392203600", \
"0.0111736000, 0.0097096000, 0.0056337000, -0.005921400, -0.038358000, -0.130832700, -0.392761800", \
"0.0146325000, 0.0130111000, 0.0085786000, -0.003699400, -0.037312600, -0.130475700, -0.393173100");
}
related_pin : "B2";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0014103010, 0.0039778980, 0.0112200700, 0.0316473500, 0.0892646000, 0.2517799000");
values("0.0537850000, 0.0551719000, 0.0594628000, 0.0712795000, 0.1044275000, 0.1972826000, 0.4574210000", \
"0.0529348000, 0.0546709000, 0.0587288000, 0.0707145000, 0.1040372000, 0.1969175000, 0.4574128000", \
"0.0521410000, 0.0537154000, 0.0581955000, 0.0702084000, 0.1037314000, 0.1968372000, 0.4573818000", \
"0.0517419000, 0.0531286000, 0.0574055000, 0.0693654000, 0.1029324000, 0.1964982000, 0.4568732000", \
"0.0512530000, 0.0527690000, 0.0569220000, 0.0688278000, 0.1021283000, 0.1954371000, 0.4564109000", \
"0.0536827000, 0.0551697000, 0.0595200000, 0.0707031000, 0.1033649000, 0.1956224000, 0.4561915000", \
"0.0574036000, 0.0587795000, 0.0627099000, 0.0739175000, 0.1062040000, 0.1978908000, 0.4578756000");
}
}
max_capacitance : 0.2517800000;
max_transition : 1.9155600000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
values("0.0630657000, 0.0654408000, 0.0722250000, 0.0909962000, 0.1402217000, 0.2735502000, 0.6444260000", \
"0.0665302000, 0.0689019000, 0.0757836000, 0.0943391000, 0.1435266000, 0.2772597000, 0.6481833000", \
"0.0752580000, 0.0777904000, 0.0848710000, 0.1034613000, 0.1528853000, 0.2864013000, 0.6578349000", \
"0.0976183000, 0.1000441000, 0.1066723000, 0.1249241000, 0.1747367000, 0.3087677000, 0.6797549000", \
"0.1327838000, 0.1362835000, 0.1457159000, 0.1698431000, 0.2254226000, 0.3590586000, 0.7304173000", \
"0.1714766000, 0.1767238000, 0.1908316000, 0.2261385000, 0.3087844000, 0.4776692000, 0.8513563000", \
"0.1855081000, 0.1933455000, 0.2139552000, 0.2675513000, 0.3926483000, 0.6468102000, 1.1234380000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
values("0.1266089000, 0.1313370000, 0.1444580000, 0.1813859000, 0.2819532000, 0.5625286000, 1.3514893000", \
"0.1317187000, 0.1364690000, 0.1499684000, 0.1869440000, 0.2883845000, 0.5698871000, 1.3586670000", \
"0.1438973000, 0.1486142000, 0.1623210000, 0.1994360000, 0.3017912000, 0.5838810000, 1.3732124000", \
"0.1731464000, 0.1778436000, 0.1917248000, 0.2289467000, 0.3314844000, 0.6148539000, 1.4051470000", \
"0.2368378000, 0.2418173000, 0.2553190000, 0.2920380000, 0.3940128000, 0.6775875000, 1.4704280000", \
"0.3486082000, 0.3548779000, 0.3728046000, 0.4185858000, 0.5323070000, 0.8161334000, 1.6089333000", \
"0.5359468000, 0.5461029000, 0.5733357000, 0.6410683000, 0.7961520000, 1.1308794000, 1.9303530000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
values("0.0577527000, 0.0607191000, 0.0690385000, 0.0926684000, 0.1588076000, 0.3438747000, 0.8675964000", \
"0.0577628000, 0.0606605000, 0.0690083000, 0.0927139000, 0.1585741000, 0.3437919000, 0.8674793000", \
"0.0572097000, 0.0603463000, 0.0688789000, 0.0925058000, 0.1586568000, 0.3440579000, 0.8674069000", \
"0.0607231000, 0.0633508000, 0.0715150000, 0.0938015000, 0.1582614000, 0.3441498000, 0.8679141000", \
"0.0818785000, 0.0854325000, 0.0946505000, 0.1184768000, 0.1751785000, 0.3454305000, 0.8679409000", \
"0.1231947000, 0.1280580000, 0.1405956000, 0.1712885000, 0.2429569000, 0.3989612000, 0.8726148000", \
"0.1988126000, 0.2060646000, 0.2249872000, 0.2707801000, 0.3700002000, 0.5704678000, 1.0067406000");
}
related_pin : "A1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
values("0.0822411000, 0.0883106000, 0.1057198000, 0.1544289000, 0.2912195000, 0.6751363000, 1.7584443000", \
"0.0822301000, 0.0882819000, 0.1058222000, 0.1543742000, 0.2912498000, 0.6771178000, 1.7560209000", \
"0.0823610000, 0.0883258000, 0.1058442000, 0.1545631000, 0.2920006000, 0.6756536000, 1.7566049000", \
"0.0823736000, 0.0885544000, 0.1058972000, 0.1546328000, 0.2913496000, 0.6754648000, 1.7545466000", \
"0.0894775000, 0.0950810000, 0.1111683000, 0.1574837000, 0.2915996000, 0.6748923000, 1.7612699000", \
"0.1225115000, 0.1288143000, 0.1455064000, 0.1914552000, 0.3120153000, 0.6773513000, 1.7550136000", \
"0.2003166000, 0.2077214000, 0.2275378000, 0.2796592000, 0.4099772000, 0.7404953000, 1.7629052000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
values("0.0741493000, 0.0767697000, 0.0835593000, 0.1020553000, 0.1511691000, 0.2848718000, 0.6562631000", \
"0.0783165000, 0.0806582000, 0.0873394000, 0.1060780000, 0.1552259000, 0.2888473000, 0.6600450000", \
"0.0866180000, 0.0892044000, 0.0961517000, 0.1147227000, 0.1640427000, 0.2974340000, 0.6684897000", \
"0.1059908000, 0.1085132000, 0.1153248000, 0.1337805000, 0.1834287000, 0.3174092000, 0.6884195000", \
"0.1395357000, 0.1427290000, 0.1514164000, 0.1738143000, 0.2272597000, 0.3627744000, 0.7360753000", \
"0.1808510000, 0.1854912000, 0.1979486000, 0.2293609000, 0.3028022000, 0.4621385000, 0.8416398000", \
"0.2020230000, 0.2091689000, 0.2283191000, 0.2766700000, 0.3895697000, 0.6198591000, 1.0740526000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
values("0.1672817000, 0.1724475000, 0.1865223000, 0.2246793000, 0.3323867000, 0.6330334000, 1.4786321000", \
"0.1721066000, 0.1770584000, 0.1913127000, 0.2298481000, 0.3379313000, 0.6386243000, 1.4830051000", \
"0.1842831000, 0.1894627000, 0.2030960000, 0.2428649000, 0.3510533000, 0.6524219000, 1.4982323000", \
"0.2134942000, 0.2187805000, 0.2326736000, 0.2723569000, 0.3812585000, 0.6833099000, 1.5330348000", \
"0.2767469000, 0.2814365000, 0.2955551000, 0.3343506000, 0.4432583000, 0.7466389000, 1.5933176000", \
"0.3979528000, 0.4029951000, 0.4208920000, 0.4647612000, 0.5809624000, 0.8833232000, 1.7308945000", \
"0.6089800000, 0.6175745000, 0.6417589000, 0.7025645000, 0.8523728000, 1.1957992000, 2.0470872000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
values("0.0575554000, 0.0605805000, 0.0691004000, 0.0925988000, 0.1586251000, 0.3442561000, 0.8684707000", \
"0.0576427000, 0.0606380000, 0.0689536000, 0.0927303000, 0.1584761000, 0.3443620000, 0.8680608000", \
"0.0573674000, 0.0604000000, 0.0689509000, 0.0923691000, 0.1585739000, 0.3439877000, 0.8675514000", \
"0.0599300000, 0.0627796000, 0.0707367000, 0.0933468000, 0.1582924000, 0.3441448000, 0.8675381000", \
"0.0758581000, 0.0788467000, 0.0872384000, 0.1098382000, 0.1682839000, 0.3451579000, 0.8685843000", \
"0.1146300000, 0.1185688000, 0.1287653000, 0.1545956000, 0.2184952000, 0.3794771000, 0.8706168000", \
"0.1885389000, 0.1940320000, 0.2082562000, 0.2452468000, 0.3278577000, 0.5085881000, 0.9569705000");
}
related_pin : "A2";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
values("0.1144142000, 0.1208270000, 0.1390101000, 0.1917489000, 0.3385895000, 0.7501653000, 1.9114126000", \
"0.1145875000, 0.1207890000, 0.1390320000, 0.1916865000, 0.3384553000, 0.7507847000, 1.9053870000", \
"0.1142566000, 0.1205075000, 0.1393398000, 0.1920321000, 0.3384999000, 0.7489070000, 1.9068571000", \
"0.1140420000, 0.1210246000, 0.1393259000, 0.1915415000, 0.3384132000, 0.7496070000, 1.9097892000", \
"0.1176752000, 0.1240193000, 0.1418895000, 0.1928720000, 0.3386913000, 0.7499905000, 1.9091264000", \
"0.1482071000, 0.1547798000, 0.1728146000, 0.2210891000, 0.3533913000, 0.7503762000, 1.9057771000", \
"0.2258288000, 0.2330775000, 0.2531194000, 0.3070152000, 0.4441484000, 0.8030375000, 1.9155597000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
values("0.0779121000, 0.0804319000, 0.0875148000, 0.1056135000, 0.1549190000, 0.2886099000, 0.6594363000", \
"0.0818014000, 0.0841068000, 0.0909169000, 0.1095809000, 0.1586909000, 0.2922841000, 0.6636789000", \
"0.0888331000, 0.0914208000, 0.0985318000, 0.1168845000, 0.1661536000, 0.2998844000, 0.6709920000", \
"0.1029832000, 0.1055433000, 0.1124803000, 0.1309619000, 0.1803626000, 0.3143191000, 0.6856697000", \
"0.1271460000, 0.1301894000, 0.1375344000, 0.1578698000, 0.2105402000, 0.3453686000, 0.7177897000", \
"0.1605339000, 0.1639826000, 0.1740447000, 0.1998106000, 0.2638474000, 0.4124352000, 0.7890070000", \
"0.1776121000, 0.1834283000, 0.1991179000, 0.2385754000, 0.3296012000, 0.5226431000, 0.9460080000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
values("0.1789357000, 0.1843792000, 0.1983718000, 0.2359599000, 0.3409948000, 0.6321601000, 1.4476842000", \
"0.1846445000, 0.1895201000, 0.2034285000, 0.2408570000, 0.3456534000, 0.6374285000, 1.4532232000", \
"0.1976066000, 0.2017601000, 0.2164060000, 0.2542436000, 0.3589384000, 0.6507277000, 1.4663430000", \
"0.2275338000, 0.2324228000, 0.2447277000, 0.2843176000, 0.3895675000, 0.6811195000, 1.4968901000", \
"0.2874144000, 0.2920739000, 0.3054593000, 0.3435585000, 0.4495730000, 0.7411936000, 1.5575325000", \
"0.4034826000, 0.4092005000, 0.4233074000, 0.4666882000, 0.5777315000, 0.8696167000, 1.6867905000", \
"0.6040872000, 0.6119435000, 0.6327228000, 0.6879160000, 0.8291991000, 1.1581146000, 1.9796800000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
values("0.0575620000, 0.0605556000, 0.0690128000, 0.0926581000, 0.1585032000, 0.3442407000, 0.8675564000", \
"0.0576069000, 0.0604886000, 0.0689377000, 0.0926796000, 0.1584495000, 0.3440454000, 0.8679918000", \
"0.0574685000, 0.0604987000, 0.0689532000, 0.0926209000, 0.1586420000, 0.3443646000, 0.8671929000", \
"0.0590806000, 0.0619066000, 0.0701702000, 0.0931906000, 0.1583072000, 0.3441145000, 0.8674279000", \
"0.0686556000, 0.0719314000, 0.0802626000, 0.1029439000, 0.1650091000, 0.3453841000, 0.8684359000", \
"0.0968441000, 0.1001727000, 0.1085859000, 0.1324772000, 0.1966347000, 0.3680520000, 0.8725299000", \
"0.1615900000, 0.1657499000, 0.1771642000, 0.2061199000, 0.2763627000, 0.4500575000, 0.9287308000");
}
related_pin : "A3";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
values("0.1319592000, 0.1386206000, 0.1558127000, 0.2064446000, 0.3485766000, 0.7486793000, 1.8675359000", \
"0.1321309000, 0.1375626000, 0.1554684000, 0.2064667000, 0.3486608000, 0.7468695000, 1.8711628000", \
"0.1310299000, 0.1383956000, 0.1557712000, 0.2064539000, 0.3486796000, 0.7465225000, 1.8675961000", \
"0.1309379000, 0.1374771000, 0.1563026000, 0.2064442000, 0.3488362000, 0.7486849000, 1.8669539000", \
"0.1342162000, 0.1407284000, 0.1578258000, 0.2073534000, 0.3485236000, 0.7474032000, 1.8677289000", \
"0.1619904000, 0.1681493000, 0.1864357000, 0.2340710000, 0.3644383000, 0.7489770000, 1.8698765000", \
"0.2343623000, 0.2411975000, 0.2612291000, 0.3128327000, 0.4476143000, 0.8042446000, 1.8753150000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
values("0.0228628000, 0.0243698000, 0.0287636000, 0.0400161000, 0.0712259000, 0.1583423000, 0.4035713000", \
"0.0267291000, 0.0282752000, 0.0326503000, 0.0442090000, 0.0756659000, 0.1629010000, 0.4080534000", \
"0.0353045000, 0.0372398000, 0.0425300000, 0.0541318000, 0.0857220000, 0.1734406000, 0.4185534000", \
"0.0453721000, 0.0485177000, 0.0563905000, 0.0737827000, 0.1095528000, 0.1972618000, 0.4419445000", \
"0.0515353000, 0.0562343000, 0.0683358000, 0.0961188000, 0.1509936000, 0.2524491000, 0.4974011000", \
"0.0420613000, 0.0495576000, 0.0676598000, 0.1106520000, 0.1953875000, 0.3509191000, 0.6251089000", \
"-0.013524200, -0.002595800, 0.0241975000, 0.0899586000, 0.2233417000, 0.4613413000, 0.8736000000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
values("0.1136998000, 0.1186065000, 0.1340197000, 0.1737656000, 0.2804877000, 0.5744935000, 1.3979263000", \
"0.1173851000, 0.1229418000, 0.1373077000, 0.1769244000, 0.2847414000, 0.5796778000, 1.4024243000", \
"0.1270502000, 0.1316467000, 0.1468616000, 0.1860706000, 0.2948323000, 0.5906068000, 1.4142829000", \
"0.1540890000, 0.1595307000, 0.1735387000, 0.2115879000, 0.3200727000, 0.6169341000, 1.4419161000", \
"0.2212308000, 0.2271368000, 0.2421603000, 0.2799719000, 0.3846605000, 0.6833645000, 1.5107473000", \
"0.3518417000, 0.3595153000, 0.3800608000, 0.4307447000, 0.5479623000, 0.8387391000, 1.6628048000", \
"0.5616945000, 0.5725486000, 0.6032336000, 0.6810676000, 0.8573455000, 1.2105578000, 2.0276452000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
values("0.0276447000, 0.0295649000, 0.0349438000, 0.0501059000, 0.0924258000, 0.2125546000, 0.5484127000", \
"0.0275705000, 0.0295002000, 0.0349362000, 0.0500720000, 0.0925305000, 0.2116680000, 0.5484060000", \
"0.0322993000, 0.0337509000, 0.0379314000, 0.0512665000, 0.0924049000, 0.2126024000, 0.5482601000", \
"0.0470554000, 0.0486727000, 0.0532219000, 0.0646630000, 0.0985931000, 0.2119290000, 0.5484762000", \
"0.0762059000, 0.0781390000, 0.0835346000, 0.0980672000, 0.1334511000, 0.2270089000, 0.5480060000", \
"0.1291810000, 0.1318989000, 0.1399458000, 0.1597273000, 0.2083484000, 0.3094888000, 0.5764449000", \
"0.2233058000, 0.2272196000, 0.2400696000, 0.2682402000, 0.3377887000, 0.4828309000, 0.7606898000");
}
related_pin : "B1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
values("0.1079814000, 0.1147154000, 0.1324694000, 0.1825834000, 0.3254048000, 0.7235146000, 1.8475274000", \
"0.1080164000, 0.1140606000, 0.1323451000, 0.1826349000, 0.3245940000, 0.7234882000, 1.8444714000", \
"0.1078102000, 0.1144344000, 0.1324612000, 0.1828586000, 0.3246151000, 0.7245126000, 1.8454874000", \
"0.1061760000, 0.1126199000, 0.1314782000, 0.1824914000, 0.3244301000, 0.7235148000, 1.8453477000", \
"0.1227137000, 0.1276724000, 0.1423220000, 0.1877989000, 0.3239465000, 0.7233898000, 1.8537267000", \
"0.1755682000, 0.1828397000, 0.2016059000, 0.2484398000, 0.3601431000, 0.7240319000, 1.8447206000", \
"0.2677529000, 0.2785008000, 0.3060349000, 0.3724120000, 0.5159935000, 0.8248174000, 1.8530910000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
values("0.0286764000, 0.0301934000, 0.0342822000, 0.0455736000, 0.0768841000, 0.1638776000, 0.4088483000", \
"0.0326900000, 0.0342208000, 0.0385830000, 0.0499794000, 0.0813320000, 0.1686067000, 0.4135846000", \
"0.0402271000, 0.0419776000, 0.0466441000, 0.0585483000, 0.0901436000, 0.1775979000, 0.4227503000", \
"0.0506531000, 0.0532617000, 0.0595138000, 0.0745228000, 0.1090853000, 0.1970882000, 0.4427255000", \
"0.0604525000, 0.0640546000, 0.0736809000, 0.0961773000, 0.1427820000, 0.2413537000, 0.4877563000", \
"0.0567370000, 0.0628141000, 0.0786279000, 0.1139775000, 0.1865996000, 0.3197594000, 0.5904712000", \
"0.0094019000, 0.0186559000, 0.0437334000, 0.1017818000, 0.2172943000, 0.4244050000, 0.7825129000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
values("0.1428129000, 0.1482367000, 0.1623572000, 0.2000247000, 0.3055006000, 0.5963472000, 1.4131912000", \
"0.1463693000, 0.1510980000, 0.1654645000, 0.2038497000, 0.3092663000, 0.6010220000, 1.4167282000", \
"0.1564045000, 0.1614614000, 0.1745699000, 0.2133343000, 0.3194096000, 0.6116207000, 1.4285777000", \
"0.1829183000, 0.1879877000, 0.2020005000, 0.2403837000, 0.3457387000, 0.6384091000, 1.4564789000", \
"0.2504213000, 0.2553519000, 0.2684888000, 0.3063798000, 0.4120352000, 0.7045515000, 1.5239653000", \
"0.3895200000, 0.3958117000, 0.4136028000, 0.4587492000, 0.5692063000, 0.8553145000, 1.6720798000", \
"0.6122353000, 0.6221197000, 0.6488952000, 0.7176376000, 0.8821526000, 1.2265209000, 2.0311315000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
values("0.0276202000, 0.0295423000, 0.0349271000, 0.0500310000, 0.0923760000, 0.2118897000, 0.5483888000", \
"0.0276569000, 0.0295619000, 0.0349383000, 0.0500512000, 0.0924142000, 0.2118188000, 0.5485418000", \
"0.0297162000, 0.0314112000, 0.0363381000, 0.0506109000, 0.0924344000, 0.2117120000, 0.5486273000", \
"0.0396062000, 0.0411461000, 0.0455562000, 0.0580010000, 0.0956675000, 0.2118936000, 0.5485445000", \
"0.0618745000, 0.0635622000, 0.0682401000, 0.0812287000, 0.1168194000, 0.2209088000, 0.5480907000", \
"0.1066810000, 0.1088556000, 0.1144169000, 0.1303548000, 0.1712976000, 0.2716584000, 0.5668517000", \
"0.1921372000, 0.1946776000, 0.2020090000, 0.2230917000, 0.2765496000, 0.3950065000, 0.6801013000");
}
related_pin : "B2";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
values("0.1318296000, 0.1375300000, 0.1557944000, 0.2064068000, 0.3484968000, 0.7483363000, 1.8704487000", \
"0.1309791000, 0.1381116000, 0.1554703000, 0.2064546000, 0.3488247000, 0.7467036000, 1.8674005000", \
"0.1309437000, 0.1374439000, 0.1562095000, 0.2071143000, 0.3486143000, 0.7467579000, 1.8708943000", \
"0.1306280000, 0.1368664000, 0.1553304000, 0.2062295000, 0.3486391000, 0.7473056000, 1.8706230000", \
"0.1395991000, 0.1451272000, 0.1616725000, 0.2091450000, 0.3479345000, 0.7464853000, 1.8761086000", \
"0.1959397000, 0.2027786000, 0.2221442000, 0.2647304000, 0.3843588000, 0.7501039000, 1.8724284000", \
"0.2908698000, 0.3006607000, 0.3267835000, 0.3904190000, 0.5314923000, 0.8469735000, 1.8730781000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
}
}
/* removed sky130_fd_sc_hd__a41o_1 */
/* removed sky130_fd_sc_hd__a41o_2 */
cell ("sky130_fd_sc_hd__a41o_4") {
leakage_power () {
value : 0.0046105000;
when : "!A1&!A2&!A3&!A4&B1";
}
leakage_power () {
value : 0.0082481000;
when : "!A1&!A2&!A3&!A4&!B1";
}
leakage_power () {
value : 0.0046100000;
when : "!A1&!A2&!A3&A4&B1";
}
leakage_power () {
value : 0.0082575000;
when : "!A1&!A2&!A3&A4&!B1";
}
leakage_power () {
value : 0.0046100000;
when : "!A1&!A2&A3&!A4&B1";
}
leakage_power () {
value : 0.0082581000;
when : "!A1&!A2&A3&!A4&!B1";
}
leakage_power () {
value : 0.0046100000;
when : "!A1&!A2&A3&A4&B1";
}
leakage_power () {
value : 0.0082869000;
when : "!A1&!A2&A3&A4&!B1";
}
leakage_power () {
value : 0.0046100000;
when : "!A1&A2&!A3&!A4&B1";
}
leakage_power () {
value : 0.0082599000;
when : "!A1&A2&!A3&!A4&!B1";
}
leakage_power () {
value : 0.0046100000;
when : "!A1&A2&!A3&A4&B1";
}
leakage_power () {
value : 0.0082888000;
when : "!A1&A2&!A3&A4&!B1";
}
leakage_power () {
value : 0.0046100000;
when : "!A1&A2&A3&!A4&B1";
}
leakage_power () {
value : 0.0082912000;
when : "!A1&A2&A3&!A4&!B1";
}
leakage_power () {
value : 0.0046109000;
when : "!A1&A2&A3&A4&B1";
}
leakage_power () {
value : 0.0089728000;
when : "!A1&A2&A3&A4&!B1";
}
leakage_power () {
value : 0.0046100000;
when : "A1&!A2&!A3&!A4&B1";
}
leakage_power () {
value : 0.0082703000;
when : "A1&!A2&!A3&!A4&!B1";
}
leakage_power () {
value : 0.0046100000;
when : "A1&!A2&!A3&A4&B1";
}
leakage_power () {
value : 0.0083003000;
when : "A1&!A2&!A3&A4&!B1";
}
leakage_power () {
value : 0.0046100000;
when : "A1&!A2&A3&!A4&B1";
}
leakage_power () {
value : 0.0083028000;
when : "A1&!A2&A3&!A4&!B1";
}
leakage_power () {
value : 0.0046103000;
when : "A1&!A2&A3&A4&B1";
}
leakage_power () {
value : 0.0088094000;
when : "A1&!A2&A3&A4&!B1";
}
leakage_power () {
value : 0.0046100000;
when : "A1&A2&!A3&!A4&B1";
}
leakage_power () {
value : 0.0083167000;
when : "A1&A2&!A3&!A4&!B1";
}
leakage_power () {
value : 0.0046099000;
when : "A1&A2&!A3&A4&B1";
}
leakage_power () {
value : 0.0088056000;
when : "A1&A2&!A3&A4&!B1";
}
leakage_power () {
value : 0.0046100000;
when : "A1&A2&A3&!A4&B1";
}
leakage_power () {
value : 0.0088092000;
when : "A1&A2&A3&!A4&!B1";
}
leakage_power () {
value : 0.0021909000;
when : "A1&A2&A3&A4&B1";
}
leakage_power () {
value : 0.0054482000;
when : "A1&A2&A3&A4&!B1";
}
area : 21.270400000;
cell_footprint : "sky130_fd_sc_hd__a41o";
cell_leakage_power : 0.0063521180;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A1") {
capacitance : 0.0042110000;
clock : "false";
direction : "input";
fall_capacitance : 0.0040950000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0088935000, 0.0088876000, 0.0088741000, 0.0088719000, 0.0088668000, 0.0088551000, 0.0088282000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.006171300, -0.006172800, -0.006176500, -0.006159500, -0.006120300, -0.006030100, -0.005822100");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0043280000;
}
pin ("A2") {
capacitance : 0.0042220000;
clock : "false";
direction : "input";
fall_capacitance : 0.0040820000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0083726000, 0.0083698000, 0.0083633000, 0.0083959000, 0.0084713000, 0.0086448000, 0.0090450000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.007752000, -0.007748400, -0.007740000, -0.007738100, -0.007733800, -0.007723800, -0.007700800");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0043620000;
}
pin ("A3") {
capacitance : 0.0043970000;
clock : "false";
direction : "input";
fall_capacitance : 0.0042280000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0078491000, 0.0078549000, 0.0078680000, 0.0078667000, 0.0078637000, 0.0078566000, 0.0078404000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.007845000, -0.007846300, -0.007849200, -0.007851100, -0.007855500, -0.007865600, -0.007889000");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0045650000;
}
pin ("A4") {
capacitance : 0.0044010000;
clock : "false";
direction : "input";
fall_capacitance : 0.0041640000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0078615000, 0.0078653000, 0.0078740000, 0.0078766000, 0.0078825000, 0.0078961000, 0.0079274000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.007869500, -0.007867900, -0.007864300, -0.007863400, -0.007861100, -0.007856000, -0.007844000");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0046380000;
}
pin ("B1") {
capacitance : 0.0045140000;
clock : "false";
direction : "input";
fall_capacitance : 0.0041330000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0039355000, 0.0039187000, 0.0038799000, 0.0039153000, 0.0039970000, 0.0041851000, 0.0046188000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.003398400, -0.003409200, -0.003434000, -0.003438100, -0.003447300, -0.003468700, -0.003518000");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0048960000;
}
pin ("X") {
direction : "output";
function : "(A1&A2&A3&A4) | (B1)";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0016114370, 0.0051934600, 0.0167378700, 0.0539440500, 0.1738549000, 0.5603125000");
values("0.0283566000, 0.0265670000, 0.0214184000, 0.0061189000, -0.049881000, -0.242562700, -0.868521400", \
"0.0280678000, 0.0264028000, 0.0212607000, 0.0060403000, -0.049909300, -0.242778900, -0.868557800", \
"0.0278946000, 0.0260994000, 0.0209503000, 0.0056863000, -0.050359400, -0.243007800, -0.868928700", \
"0.0273414000, 0.0255613000, 0.0204113000, 0.0051336000, -0.050773500, -0.243517100, -0.869395100", \
"0.0267200000, 0.0249667000, 0.0197803000, 0.0043789000, -0.051575000, -0.244261600, -0.869954200", \
"0.0256060000, 0.0237654000, 0.0183663000, 0.0033590000, -0.052226000, -0.244540100, -0.870177700", \
"0.0347086000, 0.0322526000, 0.0260554000, 0.0072155000, -0.052388500, -0.244896000, -0.870154200");
}
related_pin : "A1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0016114370, 0.0051934600, 0.0167378700, 0.0539440500, 0.1738549000, 0.5603125000");
values("0.0338333000, 0.0356243000, 0.0417639000, 0.0615851000, 0.1225181000, 0.3156794000, 0.9357667000", \
"0.0333588000, 0.0351549000, 0.0412926000, 0.0611566000, 0.1224145000, 0.3154337000, 0.9362187000", \
"0.0331112000, 0.0349775000, 0.0410904000, 0.0607144000, 0.1220379000, 0.3154240000, 0.9345519000", \
"0.0326079000, 0.0344323000, 0.0405597000, 0.0603972000, 0.1215607000, 0.3147928000, 0.9353658000", \
"0.0326094000, 0.0344464000, 0.0404429000, 0.0601711000, 0.1206257000, 0.3141379000, 0.9349147000", \
"0.0338426000, 0.0355877000, 0.0412813000, 0.0597400000, 0.1204101000, 0.3134558000, 0.9329565000", \
"0.0368070000, 0.0383718000, 0.0439586000, 0.0623088000, 0.1221448000, 0.3145637000, 0.9323754000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0016114370, 0.0051934600, 0.0167378700, 0.0539440500, 0.1738549000, 0.5603125000");
values("0.0346549000, 0.0328990000, 0.0275833000, 0.0122223000, -0.043399500, -0.235572200, -0.861250600", \
"0.0346663000, 0.0328870000, 0.0275853000, 0.0121122000, -0.043512300, -0.235751700, -0.861420900", \
"0.0343455000, 0.0324895000, 0.0272440000, 0.0120322000, -0.043778300, -0.236040400, -0.861652200", \
"0.0336994000, 0.0319681000, 0.0266361000, 0.0112380000, -0.044396700, -0.236524600, -0.862184500", \
"0.0330929000, 0.0312577000, 0.0259732000, 0.0104660000, -0.045260900, -0.237388600, -0.862906800", \
"0.0324240000, 0.0305331000, 0.0251662000, 0.0097977000, -0.045780200, -0.237886300, -0.863273700", \
"0.0403502000, 0.0382967000, 0.0321176000, 0.0133000000, -0.045885200, -0.238597500, -0.863777600");
}
related_pin : "A2";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0016114370, 0.0051934600, 0.0167378700, 0.0539440500, 0.1738549000, 0.5603125000");
values("0.0352090000, 0.0370408000, 0.0431815000, 0.0628633000, 0.1241415000, 0.3173625000, 0.9370636000", \
"0.0351157000, 0.0368996000, 0.0430367000, 0.0626601000, 0.1238578000, 0.3171228000, 0.9377107000", \
"0.0347503000, 0.0365531000, 0.0426773000, 0.0622565000, 0.1236887000, 0.3170704000, 0.9404864000", \
"0.0343557000, 0.0360742000, 0.0422013000, 0.0619166000, 0.1233850000, 0.3164428000, 0.9388132000", \
"0.0344455000, 0.0362337000, 0.0422643000, 0.0617448000, 0.1228334000, 0.3160841000, 0.9384244000", \
"0.0350807000, 0.0370292000, 0.0427457000, 0.0613545000, 0.1220861000, 0.3148963000, 0.9360292000", \
"0.0378416000, 0.0395152000, 0.0450949000, 0.0637751000, 0.1234246000, 0.3159692000, 0.9328086000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0016114370, 0.0051934600, 0.0167378700, 0.0539440500, 0.1738549000, 0.5603125000");
values("0.0403557000, 0.0385641000, 0.0331159000, 0.0178161000, -0.037840100, -0.229758500, -0.855075300", \
"0.0399791000, 0.0381966000, 0.0328705000, 0.0174838000, -0.037974900, -0.229871500, -0.855227900", \
"0.0399757000, 0.0381705000, 0.0328181000, 0.0174059000, -0.038200600, -0.230231300, -0.855485900", \
"0.0395812000, 0.0378202000, 0.0324444000, 0.0170309000, -0.038622000, -0.230500600, -0.855845800", \
"0.0389614000, 0.0371668000, 0.0318093000, 0.0163859000, -0.039164000, -0.231141500, -0.856286100", \
"0.0388110000, 0.0370585000, 0.0316474000, 0.0160690000, -0.039430300, -0.231320600, -0.856493200", \
"0.0472567000, 0.0452092000, 0.0390311000, 0.0201257000, -0.039786400, -0.231862900, -0.856713700");
}
related_pin : "A3";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0016114370, 0.0051934600, 0.0167378700, 0.0539440500, 0.1738549000, 0.5603125000");
values("0.0352324000, 0.0370341000, 0.0431742000, 0.0628031000, 0.1240054000, 0.3173077000, 0.9377950000", \
"0.0350356000, 0.0368914000, 0.0429733000, 0.0625792000, 0.1238348000, 0.3171586000, 0.9376107000", \
"0.0346191000, 0.0365214000, 0.0426178000, 0.0622063000, 0.1236449000, 0.3170022000, 0.9403905000", \
"0.0343278000, 0.0361146000, 0.0422544000, 0.0618658000, 0.1233662000, 0.3164119000, 0.9387489000", \
"0.0340978000, 0.0359312000, 0.0419462000, 0.0616662000, 0.1226481000, 0.3161689000, 0.9367587000", \
"0.0351113000, 0.0368784000, 0.0426614000, 0.0612501000, 0.1224490000, 0.3152237000, 0.9351694000", \
"0.0370366000, 0.0387233000, 0.0443706000, 0.0631729000, 0.1234732000, 0.3161723000, 0.9338160000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0016114370, 0.0051934600, 0.0167378700, 0.0539440500, 0.1738549000, 0.5603125000");
values("0.0450691000, 0.0432673000, 0.0379496000, 0.0225730000, -0.032708000, -0.224240900, -0.849146400", \
"0.0448084000, 0.0429961000, 0.0376185000, 0.0222254000, -0.033043000, -0.224393300, -0.849350100", \
"0.0443803000, 0.0425954000, 0.0372545000, 0.0220401000, -0.033293800, -0.224736900, -0.849649400", \
"0.0443311000, 0.0425185000, 0.0371812000, 0.0218069000, -0.033464700, -0.224973400, -0.849939300", \
"0.0438487000, 0.0420422000, 0.0366650000, 0.0212830000, -0.033968400, -0.225379700, -0.850250300", \
"0.0444455000, 0.0426674000, 0.0371784000, 0.0214707000, -0.034050600, -0.225538000, -0.850406700", \
"0.0529207000, 0.0508729000, 0.0447423000, 0.0257731000, -0.034195000, -0.226101300, -0.850570300");
}
related_pin : "A4";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0016114370, 0.0051934600, 0.0167378700, 0.0539440500, 0.1738549000, 0.5603125000");
values("0.0352459000, 0.0370984000, 0.0431815000, 0.0627875000, 0.1240433000, 0.3173460000, 0.9377597000", \
"0.0348994000, 0.0367251000, 0.0428280000, 0.0626215000, 0.1238822000, 0.3170619000, 0.9363928000", \
"0.0346165000, 0.0364723000, 0.0425521000, 0.0623546000, 0.1236541000, 0.3169981000, 0.9388711000", \
"0.0342458000, 0.0361000000, 0.0422027000, 0.0618820000, 0.1233086000, 0.3165759000, 0.9399334000", \
"0.0340523000, 0.0358858000, 0.0419435000, 0.0614765000, 0.1226252000, 0.3161127000, 0.9363976000", \
"0.0354089000, 0.0371923000, 0.0429965000, 0.0612362000, 0.1224440000, 0.3152548000, 0.9373385000", \
"0.0369649000, 0.0386596000, 0.0443766000, 0.0631184000, 0.1230420000, 0.3156195000, 0.9339815000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0016114370, 0.0051934600, 0.0167378700, 0.0539440500, 0.1738549000, 0.5603125000");
values("0.0430353000, 0.0411739000, 0.0358115000, 0.0205105000, -0.034691400, -0.226051600, -0.850947200", \
"0.0427847000, 0.0409430000, 0.0358425000, 0.0203843000, -0.034834300, -0.226340600, -0.851186800", \
"0.0424475000, 0.0405546000, 0.0353112000, 0.0198995000, -0.035236500, -0.226697300, -0.851573400", \
"0.0420354000, 0.0403004000, 0.0349262000, 0.0195810000, -0.035663000, -0.227111100, -0.851946300", \
"0.0415580000, 0.0398134000, 0.0344628000, 0.0190226000, -0.036367100, -0.227684700, -0.852493800", \
"0.0423874000, 0.0405541000, 0.0350424000, 0.0193355000, -0.036321400, -0.227760300, -0.852331700", \
"0.0542434000, 0.0520001000, 0.0454990000, 0.0261681000, -0.032659800, -0.225355000, -0.850275600");
}
related_pin : "B1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0016114370, 0.0051934600, 0.0167378700, 0.0539440500, 0.1738549000, 0.5603125000");
values("0.0240264000, 0.0260792000, 0.0325039000, 0.0520580000, 0.1118664000, 0.3039243000, 0.9233464000", \
"0.0239445000, 0.0259866000, 0.0324230000, 0.0519706000, 0.1118424000, 0.3039011000, 0.9239186000", \
"0.0237323000, 0.0257321000, 0.0320857000, 0.0515570000, 0.1116545000, 0.3039013000, 0.9275183000", \
"0.0232338000, 0.0251642000, 0.0314041000, 0.0507404000, 0.1109710000, 0.3029268000, 0.9207356000", \
"0.0233640000, 0.0251964000, 0.0311067000, 0.0504307000, 0.1104745000, 0.3030241000, 0.9235364000", \
"0.0248931000, 0.0266617000, 0.0324365000, 0.0512065000, 0.1105823000, 0.3022687000, 0.9223149000", \
"0.0286964000, 0.0302440000, 0.0357174000, 0.0540921000, 0.1141410000, 0.3053202000, 0.9213523000");
}
}
max_capacitance : 0.5603130000;
max_transition : 1.5019620000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000");
values("0.1752514000, 0.1791203000, 0.1892402000, 0.2123169000, 0.2610626000, 0.3765588000, 0.7077884000", \
"0.1810962000, 0.1849696000, 0.1948706000, 0.2179863000, 0.2669347000, 0.3822915000, 0.7138947000", \
"0.1943133000, 0.1982127000, 0.2083303000, 0.2312909000, 0.2802480000, 0.3955737000, 0.7265627000", \
"0.2243421000, 0.2282099000, 0.2382933000, 0.2611022000, 0.3100811000, 0.4255340000, 0.7563434000", \
"0.2892406000, 0.2930873000, 0.3031748000, 0.3260370000, 0.3750517000, 0.4905000000, 0.8220858000", \
"0.4156313000, 0.4199282000, 0.4310825000, 0.4562251000, 0.5083077000, 0.6263552000, 0.9575946000", \
"0.6293223000, 0.6346536000, 0.6483119000, 0.6784631000, 0.7396329000, 0.8676851000, 1.2019676000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000");
values("0.1461379000, 0.1516169000, 0.1660870000, 0.2001547000, 0.2814216000, 0.5072767000, 1.2164513000", \
"0.1493791000, 0.1548650000, 0.1693780000, 0.2034896000, 0.2847993000, 0.5105574000, 1.2197858000", \
"0.1579377000, 0.1634175000, 0.1779224000, 0.2119935000, 0.2933181000, 0.5188925000, 1.2305049000", \
"0.1781200000, 0.1836060000, 0.1981581000, 0.2322415000, 0.3134594000, 0.5393937000, 1.2486778000", \
"0.2264467000, 0.2318251000, 0.2462109000, 0.2802640000, 0.3618031000, 0.5874718000, 1.2963699000", \
"0.2969285000, 0.3027674000, 0.3175987000, 0.3521641000, 0.4342047000, 0.6609791000, 1.3700651000", \
"0.3666661000, 0.3739380000, 0.3924625000, 0.4317737000, 0.5154365000, 0.7413850000, 1.4522047000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000");
values("0.0252063000, 0.0274268000, 0.0340146000, 0.0509178000, 0.0943433000, 0.2239810000, 0.6630501000", \
"0.0251819000, 0.0277002000, 0.0341288000, 0.0513620000, 0.0945233000, 0.2242965000, 0.6644656000", \
"0.0250751000, 0.0274864000, 0.0341061000, 0.0506719000, 0.0944516000, 0.2245173000, 0.6635229000", \
"0.0249974000, 0.0274492000, 0.0339728000, 0.0508639000, 0.0946908000, 0.2246556000, 0.6640458000", \
"0.0250869000, 0.0274996000, 0.0341027000, 0.0511351000, 0.0944645000, 0.2244578000, 0.6637077000", \
"0.0306109000, 0.0333115000, 0.0404313000, 0.0571171000, 0.0998780000, 0.2275906000, 0.6639230000", \
"0.0428126000, 0.0456007000, 0.0539458000, 0.0724845000, 0.1175443000, 0.2413572000, 0.6663838000");
}
related_pin : "A1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000");
values("0.0312085000, 0.0353777000, 0.0469587000, 0.0780061000, 0.1705116000, 0.4794298000, 1.5005253000", \
"0.0312782000, 0.0353818000, 0.0470021000, 0.0779977000, 0.1701456000, 0.4781017000, 1.4991723000", \
"0.0311815000, 0.0355123000, 0.0470612000, 0.0780472000, 0.1706938000, 0.4792934000, 1.5018078000", \
"0.0314397000, 0.0354191000, 0.0471003000, 0.0779307000, 0.1700635000, 0.4785637000, 1.4991850000", \
"0.0317072000, 0.0357172000, 0.0473698000, 0.0785319000, 0.1705057000, 0.4791598000, 1.4980269000", \
"0.0369726000, 0.0405209000, 0.0516069000, 0.0813609000, 0.1737468000, 0.4806289000, 1.4997764000", \
"0.0495075000, 0.0540569000, 0.0656182000, 0.0928751000, 0.1787961000, 0.4822752000, 1.4960329000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000");
values("0.2084035000, 0.2125618000, 0.2232894000, 0.2473198000, 0.2976568000, 0.4147988000, 0.7474036000", \
"0.2141028000, 0.2182475000, 0.2289846000, 0.2530054000, 0.3037750000, 0.4206382000, 0.7526624000", \
"0.2270611000, 0.2312170000, 0.2419979000, 0.2658729000, 0.3163270000, 0.4335012000, 0.7659363000", \
"0.2571079000, 0.2612625000, 0.2719950000, 0.2960239000, 0.3464045000, 0.4635673000, 0.7962131000", \
"0.3218594000, 0.3260863000, 0.3367729000, 0.3607606000, 0.4113594000, 0.5287186000, 0.8613418000", \
"0.4549048000, 0.4593880000, 0.4709315000, 0.4965186000, 0.5488238000, 0.6676411000, 1.0002996000", \
"0.6881120000, 0.6935225000, 0.7074501000, 0.7378831000, 0.7979073000, 0.9253940000, 1.2614298000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000");
values("0.1626114000, 0.1680796000, 0.1825323000, 0.2166310000, 0.2979694000, 0.5234292000, 1.2326098000", \
"0.1664008000, 0.1718874000, 0.1863561000, 0.2203952000, 0.3016112000, 0.5275075000, 1.2359093000", \
"0.1749747000, 0.1804399000, 0.1948829000, 0.2290173000, 0.3103465000, 0.5357770000, 1.2469841000", \
"0.1949106000, 0.2003215000, 0.2148216000, 0.2489157000, 0.3302970000, 0.5554036000, 1.2652615000", \
"0.2395660000, 0.2450639000, 0.2596316000, 0.2937328000, 0.3750100000, 0.6003534000, 1.3094026000", \
"0.3114933000, 0.3174848000, 0.3330377000, 0.3687185000, 0.4516343000, 0.6788103000, 1.3884410000", \
"0.3895433000, 0.3970293000, 0.4159512000, 0.4567584000, 0.5429120000, 0.7698433000, 1.4798712000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000");
values("0.0280233000, 0.0305922000, 0.0374384000, 0.0536687000, 0.0970564000, 0.2272409000, 0.6651744000", \
"0.0280287000, 0.0305559000, 0.0371153000, 0.0540799000, 0.0970824000, 0.2270634000, 0.6651681000", \
"0.0282405000, 0.0304380000, 0.0372756000, 0.0537527000, 0.0977265000, 0.2273754000, 0.6653935000", \
"0.0280445000, 0.0306069000, 0.0374313000, 0.0536709000, 0.0970642000, 0.2272310000, 0.6652914000", \
"0.0280469000, 0.0306168000, 0.0371371000, 0.0537485000, 0.0975663000, 0.2270545000, 0.6646811000", \
"0.0320994000, 0.0348362000, 0.0413300000, 0.0586628000, 0.1009492000, 0.2286762000, 0.6659718000", \
"0.0435616000, 0.0467400000, 0.0539672000, 0.0720928000, 0.1161741000, 0.2414245000, 0.6674505000");
}
related_pin : "A2";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000");
values("0.0313448000, 0.0354562000, 0.0469858000, 0.0779929000, 0.1701743000, 0.4784647000, 1.5004373000", \
"0.0312862000, 0.0354547000, 0.0470087000, 0.0781229000, 0.1701113000, 0.4788263000, 1.4971424000", \
"0.0314535000, 0.0356178000, 0.0472135000, 0.0780695000, 0.1705415000, 0.4792411000, 1.5019579000", \
"0.0314156000, 0.0354174000, 0.0471454000, 0.0781241000, 0.1700027000, 0.4785948000, 1.4981526000", \
"0.0321451000, 0.0361981000, 0.0477292000, 0.0789871000, 0.1703809000, 0.4781920000, 1.4969748000", \
"0.0363647000, 0.0407751000, 0.0523461000, 0.0826262000, 0.1738623000, 0.4807431000, 1.4988446000", \
"0.0483433000, 0.0531669000, 0.0656092000, 0.0953566000, 0.1800782000, 0.4828592000, 1.4953170000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000");
values("0.2208521000, 0.2250906000, 0.2359925000, 0.2602206000, 0.3111092000, 0.4279598000, 0.7610656000", \
"0.2264531000, 0.2306852000, 0.2416375000, 0.2659224000, 0.3163745000, 0.4336926000, 0.7663901000", \
"0.2399870000, 0.2442265000, 0.2551599000, 0.2793772000, 0.3302593000, 0.4471772000, 0.7802277000", \
"0.2707384000, 0.2749689000, 0.2859101000, 0.3101157000, 0.3606507000, 0.4779604000, 0.8110062000", \
"0.3355239000, 0.3397513000, 0.3506417000, 0.3749287000, 0.4257578000, 0.5430787000, 0.8761012000", \
"0.4714280000, 0.4760451000, 0.4875532000, 0.5129129000, 0.5646264000, 0.6825269000, 1.0158043000", \
"0.7116703000, 0.7171012000, 0.7307890000, 0.7604968000, 0.8199454000, 0.9462263000, 1.2816578000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000");
values("0.1708478000, 0.1763283000, 0.1907895000, 0.2248420000, 0.3060447000, 0.5318668000, 1.2401106000", \
"0.1745980000, 0.1800890000, 0.1945498000, 0.2286021000, 0.3098011000, 0.5355830000, 1.2440716000", \
"0.1821749000, 0.1876431000, 0.2020933000, 0.2362389000, 0.3175778000, 0.5429726000, 1.2541402000", \
"0.1979189000, 0.2033833000, 0.2177978000, 0.2518881000, 0.3332880000, 0.5584118000, 1.2680612000", \
"0.2311284000, 0.2366685000, 0.2512844000, 0.2857349000, 0.3670065000, 0.5927756000, 1.3014522000", \
"0.2873981000, 0.2933862000, 0.3090629000, 0.3450936000, 0.4282101000, 0.6550773000, 1.3673691000", \
"0.3519727000, 0.3591384000, 0.3775853000, 0.4181636000, 0.5054936000, 0.7335346000, 1.4430807000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000");
values("0.0290173000, 0.0315223000, 0.0388297000, 0.0544612000, 0.0978407000, 0.2268872000, 0.6660019000", \
"0.0292310000, 0.0318142000, 0.0385830000, 0.0545914000, 0.0976621000, 0.2273587000, 0.6655940000", \
"0.0290988000, 0.0316338000, 0.0384238000, 0.0545525000, 0.0978899000, 0.2274636000, 0.6660046000", \
"0.0290727000, 0.0316210000, 0.0383773000, 0.0545987000, 0.0975420000, 0.2273429000, 0.6658036000", \
"0.0292295000, 0.0318581000, 0.0381006000, 0.0546394000, 0.0979172000, 0.2269566000, 0.6650637000", \
"0.0326398000, 0.0351477000, 0.0416795000, 0.0582123000, 0.0998739000, 0.2283109000, 0.6642956000", \
"0.0427398000, 0.0457445000, 0.0542537000, 0.0708891000, 0.1143660000, 0.2396836000, 0.6670784000");
}
related_pin : "A3";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000");
values("0.0313287000, 0.0354644000, 0.0470112000, 0.0781220000, 0.1702678000, 0.4791021000, 1.4981816000", \
"0.0313246000, 0.0355038000, 0.0470115000, 0.0781049000, 0.1704204000, 0.4792357000, 1.4990194000", \
"0.0311882000, 0.0354433000, 0.0472385000, 0.0780886000, 0.1705334000, 0.4792319000, 1.5019620000", \
"0.0313997000, 0.0355936000, 0.0471749000, 0.0780951000, 0.1700144000, 0.4785612000, 1.4980901000", \
"0.0319956000, 0.0362022000, 0.0477943000, 0.0785111000, 0.1705290000, 0.4792566000, 1.4978659000", \
"0.0354013000, 0.0397251000, 0.0514088000, 0.0824821000, 0.1734943000, 0.4796122000, 1.5001551000", \
"0.0460240000, 0.0505819000, 0.0626674000, 0.0940170000, 0.1800051000, 0.4826735000, 1.4964869000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000");
values("0.2410485000, 0.2454709000, 0.2568412000, 0.2819583000, 0.3340698000, 0.4524888000, 0.7864164000", \
"0.2460859000, 0.2505167000, 0.2618473000, 0.2868881000, 0.3391378000, 0.4574795000, 0.7917172000", \
"0.2592834000, 0.2636923000, 0.2750424000, 0.3000772000, 0.3520401000, 0.4707898000, 0.8049722000", \
"0.2888771000, 0.2933018000, 0.3046834000, 0.3298137000, 0.3819035000, 0.5003815000, 0.8343762000", \
"0.3502996000, 0.3547797000, 0.3660220000, 0.3910245000, 0.4431869000, 0.5621863000, 0.8961218000", \
"0.4789627000, 0.4835849000, 0.4955052000, 0.5213801000, 0.5743657000, 0.6936326000, 1.0278260000", \
"0.7046645000, 0.7099994000, 0.7241543000, 0.7539749000, 0.8138581000, 0.9405312000, 1.2772817000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000");
values("0.1761856000, 0.1816757000, 0.1961402000, 0.2301902000, 0.3113901000, 0.5371392000, 1.2456103000", \
"0.1800949000, 0.1855772000, 0.2000881000, 0.2342394000, 0.3155481000, 0.5411459000, 1.2499256000", \
"0.1879246000, 0.1934088000, 0.2079332000, 0.2421238000, 0.3234292000, 0.5485477000, 1.2577395000", \
"0.2025091000, 0.2079813000, 0.2224550000, 0.2567253000, 0.3379951000, 0.5631967000, 1.2737137000", \
"0.2303163000, 0.2358227000, 0.2503042000, 0.2846393000, 0.3659616000, 0.5916156000, 1.3003612000", \
"0.2751373000, 0.2810445000, 0.2965994000, 0.3325309000, 0.4157631000, 0.6418768000, 1.3506855000", \
"0.3292962000, 0.3361681000, 0.3540822000, 0.3940359000, 0.4812716000, 0.7094981000, 1.4178754000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000");
values("0.0312560000, 0.0338341000, 0.0407997000, 0.0572744000, 0.1003391000, 0.2299890000, 0.6656039000", \
"0.0311327000, 0.0336976000, 0.0411793000, 0.0567406000, 0.1001514000, 0.2294996000, 0.6677875000", \
"0.0312299000, 0.0340222000, 0.0408639000, 0.0570483000, 0.1004597000, 0.2299502000, 0.6671865000", \
"0.0312294000, 0.0337930000, 0.0407461000, 0.0572572000, 0.1002958000, 0.2298046000, 0.6682758000", \
"0.0312520000, 0.0338740000, 0.0411378000, 0.0575608000, 0.0998021000, 0.2294376000, 0.6677904000", \
"0.0339923000, 0.0365755000, 0.0432951000, 0.0595861000, 0.1022825000, 0.2306543000, 0.6678573000", \
"0.0437593000, 0.0469199000, 0.0548762000, 0.0716434000, 0.1150917000, 0.2409118000, 0.6685779000");
}
related_pin : "A4";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000");
values("0.0313309000, 0.0355146000, 0.0470147000, 0.0781027000, 0.1704333000, 0.4792434000, 1.4991051000", \
"0.0314525000, 0.0354559000, 0.0472843000, 0.0779579000, 0.1704301000, 0.4791403000, 1.5003612000", \
"0.0315719000, 0.0356266000, 0.0472609000, 0.0779706000, 0.1704370000, 0.4789264000, 1.4975199000", \
"0.0311329000, 0.0353449000, 0.0471723000, 0.0780804000, 0.1702056000, 0.4790275000, 1.5010134000", \
"0.0317766000, 0.0358824000, 0.0474277000, 0.0785842000, 0.1708894000, 0.4793825000, 1.4997511000", \
"0.0346567000, 0.0391544000, 0.0509248000, 0.0823933000, 0.1735508000, 0.4792481000, 1.4982235000", \
"0.0428182000, 0.0472415000, 0.0599733000, 0.0917148000, 0.1800962000, 0.4822683000, 1.4981930000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000");
values("0.2072282000, 0.2116229000, 0.2229969000, 0.2480858000, 0.3003378000, 0.4191678000, 0.7535192000", \
"0.2111326000, 0.2155620000, 0.2268983000, 0.2521068000, 0.3043012000, 0.4229365000, 0.7575783000", \
"0.2214330000, 0.2258442000, 0.2372230000, 0.2620876000, 0.3139860000, 0.4330377000, 0.7671114000", \
"0.2478567000, 0.2522755000, 0.2638543000, 0.2888894000, 0.3409798000, 0.4600459000, 0.7942103000", \
"0.3142416000, 0.3186885000, 0.3299579000, 0.3549964000, 0.4071320000, 0.5261432000, 0.8605729000", \
"0.4635771000, 0.4684100000, 0.4807453000, 0.5068427000, 0.5597615000, 0.6788016000, 1.0135619000", \
"0.7089455000, 0.7152285000, 0.7309564000, 0.7640402000, 0.8240074000, 0.9478859000, 1.2849117000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000");
values("0.0570989000, 0.0609560000, 0.0717899000, 0.1002234000, 0.1730440000, 0.3932248000, 1.0984375000", \
"0.0618867000, 0.0657577000, 0.0765858000, 0.1050187000, 0.1779049000, 0.3978622000, 1.1054031000", \
"0.0730108000, 0.0768356000, 0.0875808000, 0.1159710000, 0.1890914000, 0.4087506000, 1.1164475000", \
"0.0951134000, 0.0991041000, 0.1101411000, 0.1386601000, 0.2119098000, 0.4315735000, 1.1478953000", \
"0.1247925000, 0.1297778000, 0.1428091000, 0.1738966000, 0.2486437000, 0.4693222000, 1.1772505000", \
"0.1552133000, 0.1620376000, 0.1798279000, 0.2180873000, 0.2970146000, 0.5180440000, 1.2279460000", \
"0.1659175000, 0.1750542000, 0.1994561000, 0.2523741000, 0.3432131000, 0.5656097000, 1.2708894000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000");
values("0.0313910000, 0.0340692000, 0.0403322000, 0.0574984000, 0.1002465000, 0.2292491000, 0.6677858000", \
"0.0312936000, 0.0340093000, 0.0404162000, 0.0571154000, 0.1002455000, 0.2296427000, 0.6678712000", \
"0.0315374000, 0.0337304000, 0.0404813000, 0.0573342000, 0.1004623000, 0.2296777000, 0.6678723000", \
"0.0313809000, 0.0340219000, 0.0405700000, 0.0577597000, 0.1004392000, 0.2297419000, 0.6674458000", \
"0.0312466000, 0.0336636000, 0.0411964000, 0.0574809000, 0.1002981000, 0.2295139000, 0.6651223000", \
"0.0367009000, 0.0390709000, 0.0454577000, 0.0608918000, 0.1024936000, 0.2298953000, 0.6673047000", \
"0.0539706000, 0.0576017000, 0.0645313000, 0.0808044000, 0.1185278000, 0.2392599000, 0.6693164000");
}
related_pin : "B1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000");
values("0.0187345000, 0.0222924000, 0.0334262000, 0.0646484000, 0.1576572000, 0.4716914000, 1.4957987000", \
"0.0187035000, 0.0222944000, 0.0333752000, 0.0646505000, 0.1576898000, 0.4721440000, 1.4942688000", \
"0.0187314000, 0.0223507000, 0.0334839000, 0.0646321000, 0.1577190000, 0.4701748000, 1.5001480000", \
"0.0209076000, 0.0243064000, 0.0348916000, 0.0652364000, 0.1576108000, 0.4714336000, 1.4966234000", \
"0.0281409000, 0.0315719000, 0.0418864000, 0.0704077000, 0.1595496000, 0.4720082000, 1.4969825000", \
"0.0410716000, 0.0456649000, 0.0577893000, 0.0839021000, 0.1653925000, 0.4708894000, 1.4938556000", \
"0.0615857000, 0.0679530000, 0.0844398000, 0.1138514000, 0.1817333000, 0.4741884000, 1.4864959000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
/* removed sky130_fd_sc_hd__a41oi_1 */
/* removed sky130_fd_sc_hd__a41oi_2 */
cell ("sky130_fd_sc_hd__a41oi_4") {
leakage_power () {
value : 0.0035623000;
when : "A1&A2&!A3&!A4&B1";
}
leakage_power () {
value : 0.0017470000;
when : "A1&A2&!A3&!A4&!B1";
}
leakage_power () {
value : 0.0035623000;
when : "A1&A2&!A3&A4&B1";
}
leakage_power () {
value : 0.0029806000;
when : "A1&A2&!A3&A4&!B1";
}
leakage_power () {
value : 0.0035623000;
when : "A1&A2&A3&!A4&B1";
}
leakage_power () {
value : 0.0030025000;
when : "A1&A2&A3&!A4&!B1";
}
leakage_power () {
value : 0.0004870000;
when : "A1&A2&A3&A4&B1";
}
leakage_power () {
value : 0.0048485000;
when : "A1&A2&A3&A4&!B1";
}
leakage_power () {
value : 0.0035618000;
when : "!A1&!A2&!A3&!A4&B1";
}
leakage_power () {
value : 0.0015894000;
when : "!A1&!A2&!A3&!A4&!B1";
}
leakage_power () {
value : 0.0035616000;
when : "!A1&!A2&!A3&A4&B1";
}
leakage_power () {
value : 0.0016137000;
when : "!A1&!A2&!A3&A4&!B1";
}
leakage_power () {
value : 0.0035623000;
when : "!A1&!A2&A3&!A4&B1";
}
leakage_power () {
value : 0.0016153000;
when : "!A1&!A2&A3&!A4&!B1";
}
leakage_power () {
value : 0.0035623000;
when : "!A1&!A2&A3&A4&B1";
}
leakage_power () {
value : 0.0016893000;
when : "!A1&!A2&A3&A4&!B1";
}
leakage_power () {
value : 0.0035623000;
when : "!A1&A2&!A3&!A4&B1";
}
leakage_power () {
value : 0.0016184000;
when : "!A1&A2&!A3&!A4&!B1";
}
leakage_power () {
value : 0.0035623000;
when : "!A1&A2&!A3&A4&B1";
}
leakage_power () {
value : 0.0016927000;
when : "!A1&A2&!A3&A4&!B1";
}
leakage_power () {
value : 0.0035623000;
when : "!A1&A2&A3&!A4&B1";
}
leakage_power () {
value : 0.0016996000;
when : "!A1&A2&A3&!A4&!B1";
}
leakage_power () {
value : 0.0035623000;
when : "!A1&A2&A3&A4&B1";
}
leakage_power () {
value : 0.0034253000;
when : "!A1&A2&A3&A4&!B1";
}
leakage_power () {
value : 0.0035615000;
when : "A1&!A2&!A3&!A4&B1";
}
leakage_power () {
value : 0.0016390000;
when : "A1&!A2&!A3&!A4&!B1";
}
leakage_power () {
value : 0.0035623000;
when : "A1&!A2&!A3&A4&B1";
}
leakage_power () {
value : 0.0017099000;
when : "A1&!A2&!A3&A4&!B1";
}
leakage_power () {
value : 0.0035623000;
when : "A1&!A2&A3&!A4&B1";
}
leakage_power () {
value : 0.0017191000;
when : "A1&!A2&A3&!A4&!B1";
}
leakage_power () {
value : 0.0035623000;
when : "A1&!A2&A3&A4&B1";
}
leakage_power () {
value : 0.0030054000;
when : "A1&!A2&A3&A4&!B1";
}
area : 27.526400000;
cell_footprint : "sky130_fd_sc_hd__a41oi";
cell_leakage_power : 0.0027973540;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A1") {
capacitance : 0.0083200000;
clock : "false";
direction : "input";
fall_capacitance : 0.0081270000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0181588000, 0.0181538000, 0.0181422000, 0.0181360000, 0.0181217000, 0.0180888000, 0.0180128000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.013116700, -0.013142000, -0.013200400, -0.013166100, -0.013087100, -0.012905000, -0.012485200");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0085120000;
}
pin ("A2") {
capacitance : 0.0083460000;
clock : "false";
direction : "input";
fall_capacitance : 0.0081260000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0172103000, 0.0172160000, 0.0172292000, 0.0172914000, 0.0174348000, 0.0177654000, 0.0185273000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.015611900, -0.015610800, -0.015608100, -0.015606800, -0.015603900, -0.015597000, -0.015581300");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0085660000;
}
pin ("A3") {
capacitance : 0.0082840000;
clock : "false";
direction : "input";
fall_capacitance : 0.0079280000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0154083000, 0.0154089000, 0.0154105000, 0.0154108000, 0.0154115000, 0.0154131000, 0.0154169000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.015386000, -0.015374200, -0.015347000, -0.015344300, -0.015338100, -0.015323900, -0.015291000");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0086400000;
}
pin ("A4") {
capacitance : 0.0085280000;
clock : "false";
direction : "input";
fall_capacitance : 0.0080710000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0155199000, 0.0155295000, 0.0155519000, 0.0155562000, 0.0155661000, 0.0155889000, 0.0156415000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.015535100, -0.015536100, -0.015538300, -0.015537900, -0.015536800, -0.015534500, -0.015529000");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0089860000;
}
pin ("B1") {
capacitance : 0.0084790000;
clock : "false";
direction : "input";
fall_capacitance : 0.0077840000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0091441000, 0.0091140000, 0.0090446000, 0.0091221000, 0.0093007000, 0.0097122000, 0.0106609000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.006956200, -0.006955100, -0.006952500, -0.006954500, -0.006958900, -0.006969200, -0.006992800");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0091750000;
}
pin ("Y") {
direction : "output";
function : "(!A1&!B1) | (!A2&!B1) | (!A3&!B1) | (!A4&!B1)";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0013504540, 0.0036474540, 0.0098514400, 0.0266078400, 0.0718653400, 0.1941017000");
values("0.0210996000, 0.0197451000, 0.0161122000, 0.0062555000, -0.020592600, -0.093409000, -0.290829500", \
"0.0207013000, 0.0193759000, 0.0156957000, 0.0058453000, -0.020904500, -0.093700600, -0.291148100", \
"0.0200656000, 0.0187407000, 0.0150535000, 0.0052855000, -0.021348300, -0.094102300, -0.291485300", \
"0.0190481000, 0.0178196000, 0.0141959000, 0.0044204000, -0.022129600, -0.094633500, -0.291847000", \
"0.0184450000, 0.0171078000, 0.0135699000, 0.0039578000, -0.022542800, -0.094982500, -0.292459900", \
"0.0189410000, 0.0175752000, 0.0136563000, 0.0037359000, -0.022894100, -0.095607800, -0.292689600", \
"0.0220921000, 0.0206461000, 0.0166883000, 0.0065846000, -0.021018500, -0.094304000, -0.292966600");
}
related_pin : "A1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0013504540, 0.0036474540, 0.0098514400, 0.0266078400, 0.0718653400, 0.1941017000");
values("0.0269287000, 0.0285283000, 0.0325341000, 0.0431330000, 0.0702773000, 0.1438896000, 0.3394828000", \
"0.0262417000, 0.0278448000, 0.0319115000, 0.0425987000, 0.0702381000, 0.1431038000, 0.3389101000", \
"0.0254292000, 0.0269665000, 0.0310770000, 0.0418832000, 0.0697554000, 0.1430219000, 0.3391501000", \
"0.0246653000, 0.0261977000, 0.0301397000, 0.0407674000, 0.0686356000, 0.1433042000, 0.3387925000", \
"0.0241405000, 0.0254732000, 0.0293263000, 0.0395481000, 0.0674588000, 0.1411128000, 0.3390321000", \
"0.0240046000, 0.0253986000, 0.0291954000, 0.0394726000, 0.0666225000, 0.1401490000, 0.3383349000", \
"0.0234588000, 0.0248138000, 0.0285130000, 0.0383690000, 0.0664813000, 0.1406958000, 0.3369995000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0013504540, 0.0036474540, 0.0098514400, 0.0266078400, 0.0718653400, 0.1941017000");
values("0.0236449000, 0.0222833000, 0.0186220000, 0.0086891000, -0.018145400, -0.090968300, -0.288470100", \
"0.0232881000, 0.0218938000, 0.0182214000, 0.0082949000, -0.018551100, -0.091278600, -0.288728200", \
"0.0226125000, 0.0212635000, 0.0176403000, 0.0078113000, -0.018997100, -0.091727600, -0.289147100", \
"0.0218389000, 0.0204926000, 0.0168688000, 0.0070706000, -0.019598800, -0.092230300, -0.289499800", \
"0.0211118000, 0.0197931000, 0.0163200000, 0.0065680000, -0.019961500, -0.092441300, -0.289505300", \
"0.0210083000, 0.0196403000, 0.0160063000, 0.0060868000, -0.020806000, -0.093147500, -0.290115500", \
"0.0231352000, 0.0217560000, 0.0180415000, 0.0077534000, -0.019542700, -0.093137700, -0.290251000");
}
related_pin : "A2";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0013504540, 0.0036474540, 0.0098514400, 0.0266078400, 0.0718653400, 0.1941017000");
values("0.0409951000, 0.0424013000, 0.0461126000, 0.0562054000, 0.0832303000, 0.1559318000, 0.3511684000", \
"0.0404576000, 0.0418184000, 0.0456881000, 0.0559045000, 0.0831857000, 0.1558992000, 0.3511670000", \
"0.0394725000, 0.0409864000, 0.0447955000, 0.0551511000, 0.0826898000, 0.1555924000, 0.3513504000", \
"0.0382833000, 0.0397609000, 0.0436157000, 0.0540247000, 0.0817327000, 0.1551692000, 0.3508013000", \
"0.0373301000, 0.0387243000, 0.0425219000, 0.0527757000, 0.0802755000, 0.1537956000, 0.3503297000", \
"0.0367771000, 0.0380884000, 0.0419490000, 0.0521681000, 0.0793226000, 0.1525423000, 0.3489013000", \
"0.0351514000, 0.0364607000, 0.0400957000, 0.0500105000, 0.0783653000, 0.1512880000, 0.3479411000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0013504540, 0.0036474540, 0.0098514400, 0.0266078400, 0.0718653400, 0.1941017000");
values("0.0235919000, 0.0221920000, 0.0185118000, 0.0085964000, -0.018262400, -0.091018400, -0.288447000", \
"0.0231376000, 0.0217772000, 0.0181280000, 0.0082282000, -0.018642400, -0.091466700, -0.288803800", \
"0.0224918000, 0.0211305000, 0.0175160000, 0.0076799000, -0.019163500, -0.091902600, -0.289278600", \
"0.0216476000, 0.0203217000, 0.0166981000, 0.0069049000, -0.019733600, -0.092318200, -0.289638500", \
"0.0210241000, 0.0197092000, 0.0161359000, 0.0064054000, -0.020212400, -0.092636400, -0.289752900", \
"0.0207013000, 0.0193382000, 0.0156451000, 0.0058859000, -0.020840200, -0.093100400, -0.290150000", \
"0.0224255000, 0.0209554000, 0.0172381000, 0.0070864000, -0.020119100, -0.093566700, -0.290427000");
}
related_pin : "A3";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0013504540, 0.0036474540, 0.0098514400, 0.0266078400, 0.0718653400, 0.1941017000");
values("0.0529691000, 0.0543472000, 0.0582282000, 0.0681957000, 0.0953214000, 0.1681049000, 0.3641367000", \
"0.0525497000, 0.0540296000, 0.0578370000, 0.0678713000, 0.0949789000, 0.1678380000, 0.3634923000", \
"0.0517781000, 0.0532116000, 0.0570898000, 0.0672701000, 0.0946285000, 0.1674925000, 0.3633252000", \
"0.0508815000, 0.0523792000, 0.0562559000, 0.0665113000, 0.0940441000, 0.1670235000, 0.3629655000", \
"0.0501598000, 0.0515863000, 0.0553671000, 0.0656118000, 0.0930257000, 0.1664024000, 0.3628354000", \
"0.0500363000, 0.0514826000, 0.0553783000, 0.0655112000, 0.0927738000, 0.1657205000, 0.3621062000", \
"0.0491210000, 0.0505517000, 0.0543277000, 0.0650332000, 0.0925824000, 0.1658629000, 0.3619161000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0013504540, 0.0036474540, 0.0098514400, 0.0266078400, 0.0718653400, 0.1941017000");
values("0.0233025000, 0.0219401000, 0.0182752000, 0.0083830000, -0.018496500, -0.091323600, -0.288696500", \
"0.0229090000, 0.0215502000, 0.0178260000, 0.0079341000, -0.018935400, -0.091695100, -0.289126700", \
"0.0222518000, 0.0209159000, 0.0172631000, 0.0073930000, -0.019407600, -0.092145200, -0.289536300", \
"0.0214678000, 0.0201401000, 0.0165040000, 0.0066815000, -0.019917800, -0.092600200, -0.289906000", \
"0.0209405000, 0.0196193000, 0.0160138000, 0.0061968000, -0.020374300, -0.092815700, -0.289995300", \
"0.0209269000, 0.0196783000, 0.0159774000, 0.0056902000, -0.020968100, -0.093338100, -0.290250800", \
"0.0225386000, 0.0212092000, 0.0173924000, 0.0072984000, -0.020048900, -0.093578800, -0.290603700");
}
related_pin : "A4";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0013504540, 0.0036474540, 0.0098514400, 0.0266078400, 0.0718653400, 0.1941017000");
values("0.0633504000, 0.0647317000, 0.0686156000, 0.0786465000, 0.1058574000, 0.1789756000, 0.3747835000", \
"0.0630036000, 0.0643636000, 0.0680213000, 0.0782862000, 0.1055897000, 0.1787537000, 0.3750160000", \
"0.0624420000, 0.0637591000, 0.0676258000, 0.0776838000, 0.1049724000, 0.1781006000, 0.3744684000", \
"0.0618389000, 0.0632219000, 0.0669727000, 0.0772304000, 0.1046420000, 0.1777779000, 0.3738908000", \
"0.0612624000, 0.0626409000, 0.0663749000, 0.0766417000, 0.1041629000, 0.1772347000, 0.3737618000", \
"0.0613581000, 0.0624542000, 0.0664947000, 0.0766935000, 0.1039171000, 0.1772820000, 0.3738887000", \
"0.0603609000, 0.0617041000, 0.0656434000, 0.0765368000, 0.1039453000, 0.1774838000, 0.3735353000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0013504540, 0.0036474540, 0.0098514400, 0.0266078400, 0.0718653400, 0.1941017000");
values("-0.000207900, -0.001374700, -0.004710100, -0.014278800, -0.041078600, -0.114212900, -0.312177000", \
"-0.000836600, -0.001997800, -0.005175000, -0.014509800, -0.041022600, -0.113980700, -0.311828800", \
"-0.001575100, -0.002669600, -0.005930100, -0.015096900, -0.041182100, -0.113834800, -0.311550700", \
"-0.002049900, -0.003410800, -0.006787700, -0.015929000, -0.041811100, -0.113980600, -0.311470500", \
"-0.001617100, -0.002969600, -0.006447500, -0.015933800, -0.042582500, -0.114575300, -0.311640200", \
"-3.60000e-05, -0.001483200, -0.005357500, -0.015496400, -0.042378200, -0.115201600, -0.312062700", \
"0.0053024000, 0.0036914000, -0.000470200, -0.011280700, -0.039653800, -0.112805300, -0.311210700");
}
related_pin : "B1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0013504540, 0.0036474540, 0.0098514400, 0.0266078400, 0.0718653400, 0.1941017000");
values("0.0573521000, 0.0588112000, 0.0626932000, 0.0731982000, 0.1010249000, 0.1745929000, 0.3709590000", \
"0.0567285000, 0.0581183000, 0.0619852000, 0.0726275000, 0.1003738000, 0.1740850000, 0.3704827000", \
"0.0563596000, 0.0577053000, 0.0615370000, 0.0717868000, 0.0997729000, 0.1737676000, 0.3701033000", \
"0.0556436000, 0.0570335000, 0.0608689000, 0.0712311000, 0.0988264000, 0.1728969000, 0.3695204000", \
"0.0553328000, 0.0567300000, 0.0605486000, 0.0707100000, 0.0976659000, 0.1711605000, 0.3683524000", \
"0.0572905000, 0.0586395000, 0.0624893000, 0.0725964000, 0.0990462000, 0.1715790000, 0.3672085000", \
"0.0643458000, 0.0653273000, 0.0680435000, 0.0765530000, 0.1022747000, 0.1740364000, 0.3671860000");
}
}
max_capacitance : 0.1941020000;
max_transition : 1.4951550000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000");
values("0.0530923000, 0.0562778000, 0.0645032000, 0.0856371000, 0.1385133000, 0.2736954000, 0.6332653000", \
"0.0562041000, 0.0595061000, 0.0677353000, 0.0887991000, 0.1419823000, 0.2772830000, 0.6363858000", \
"0.0640364000, 0.0672600000, 0.0755751000, 0.0970074000, 0.1500519000, 0.2854898000, 0.6446537000", \
"0.0866097000, 0.0902618000, 0.0975717000, 0.1174750000, 0.1706140000, 0.3064547000, 0.6654097000", \
"0.1183276000, 0.1227582000, 0.1339203000, 0.1605233000, 0.2191927000, 0.3549805000, 0.7153390000", \
"0.1490663000, 0.1556216000, 0.1718002000, 0.2115635000, 0.2958952000, 0.4660740000, 0.8279867000", \
"0.1521763000, 0.1617640000, 0.1858470000, 0.2446227000, 0.3744825000, 0.6270390000, 1.0916016000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000");
values("0.0873878000, 0.0922667000, 0.1046624000, 0.1363570000, 0.2172988000, 0.4354779000, 1.0187614000", \
"0.0916230000, 0.0965637000, 0.1091658000, 0.1413442000, 0.2232999000, 0.4400429000, 1.0216830000", \
"0.1039195000, 0.1086349000, 0.1212384000, 0.1536418000, 0.2366945000, 0.4543870000, 1.0360054000", \
"0.1341466000, 0.1387784000, 0.1507497000, 0.1827149000, 0.2661782000, 0.4866261000, 1.0684653000", \
"0.1922970000, 0.1977637000, 0.2117182000, 0.2458405000, 0.3285338000, 0.5474739000, 1.1353456000", \
"0.2870074000, 0.2945028000, 0.3148425000, 0.3607123000, 0.4623463000, 0.6875482000, 1.2729705000", \
"0.4345158000, 0.4470002000, 0.4796276000, 0.5531882000, 0.7031411000, 0.9930939000, 1.5972303000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000");
values("0.0662862000, 0.0691635000, 0.0780522000, 0.1019986000, 0.1690955000, 0.3515754000, 0.8478181000", \
"0.0657016000, 0.0689337000, 0.0777405000, 0.1020538000, 0.1691642000, 0.3518935000, 0.8475759000", \
"0.0620882000, 0.0653983000, 0.0750994000, 0.1013171000, 0.1689262000, 0.3516678000, 0.8471649000", \
"0.0657510000, 0.0693549000, 0.0777707000, 0.1013113000, 0.1676023000, 0.3516940000, 0.8470279000", \
"0.0819693000, 0.0863021000, 0.0975268000, 0.1257407000, 0.1835462000, 0.3528115000, 0.8469866000", \
"0.1225321000, 0.1282674000, 0.1429248000, 0.1767299000, 0.2529283000, 0.4075427000, 0.8523430000", \
"0.1952183000, 0.2037691000, 0.2258678000, 0.2758929000, 0.3749026000, 0.5734200000, 0.9807418000");
}
related_pin : "A1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000");
values("0.0481460000, 0.0536505000, 0.0686774000, 0.1097140000, 0.2196486000, 0.5183554000, 1.3143420000", \
"0.0483109000, 0.0537893000, 0.0686916000, 0.1095831000, 0.2191027000, 0.5135174000, 1.3124914000", \
"0.0485433000, 0.0540628000, 0.0690406000, 0.1096446000, 0.2193509000, 0.5144998000, 1.3112599000", \
"0.0492946000, 0.0545516000, 0.0695034000, 0.1095291000, 0.2193039000, 0.5179702000, 1.3112497000", \
"0.0629613000, 0.0683007000, 0.0808426000, 0.1167316000, 0.2203808000, 0.5141697000, 1.3118781000", \
"0.0946753000, 0.1003876000, 0.1155132000, 0.1551599000, 0.2521263000, 0.5226122000, 1.3150281000", \
"0.1695366000, 0.1765229000, 0.1957600000, 0.2434647000, 0.3544946000, 0.6115157000, 1.3284313000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000");
values("0.0696841000, 0.0728650000, 0.0813675000, 0.1021293000, 0.1549233000, 0.2902436000, 0.6495340000", \
"0.0733279000, 0.0763782000, 0.0847749000, 0.1057495000, 0.1583277000, 0.2940018000, 0.6530181000", \
"0.0813352000, 0.0845622000, 0.0927231000, 0.1137435000, 0.1667055000, 0.3023031000, 0.6614432000", \
"0.0998989000, 0.1032758000, 0.1117130000, 0.1326944000, 0.1855720000, 0.3218028000, 0.6817236000", \
"0.1320422000, 0.1360918000, 0.1464158000, 0.1717273000, 0.2296243000, 0.3670214000, 0.7276906000", \
"0.1678065000, 0.1737955000, 0.1897859000, 0.2255365000, 0.3041209000, 0.4663397000, 0.8332832000", \
"0.1793291000, 0.1889776000, 0.2119944000, 0.2660721000, 0.3869711000, 0.6221116000, 1.0635689000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000");
values("0.1205834000, 0.1249720000, 0.1367141000, 0.1685795000, 0.2518458000, 0.4749006000, 1.0755405000", \
"0.1253600000, 0.1298108000, 0.1418033000, 0.1738656000, 0.2578876000, 0.4812384000, 1.0824014000", \
"0.1371202000, 0.1417926000, 0.1538424000, 0.1865608000, 0.2713772000, 0.4955159000, 1.0961401000", \
"0.1665334000, 0.1709576000, 0.1829943000, 0.2159124000, 0.3011156000, 0.5258623000, 1.1284420000", \
"0.2286458000, 0.2337935000, 0.2466795000, 0.2787726000, 0.3636345000, 0.5893708000, 1.1920104000", \
"0.3376991000, 0.3444110000, 0.3609259000, 0.4017088000, 0.4987563000, 0.7273407000, 1.3328845000", \
"0.5187945000, 0.5294494000, 0.5550771000, 0.6162563000, 0.7506151000, 1.0307184000, 1.6503712000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000");
values("0.0641800000, 0.0675001000, 0.0765781000, 0.1014406000, 0.1688425000, 0.3515545000, 0.8477761000", \
"0.0640467000, 0.0675889000, 0.0765118000, 0.1013984000, 0.1685556000, 0.3518947000, 0.8474118000", \
"0.0638029000, 0.0670203000, 0.0765000000, 0.1010843000, 0.1687300000, 0.3518275000, 0.8472746000", \
"0.0664756000, 0.0695776000, 0.0782765000, 0.1024659000, 0.1683731000, 0.3515681000, 0.8476928000", \
"0.0823435000, 0.0858112000, 0.0955271000, 0.1194126000, 0.1786460000, 0.3531744000, 0.8474237000", \
"0.1223266000, 0.1265249000, 0.1375831000, 0.1654706000, 0.2309255000, 0.3874728000, 0.8518401000", \
"0.1972112000, 0.2039931000, 0.2205208000, 0.2590468000, 0.3431285000, 0.5236706000, 0.9402258000");
}
related_pin : "A2";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000");
values("0.0730009000, 0.0788288000, 0.0945165000, 0.1366828000, 0.2503192000, 0.5552522000, 1.3821142000", \
"0.0730074000, 0.0787442000, 0.0945198000, 0.1369336000, 0.2502387000, 0.5551096000, 1.3782993000", \
"0.0730788000, 0.0789061000, 0.0945004000, 0.1367221000, 0.2503382000, 0.5563244000, 1.3767786000", \
"0.0734012000, 0.0789312000, 0.0946496000, 0.1369447000, 0.2502049000, 0.5553343000, 1.3798075000", \
"0.0810012000, 0.0861873000, 0.1006157000, 0.1405698000, 0.2505348000, 0.5574022000, 1.3780396000", \
"0.1124018000, 0.1180305000, 0.1337907000, 0.1744061000, 0.2747907000, 0.5614404000, 1.3821102000", \
"0.1870672000, 0.1945360000, 0.2132603000, 0.2593319000, 0.3704617000, 0.6367900000, 1.3927993000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000");
values("0.0787714000, 0.0818068000, 0.0901644000, 0.1110343000, 0.1638003000, 0.2992975000, 0.6579408000", \
"0.0822579000, 0.0854382000, 0.0939272000, 0.1147387000, 0.1671613000, 0.3031381000, 0.6617102000", \
"0.0895279000, 0.0927671000, 0.1009153000, 0.1218841000, 0.1748419000, 0.3101757000, 0.6704858000", \
"0.1040788000, 0.1073946000, 0.1157481000, 0.1367576000, 0.1896705000, 0.3254813000, 0.6846221000", \
"0.1285641000, 0.1321132000, 0.1413215000, 0.1653279000, 0.2212440000, 0.3585181000, 0.7181364000", \
"0.1592810000, 0.1640723000, 0.1764801000, 0.2088043000, 0.2761401000, 0.4296001000, 0.7946379000", \
"0.1644445000, 0.1723393000, 0.1906908000, 0.2377115000, 0.3405479000, 0.5448099000, 0.9626416000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000");
values("0.1510060000, 0.1560213000, 0.1682257000, 0.2027719000, 0.2920396000, 0.5291405000, 1.1654336000", \
"0.1556466000, 0.1599188000, 0.1734880000, 0.2079150000, 0.2974466000, 0.5345020000, 1.1703919000", \
"0.1682460000, 0.1727384000, 0.1856253000, 0.2203626000, 0.3105921000, 0.5483164000, 1.1849601000", \
"0.1977486000, 0.2019321000, 0.2158256000, 0.2498725000, 0.3403944000, 0.5792337000, 1.2155974000", \
"0.2607644000, 0.2656265000, 0.2786369000, 0.3131403000, 0.4032839000, 0.6420205000, 1.2794273000", \
"0.3780976000, 0.3839576000, 0.3987109000, 0.4401732000, 0.5392699000, 0.7785460000, 1.4168617000", \
"0.5803269000, 0.5889874000, 0.6127007000, 0.6682157000, 0.7980768000, 1.0826008000, 1.7308774000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000");
values("0.0640408000, 0.0675909000, 0.0765258000, 0.1013591000, 0.1687534000, 0.3518702000, 0.8470908000", \
"0.0640397000, 0.0673376000, 0.0764267000, 0.1013293000, 0.1686874000, 0.3517538000, 0.8462242000", \
"0.0637079000, 0.0670664000, 0.0764883000, 0.1013260000, 0.1686622000, 0.3514901000, 0.8481187000", \
"0.0659458000, 0.0691696000, 0.0778883000, 0.1019963000, 0.1684701000, 0.3517454000, 0.8470740000", \
"0.0772052000, 0.0804838000, 0.0889059000, 0.1132738000, 0.1755237000, 0.3532671000, 0.8471572000", \
"0.1102247000, 0.1137814000, 0.1230950000, 0.1482450000, 0.2106105000, 0.3777839000, 0.8522694000", \
"0.1819686000, 0.1873431000, 0.2005881000, 0.2317467000, 0.3056446000, 0.4728227000, 0.9182493000");
}
related_pin : "A3";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000");
values("0.1004379000, 0.1062720000, 0.1232936000, 0.1678419000, 0.2880585000, 0.6112821000, 1.4811716000", \
"0.1001576000, 0.1066144000, 0.1231854000, 0.1678448000, 0.2882627000, 0.6112923000, 1.4805845000", \
"0.1004376000, 0.1063509000, 0.1232808000, 0.1677787000, 0.2880484000, 0.6121826000, 1.4850339000", \
"0.1000851000, 0.1065285000, 0.1231928000, 0.1679017000, 0.2883090000, 0.6109180000, 1.4811298000", \
"0.1049050000, 0.1106119000, 0.1265543000, 0.1697291000, 0.2883137000, 0.6118522000, 1.4808013000", \
"0.1345934000, 0.1409532000, 0.1576764000, 0.1997117000, 0.3068384000, 0.6145005000, 1.4858879000", \
"0.2089709000, 0.2170463000, 0.2349670000, 0.2819351000, 0.3976673000, 0.6818899000, 1.4951547000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000");
values("0.0831762000, 0.0863924000, 0.0948232000, 0.1156474000, 0.1680505000, 0.3040017000, 0.6624434000", \
"0.0869881000, 0.0900258000, 0.0983194000, 0.1191928000, 0.1719337000, 0.3072613000, 0.6675812000", \
"0.0939015000, 0.0972166000, 0.1052201000, 0.1262015000, 0.1791795000, 0.3145037000, 0.6745699000", \
"0.1065730000, 0.1098173000, 0.1181210000, 0.1392555000, 0.1920321000, 0.3281684000, 0.6872923000", \
"0.1261504000, 0.1296034000, 0.1383035000, 0.1610499000, 0.2162801000, 0.3528886000, 0.7124101000", \
"0.1490386000, 0.1541388000, 0.1646939000, 0.1909840000, 0.2542284000, 0.4023739000, 0.7659684000", \
"0.1505794000, 0.1572514000, 0.1729369000, 0.2110742000, 0.2984293000, 0.4788007000, 0.8789223000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000");
values("0.1625279000, 0.1678106000, 0.1810343000, 0.2150458000, 0.3033923000, 0.5344193000, 1.1503136000", \
"0.1679079000, 0.1727670000, 0.1857063000, 0.2197664000, 0.3074151000, 0.5386393000, 1.1547755000", \
"0.1807952000, 0.1857789000, 0.1976528000, 0.2325259000, 0.3213572000, 0.5525277000, 1.1682644000", \
"0.2100490000, 0.2149185000, 0.2276068000, 0.2623112000, 0.3506996000, 0.5825482000, 1.1987474000", \
"0.2704230000, 0.2751319000, 0.2882329000, 0.3224802000, 0.4095689000, 0.6421215000, 1.2585915000", \
"0.3828522000, 0.3893551000, 0.4049467000, 0.4437629000, 0.5393404000, 0.7712702000, 1.3884408000", \
"0.5820697000, 0.5901075000, 0.6101613000, 0.6601852000, 0.7833955000, 1.0556319000, 1.6831312000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000");
values("0.0640324000, 0.0673475000, 0.0764207000, 0.1013609000, 0.1687221000, 0.3517585000, 0.8468868000", \
"0.0640470000, 0.0673410000, 0.0764788000, 0.1012975000, 0.1686031000, 0.3514728000, 0.8475461000", \
"0.0638742000, 0.0670979000, 0.0765811000, 0.1011410000, 0.1686735000, 0.3514169000, 0.8474879000", \
"0.0648765000, 0.0683665000, 0.0771196000, 0.1017265000, 0.1686653000, 0.3516342000, 0.8474732000", \
"0.0726359000, 0.0759796000, 0.0849165000, 0.1090596000, 0.1735248000, 0.3528744000, 0.8472210000", \
"0.0954960000, 0.0989114000, 0.1078789000, 0.1324030000, 0.1971806000, 0.3712689000, 0.8517831000", \
"0.1584155000, 0.1625824000, 0.1725157000, 0.2000714000, 0.2660934000, 0.4363842000, 0.8978009000");
}
related_pin : "A4";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000");
values("0.1187874000, 0.1247748000, 0.1417435000, 0.1843587000, 0.3007526000, 0.6136441000, 1.4570587000", \
"0.1192259000, 0.1252030000, 0.1411246000, 0.1848555000, 0.3010424000, 0.6137093000, 1.4549328000", \
"0.1190289000, 0.1246713000, 0.1413272000, 0.1847522000, 0.3007431000, 0.6132809000, 1.4583859000", \
"0.1189809000, 0.1249827000, 0.1411905000, 0.1849026000, 0.3016245000, 0.6139514000, 1.4588439000", \
"0.1218830000, 0.1276961000, 0.1435797000, 0.1858676000, 0.3009991000, 0.6136613000, 1.4579793000", \
"0.1508147000, 0.1555374000, 0.1721435000, 0.2134527000, 0.3182430000, 0.6176828000, 1.4556751000", \
"0.2195134000, 0.2260808000, 0.2439667000, 0.2894032000, 0.4042216000, 0.6839001000, 1.4753619000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000");
values("0.0139201000, 0.0147929000, 0.0170526000, 0.0228135000, 0.0375962000, 0.0770815000, 0.1832229000", \
"0.0184397000, 0.0194160000, 0.0216777000, 0.0274816000, 0.0424872000, 0.0819488000, 0.1881928000", \
"0.0244993000, 0.0261202000, 0.0299340000, 0.0379616000, 0.0535289000, 0.0932631000, 0.1995685000", \
"0.0302844000, 0.0328834000, 0.0390988000, 0.0517762000, 0.0760483000, 0.1188856000, 0.2250975000", \
"0.0320327000, 0.0354329000, 0.0450131000, 0.0657665000, 0.1043671000, 0.1709488000, 0.2858461000", \
"0.0161002000, 0.0224952000, 0.0371998000, 0.0700939000, 0.1317640000, 0.2369666000, 0.4099182000", \
"-0.047025600, -0.037098500, -0.013468800, 0.0387152000, 0.1366509000, 0.3028460000, 0.5747524000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000");
values("0.1242895000, 0.1294140000, 0.1433708000, 0.1785351000, 0.2672481000, 0.4994049000, 1.1159752000", \
"0.1276775000, 0.1328813000, 0.1454131000, 0.1812575000, 0.2711824000, 0.5036495000, 1.1203017000", \
"0.1382974000, 0.1435033000, 0.1568400000, 0.1914459000, 0.2802888000, 0.5138448000, 1.1317360000", \
"0.1649345000, 0.1695727000, 0.1832371000, 0.2172114000, 0.3065768000, 0.5396875000, 1.1581380000", \
"0.2345044000, 0.2388629000, 0.2514190000, 0.2849294000, 0.3707515000, 0.6035237000, 1.2220156000", \
"0.3678848000, 0.3747183000, 0.3908372000, 0.4334926000, 0.5297549000, 0.7573826000, 1.3715927000", \
"0.5815742000, 0.5922757000, 0.6174434000, 0.6827597000, 0.8280323000, 1.1196831000, 1.7357924000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000");
values("0.0104760000, 0.0117560000, 0.0150543000, 0.0237915000, 0.0460590000, 0.1025857000, 0.2477178000", \
"0.0118209000, 0.0127791000, 0.0157177000, 0.0238431000, 0.0460796000, 0.1026128000, 0.2476473000", \
"0.0205801000, 0.0212519000, 0.0232169000, 0.0285837000, 0.0475929000, 0.1026060000, 0.2475988000", \
"0.0380136000, 0.0384438000, 0.0403971000, 0.0463163000, 0.0617884000, 0.1075378000, 0.2476192000", \
"0.0725255000, 0.0732079000, 0.0752531000, 0.0817151000, 0.1000530000, 0.1416801000, 0.2573909000", \
"0.1309884000, 0.1318571000, 0.1350298000, 0.1434505000, 0.1676085000, 0.2231564000, 0.3342462000", \
"0.2354857000, 0.2363042000, 0.2405327000, 0.2518671000, 0.2869990000, 0.3686941000, 0.5221759000");
}
related_pin : "B1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000");
values("0.1192859000, 0.1251851000, 0.1411621000, 0.1844973000, 0.3008295000, 0.6131080000, 1.4544256000", \
"0.1191252000, 0.1248050000, 0.1410409000, 0.1844719000, 0.3006306000, 0.6136238000, 1.4553424000", \
"0.1192094000, 0.1248768000, 0.1407065000, 0.1844191000, 0.3008007000, 0.6136983000, 1.4582499000", \
"0.1165067000, 0.1230146000, 0.1402851000, 0.1844750000, 0.3013359000, 0.6139853000, 1.4554596000", \
"0.1275370000, 0.1327269000, 0.1471907000, 0.1874562000, 0.2999428000, 0.6134227000, 1.4569046000", \
"0.1801594000, 0.1871363000, 0.2029633000, 0.2456498000, 0.3391263000, 0.6195948000, 1.4555108000", \
"0.2670231000, 0.2773666000, 0.3032793000, 0.3650697000, 0.4877294000, 0.7390091000, 1.4771695000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
}
}
/* removed sky130_fd_sc_hd__and2_0 */
/* removed sky130_fd_sc_hd__and2_1 */
/* removed sky130_fd_sc_hd__and2_2 */
cell ("sky130_fd_sc_hd__and2_4") {
leakage_power () {
value : 0.0045182000;
when : "!A&B";
}
leakage_power () {
value : 0.0042181000;
when : "!A&!B";
}
leakage_power () {
value : 0.0049141000;
when : "A&B";
}
leakage_power () {
value : 0.0045368000;
when : "A&!B";
}
area : 8.7584000000;
cell_footprint : "sky130_fd_sc_hd__and2";
cell_leakage_power : 0.0045468170;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0023220000;
clock : "false";
direction : "input";
fall_capacitance : 0.0022440000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0046441000, 0.0046450000, 0.0046471000, 0.0046473000, 0.0046477000, 0.0046486000, 0.0046508000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.003813900, -0.003815400, -0.003818900, -0.003812800, -0.003798900, -0.003766900, -0.003693100");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0023990000;
}
pin ("B") {
capacitance : 0.0024240000;
clock : "false";
direction : "input";
fall_capacitance : 0.0022890000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0043132000, 0.0043138000, 0.0043151000, 0.0043147000, 0.0043138000, 0.0043118000, 0.0043072000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.004314400, -0.004314000, -0.004313200, -0.004313100, -0.004312900, -0.004312500, -0.004311400");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0025590000;
}
pin ("X") {
direction : "output";
function : "(A&B)";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0016011820, 0.0051275690, 0.0164203500, 0.0525839300, 0.1683929000, 0.5392554000");
values("0.0219934000, 0.0203575000, 0.0153407000, -0.000571600, -0.056486100, -0.243191300, -0.843738500", \
"0.0218637000, 0.0202414000, 0.0152177000, -0.000721400, -0.056630900, -0.243270800, -0.843826200", \
"0.0216027000, 0.0199616000, 0.0148455000, -0.000961400, -0.056924000, -0.243539000, -0.844065200", \
"0.0213800000, 0.0197347000, 0.0145553000, -0.001499200, -0.057400200, -0.243932800, -0.844342500", \
"0.0217083000, 0.0199763000, 0.0145601000, -0.001760400, -0.057926300, -0.244305500, -0.844611500", \
"0.0235541000, 0.0215797000, 0.0157522000, -0.002316000, -0.058359200, -0.243979100, -0.844365700", \
"0.0291198000, 0.0269686000, 0.0199042000, 0.0011556000, -0.057032300, -0.243583300, -0.843218800");
}
related_pin : "A";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0016011820, 0.0051275690, 0.0164203500, 0.0525839300, 0.1683929000, 0.5392554000");
values("0.0268359000, 0.0287043000, 0.0347671000, 0.0535348000, 0.1121659000, 0.2986388000, 0.8936575000", \
"0.0267891000, 0.0286378000, 0.0345587000, 0.0535035000, 0.1123113000, 0.2984654000, 0.8886216000", \
"0.0265147000, 0.0283975000, 0.0344192000, 0.0534200000, 0.1121571000, 0.2983078000, 0.8937819000", \
"0.0264661000, 0.0283159000, 0.0343476000, 0.0532022000, 0.1118517000, 0.2980327000, 0.8925930000", \
"0.0265940000, 0.0284016000, 0.0342972000, 0.0525095000, 0.1109989000, 0.2977092000, 0.8935497000", \
"0.0281363000, 0.0298973000, 0.0355536000, 0.0536864000, 0.1110265000, 0.2967123000, 0.8928317000", \
"0.0300397000, 0.0317357000, 0.0372010000, 0.0551988000, 0.1127392000, 0.2987017000, 0.8901778000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0016011820, 0.0051275690, 0.0164203500, 0.0525839300, 0.1683929000, 0.5392554000");
values("0.0242342000, 0.0226232000, 0.0174575000, 0.0013143000, -0.054620700, -0.241329300, -0.841892600", \
"0.0241061000, 0.0224827000, 0.0172657000, 0.0013069000, -0.054743600, -0.241436100, -0.842009200", \
"0.0239825000, 0.0223074000, 0.0171334000, 0.0009634000, -0.055016900, -0.241636800, -0.842178200", \
"0.0237839000, 0.0221014000, 0.0168350000, 0.0006720000, -0.055315700, -0.241879000, -0.842381100", \
"0.0239839000, 0.0222619000, 0.0168394000, 0.0003758000, -0.055343300, -0.241928800, -0.842348400", \
"0.0241729000, 0.0222595000, 0.0164405000, -0.000333700, -0.055474400, -0.241754300, -0.841946200", \
"0.0310029000, 0.0288509000, 0.0225415000, 0.0047170000, -0.054509000, -0.241373200, -0.841389900");
}
related_pin : "B";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0016011820, 0.0051275690, 0.0164203500, 0.0525839300, 0.1683929000, 0.5392554000");
values("0.0274649000, 0.0293370000, 0.0352974000, 0.0541880000, 0.1129284000, 0.2988462000, 0.8976207000", \
"0.0274234000, 0.0292947000, 0.0353569000, 0.0541434000, 0.1128546000, 0.2989904000, 0.8934235000", \
"0.0271964000, 0.0290773000, 0.0350998000, 0.0540511000, 0.1127472000, 0.2986478000, 0.8940299000", \
"0.0270387000, 0.0288931000, 0.0349200000, 0.0538420000, 0.1124182000, 0.2984832000, 0.8906550000", \
"0.0269662000, 0.0288491000, 0.0347595000, 0.0531925000, 0.1118951000, 0.2983111000, 0.8934664000", \
"0.0278650000, 0.0296570000, 0.0353535000, 0.0535465000, 0.1117268000, 0.2970995000, 0.8926131000", \
"0.0291608000, 0.0308249000, 0.0364298000, 0.0544184000, 0.1126395000, 0.2987646000, 0.8925423000");
}
}
max_capacitance : 0.5392550000;
max_transition : 1.5073040000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016011800, 0.0051275700, 0.0164203000, 0.0525839000, 0.1683930000, 0.5392550000");
values("0.1075722000, 0.1108711000, 0.1194063000, 0.1385359000, 0.1792587000, 0.2814725000, 0.5909777000", \
"0.1128001000, 0.1160923000, 0.1245839000, 0.1437462000, 0.1845300000, 0.2868012000, 0.5967698000", \
"0.1254879000, 0.1292502000, 0.1377147000, 0.1568275000, 0.1976561000, 0.2999596000, 0.6103894000", \
"0.1569157000, 0.1602705000, 0.1687679000, 0.1878012000, 0.2287325000, 0.3310373000, 0.6413503000", \
"0.2290461000, 0.2324625000, 0.2411661000, 0.2602894000, 0.3015657000, 0.4041014000, 0.7136133000", \
"0.3514737000, 0.3558933000, 0.3672617000, 0.3916203000, 0.4386747000, 0.5434140000, 0.8522572000", \
"0.5428690000, 0.5485799000, 0.5634483000, 0.5957725000, 0.6554340000, 0.7709175000, 1.0814671000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016011800, 0.0051275700, 0.0164203000, 0.0525839000, 0.1683930000, 0.5392550000");
values("0.1001052000, 0.1044490000, 0.1158731000, 0.1439631000, 0.2175814000, 0.4404515000, 1.1489737000", \
"0.1042852000, 0.1085675000, 0.1199086000, 0.1480933000, 0.2216752000, 0.4448077000, 1.1530928000", \
"0.1145495000, 0.1188651000, 0.1303157000, 0.1584149000, 0.2319022000, 0.4550954000, 1.1663756000", \
"0.1389479000, 0.1432470000, 0.1546415000, 0.1827197000, 0.2562166000, 0.4799561000, 1.1956172000", \
"0.1854124000, 0.1900212000, 0.2022073000, 0.2309741000, 0.3050086000, 0.5274398000, 1.2392685000", \
"0.2445943000, 0.2506001000, 0.2655731000, 0.2977021000, 0.3730493000, 0.5964311000, 1.3060746000", \
"0.3022301000, 0.3098472000, 0.3292155000, 0.3698066000, 0.4514374000, 0.6732024000, 1.3816840000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016011800, 0.0051275700, 0.0164203000, 0.0525839000, 0.1683930000, 0.5392550000");
values("0.0217115000, 0.0234817000, 0.0291566000, 0.0426379000, 0.0811186000, 0.2037033000, 0.6203453000", \
"0.0214940000, 0.0235378000, 0.0291617000, 0.0428483000, 0.0810571000, 0.2035903000, 0.6206806000", \
"0.0215759000, 0.0235712000, 0.0288988000, 0.0429835000, 0.0811935000, 0.2035723000, 0.6187569000", \
"0.0215966000, 0.0236828000, 0.0291780000, 0.0429033000, 0.0810994000, 0.2037923000, 0.6189805000", \
"0.0241568000, 0.0261043000, 0.0312016000, 0.0443087000, 0.0822326000, 0.2042058000, 0.6222320000", \
"0.0363429000, 0.0388287000, 0.0446289000, 0.0585859000, 0.0932091000, 0.2086272000, 0.6198016000", \
"0.0564236000, 0.0588638000, 0.0670648000, 0.0834888000, 0.1181764000, 0.2244491000, 0.6188730000");
}
related_pin : "A";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016011800, 0.0051275700, 0.0164203000, 0.0525839000, 0.1683930000, 0.5392550000");
values("0.0249653000, 0.0284283000, 0.0381845000, 0.0673825000, 0.1621492000, 0.4790814000, 1.5012275000", \
"0.0249947000, 0.0283563000, 0.0383610000, 0.0673585000, 0.1621393000, 0.4793477000, 1.5013665000", \
"0.0249919000, 0.0284311000, 0.0383725000, 0.0672879000, 0.1619728000, 0.4793616000, 1.5033943000", \
"0.0249676000, 0.0284299000, 0.0382230000, 0.0673482000, 0.1619671000, 0.4784575000, 1.5049285000", \
"0.0293278000, 0.0325243000, 0.0420544000, 0.0700699000, 0.1630664000, 0.4788117000, 1.5054440000", \
"0.0399405000, 0.0435059000, 0.0532515000, 0.0787437000, 0.1672937000, 0.4788523000, 1.5021409000", \
"0.0576111000, 0.0612524000, 0.0734685000, 0.0982774000, 0.1780262000, 0.4818362000, 1.4969254000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016011800, 0.0051275700, 0.0164203000, 0.0525839000, 0.1683930000, 0.5392550000");
values("0.1255966000, 0.1291250000, 0.1381782000, 0.1582270000, 0.2002370000, 0.3035514000, 0.6133271000", \
"0.1310570000, 0.1345455000, 0.1434573000, 0.1634322000, 0.2055105000, 0.3088259000, 0.6187498000", \
"0.1438751000, 0.1472799000, 0.1570833000, 0.1771827000, 0.2192748000, 0.3225942000, 0.6325042000", \
"0.1762233000, 0.1797537000, 0.1888648000, 0.2088671000, 0.2507718000, 0.3541753000, 0.6643017000", \
"0.2523053000, 0.2557794000, 0.2647994000, 0.2847366000, 0.3259102000, 0.4294096000, 0.7400690000", \
"0.3940385000, 0.3985494000, 0.4100280000, 0.4343532000, 0.4810699000, 0.5871086000, 0.8975454000", \
"0.6222710000, 0.6279829000, 0.6433246000, 0.6759321000, 0.7356510000, 0.8508389000, 1.1626645000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016011800, 0.0051275700, 0.0164203000, 0.0525839000, 0.1683930000, 0.5392550000");
values("0.1052030000, 0.1095009000, 0.1209242000, 0.1491337000, 0.2226441000, 0.4451655000, 1.1547054000", \
"0.1094998000, 0.1138446000, 0.1252630000, 0.1533589000, 0.2269185000, 0.4495909000, 1.1581007000", \
"0.1185689000, 0.1228791000, 0.1343074000, 0.1624743000, 0.2358514000, 0.4586717000, 1.1697386000", \
"0.1389187000, 0.1432541000, 0.1546988000, 0.1827786000, 0.2561527000, 0.4791273000, 1.1967589000", \
"0.1778365000, 0.1824616000, 0.1945080000, 0.2235293000, 0.2976709000, 0.5202719000, 1.2281585000", \
"0.2311435000, 0.2367548000, 0.2508218000, 0.2824046000, 0.3587645000, 0.5815975000, 1.2940511000", \
"0.2793611000, 0.2865273000, 0.3049423000, 0.3435750000, 0.4241753000, 0.6473023000, 1.3555471000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016011800, 0.0051275700, 0.0164203000, 0.0525839000, 0.1683930000, 0.5392550000");
values("0.0241419000, 0.0259677000, 0.0318131000, 0.0454388000, 0.0839345000, 0.2055038000, 0.6209739000", \
"0.0241401000, 0.0262818000, 0.0314745000, 0.0455130000, 0.0838626000, 0.2055502000, 0.6215251000", \
"0.0239680000, 0.0260806000, 0.0315359000, 0.0455867000, 0.0839582000, 0.2055777000, 0.6211461000", \
"0.0241108000, 0.0262833000, 0.0314738000, 0.0458092000, 0.0839025000, 0.2055762000, 0.6216975000", \
"0.0246488000, 0.0267049000, 0.0324516000, 0.0460825000, 0.0844081000, 0.2057645000, 0.6185589000", \
"0.0371110000, 0.0395494000, 0.0451100000, 0.0582432000, 0.0934432000, 0.2088847000, 0.6175619000", \
"0.0573322000, 0.0602757000, 0.0679052000, 0.0842567000, 0.1184644000, 0.2241975000, 0.6199924000");
}
related_pin : "B";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016011800, 0.0051275700, 0.0164203000, 0.0525839000, 0.1683930000, 0.5392550000");
values("0.0249482000, 0.0283355000, 0.0382583000, 0.0674461000, 0.1619367000, 0.4789714000, 1.5073039000", \
"0.0249776000, 0.0284419000, 0.0381678000, 0.0673835000, 0.1621627000, 0.4791031000, 1.5024892000", \
"0.0249770000, 0.0284064000, 0.0383676000, 0.0672702000, 0.1618237000, 0.4792354000, 1.5032409000", \
"0.0249320000, 0.0283174000, 0.0383013000, 0.0672791000, 0.1617747000, 0.4792219000, 1.5017381000", \
"0.0279188000, 0.0314126000, 0.0412130000, 0.0695779000, 0.1629917000, 0.4805606000, 1.5029451000", \
"0.0358768000, 0.0395254000, 0.0489308000, 0.0766307000, 0.1666984000, 0.4782073000, 1.5043545000", \
"0.0512571000, 0.0550663000, 0.0661057000, 0.0927317000, 0.1760204000, 0.4814027000, 1.4971808000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
/* removed sky130_fd_sc_hd__and2b_1 */
/* removed sky130_fd_sc_hd__and2b_2 */
/* removed sky130_fd_sc_hd__and2b_4 */
/* removed sky130_fd_sc_hd__and3_1 */
/* removed sky130_fd_sc_hd__and3_2 */
cell ("sky130_fd_sc_hd__and3_4") {
leakage_power () {
value : 0.0042559000;
when : "!A&B&C";
}
leakage_power () {
value : 0.0039331000;
when : "!A&B&!C";
}
leakage_power () {
value : 0.0042789000;
when : "A&!B&C";
}
leakage_power () {
value : 0.0039392000;
when : "A&!B&!C";
}
leakage_power () {
value : 0.0049811000;
when : "A&B&C";
}
leakage_power () {
value : 0.0042932000;
when : "A&B&!C";
}
leakage_power () {
value : 0.0039306000;
when : "!A&!B&C";
}
leakage_power () {
value : 0.0039122000;
when : "!A&!B&!C";
}
area : 11.260800000;
cell_footprint : "sky130_fd_sc_hd__and3";
cell_leakage_power : 0.0041905290;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0024720000;
clock : "false";
direction : "input";
fall_capacitance : 0.0024040000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0051349000, 0.0051372000, 0.0051424000, 0.0051409000, 0.0051374000, 0.0051294000, 0.0051108000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.004027000, -0.004030400, -0.004038100, -0.004030700, -0.004013800, -0.003974700, -0.003884700");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0025400000;
}
pin ("B") {
capacitance : 0.0023830000;
clock : "false";
direction : "input";
fall_capacitance : 0.0022890000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0044755000, 0.0044717000, 0.0044629000, 0.0044767000, 0.0045086000, 0.0045820000, 0.0047513000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.004064600, -0.004062700, -0.004058500, -0.004058100, -0.004057300, -0.004055300, -0.004050800");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0024760000;
}
pin ("C") {
capacitance : 0.0024070000;
clock : "false";
direction : "input";
fall_capacitance : 0.0022740000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0043091000, 0.0043098000, 0.0043114000, 0.0043091000, 0.0043037000, 0.0042914000, 0.0042629000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.004312700, -0.004311300, -0.004308100, -0.004307700, -0.004306700, -0.004304300, -0.004298800");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0025400000;
}
pin ("X") {
direction : "output";
function : "(A&B&C)";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015979950, 0.0051071750, 0.0163224800, 0.0521664800, 0.1667235000, 0.5328467000");
values("0.0225850000, 0.0209644000, 0.0157295000, 0.0002470000, -0.054956800, -0.239495700, -0.832371700", \
"0.0224587000, 0.0208180000, 0.0156780000, 0.0001067000, -0.055049400, -0.239614100, -0.832349300", \
"0.0221840000, 0.0205991000, 0.0154280000, -0.000269300, -0.055285100, -0.239799900, -0.832689200", \
"0.0219560000, 0.0202503000, 0.0150878000, -0.000717900, -0.055750300, -0.240207900, -0.832950400", \
"0.0221768000, 0.0203665000, 0.0147660000, -0.000815000, -0.056429200, -0.240735600, -0.833324300", \
"0.0235311000, 0.0216224000, 0.0157538000, -0.002138300, -0.056142400, -0.240433700, -0.833014400", \
"0.0292414000, 0.0269504000, 0.0205621000, 0.0019517000, -0.055691000, -0.240160400, -0.832156100");
}
related_pin : "A";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015979950, 0.0051071750, 0.0163224800, 0.0521664800, 0.1667235000, 0.5328467000");
values("0.0281984000, 0.0300139000, 0.0359616000, 0.0548615000, 0.1128240000, 0.2968729000, 0.8879999000", \
"0.0281036000, 0.0299738000, 0.0358394000, 0.0547609000, 0.1130736000, 0.2969332000, 0.8887007000", \
"0.0278949000, 0.0296990000, 0.0356326000, 0.0546573000, 0.1129668000, 0.2968335000, 0.8844410000", \
"0.0276645000, 0.0294341000, 0.0353838000, 0.0542092000, 0.1125419000, 0.2964591000, 0.8878142000", \
"0.0277961000, 0.0296036000, 0.0353953000, 0.0537361000, 0.1119904000, 0.2961638000, 0.8847197000", \
"0.0294258000, 0.0311432000, 0.0367784000, 0.0546556000, 0.1118405000, 0.2957279000, 0.8843381000", \
"0.0319205000, 0.0335141000, 0.0389073000, 0.0565368000, 0.1135980000, 0.2974668000, 0.8804212000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015979950, 0.0051071750, 0.0163224800, 0.0521664800, 0.1667235000, 0.5328467000");
values("0.0251215000, 0.0234258000, 0.0181728000, 0.0025213000, -0.052433000, -0.236570400, -0.829309200", \
"0.0250331000, 0.0232756000, 0.0181793000, 0.0023555000, -0.052393700, -0.236691800, -0.829380900", \
"0.0248987000, 0.0231762000, 0.0180037000, 0.0023481000, -0.052586000, -0.236858800, -0.829613200", \
"0.0246641000, 0.0229106000, 0.0176660000, 0.0019555000, -0.053027500, -0.237219300, -0.829864700", \
"0.0246439000, 0.0227879000, 0.0176201000, 0.0014800000, -0.053335200, -0.237560500, -0.830072800", \
"0.0248143000, 0.0227682000, 0.0173852000, 0.0011839000, -0.053961200, -0.237874900, -0.830159700", \
"0.0316497000, 0.0295213000, 0.0234275000, 0.0047631000, -0.053076000, -0.237586700, -0.829660600");
}
related_pin : "B";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015979950, 0.0051071750, 0.0163224800, 0.0521664800, 0.1667235000, 0.5328467000");
values("0.0286070000, 0.0304200000, 0.0363546000, 0.0552118000, 0.1134147000, 0.2970044000, 0.8840938000", \
"0.0286020000, 0.0304069000, 0.0363382000, 0.0550809000, 0.1131036000, 0.2968835000, 0.8842847000", \
"0.0284564000, 0.0302925000, 0.0362143000, 0.0549756000, 0.1133032000, 0.2970049000, 0.8842048000", \
"0.0281202000, 0.0298940000, 0.0358436000, 0.0546731000, 0.1130499000, 0.2968477000, 0.8841786000", \
"0.0281771000, 0.0299658000, 0.0356696000, 0.0544305000, 0.1125473000, 0.2965996000, 0.8838977000", \
"0.0294072000, 0.0311341000, 0.0367020000, 0.0543173000, 0.1124445000, 0.2961559000, 0.8831550000", \
"0.0308210000, 0.0324539000, 0.0379013000, 0.0557420000, 0.1143143000, 0.2971202000, 0.8832769000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015979950, 0.0051071750, 0.0163224800, 0.0521664800, 0.1667235000, 0.5328467000");
values("0.0273607000, 0.0256983000, 0.0203092000, 0.0044320000, -0.050584100, -0.234928700, -0.827755300", \
"0.0274142000, 0.0257122000, 0.0202359000, 0.0043609000, -0.050695600, -0.235065700, -0.827905800", \
"0.0271344000, 0.0253465000, 0.0201096000, 0.0041092000, -0.050967200, -0.235246100, -0.827996100", \
"0.0269880000, 0.0252881000, 0.0199173000, 0.0038731000, -0.051236600, -0.235426900, -0.828118600", \
"0.0270037000, 0.0251614000, 0.0197754000, 0.0041117000, -0.051504200, -0.235786600, -0.828392200", \
"0.0270112000, 0.0255823000, 0.0200467000, 0.0037390000, -0.051313900, -0.235686600, -0.828265600", \
"0.0354998000, 0.0326390000, 0.0263836000, 0.0076294000, -0.049680800, -0.234665900, -0.827185200");
}
related_pin : "C";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015979950, 0.0051071750, 0.0163224800, 0.0521664800, 0.1667235000, 0.5328467000");
values("0.0288811000, 0.0306947000, 0.0366415000, 0.0555533000, 0.1134194000, 0.2970561000, 0.8875795000", \
"0.0287654000, 0.0306023000, 0.0364582000, 0.0554255000, 0.1136495000, 0.2972510000, 0.8833801000", \
"0.0286067000, 0.0304335000, 0.0363776000, 0.0552031000, 0.1135074000, 0.2971033000, 0.8840194000", \
"0.0283508000, 0.0301255000, 0.0360764000, 0.0548893000, 0.1132393000, 0.2968654000, 0.8794756000", \
"0.0282780000, 0.0301043000, 0.0359767000, 0.0546081000, 0.1127473000, 0.2967030000, 0.8837102000", \
"0.0293827000, 0.0313559000, 0.0370289000, 0.0548746000, 0.1127775000, 0.2961417000, 0.8875729000", \
"0.0304733000, 0.0321818000, 0.0376970000, 0.0557506000, 0.1142832000, 0.2975456000, 0.8817977000");
}
}
max_capacitance : 0.5328470000;
max_transition : 1.5077670000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000");
values("0.1176624000, 0.1211953000, 0.1302119000, 0.1504058000, 0.1927356000, 0.2959856000, 0.6031842000", \
"0.1230620000, 0.1265467000, 0.1356027000, 0.1557674000, 0.1983010000, 0.3014897000, 0.6088806000", \
"0.1362588000, 0.1397258000, 0.1488244000, 0.1690206000, 0.2113689000, 0.3146443000, 0.6218698000", \
"0.1671590000, 0.1706760000, 0.1795174000, 0.2000300000, 0.2423069000, 0.3456893000, 0.6525671000", \
"0.2403789000, 0.2438929000, 0.2524743000, 0.2724942000, 0.3157611000, 0.4187666000, 0.7262591000", \
"0.3693820000, 0.3739397000, 0.3858142000, 0.4109687000, 0.4588423000, 0.5655961000, 0.8725635000", \
"0.5720747000, 0.5781848000, 0.5927933000, 0.6261505000, 0.6882516000, 0.8061523000, 1.1158997000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000");
values("0.1340763000, 0.1393270000, 0.1531677000, 0.1856525000, 0.2643026000, 0.4891171000, 1.1990191000", \
"0.1378671000, 0.1431018000, 0.1568382000, 0.1894099000, 0.2682454000, 0.4924377000, 1.2045442000", \
"0.1472179000, 0.1524737000, 0.1662910000, 0.1987366000, 0.2775485000, 0.5018227000, 1.2143205000", \
"0.1696199000, 0.1748860000, 0.1887813000, 0.2212735000, 0.2999053000, 0.5247459000, 1.2356726000", \
"0.2196725000, 0.2250672000, 0.2390340000, 0.2718083000, 0.3508999000, 0.5753048000, 1.2867362000", \
"0.2899079000, 0.2963531000, 0.3125250000, 0.3483308000, 0.4292096000, 0.6539372000, 1.3658370000", \
"0.3627692000, 0.3709281000, 0.3919285000, 0.4358308000, 0.5227573000, 0.7481811000, 1.4570243000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000");
values("0.0229469000, 0.0250952000, 0.0307727000, 0.0452383000, 0.0837912000, 0.2046444000, 0.6158934000", \
"0.0231319000, 0.0250296000, 0.0309189000, 0.0452554000, 0.0837586000, 0.2044359000, 0.6119869000", \
"0.0230371000, 0.0250940000, 0.0310449000, 0.0453760000, 0.0837914000, 0.2046090000, 0.6157672000", \
"0.0230382000, 0.0252683000, 0.0306832000, 0.0454021000, 0.0837584000, 0.2045494000, 0.6145139000", \
"0.0246318000, 0.0268010000, 0.0324608000, 0.0464677000, 0.0843488000, 0.2047378000, 0.6111346000", \
"0.0367968000, 0.0393522000, 0.0457113000, 0.0598095000, 0.0958726000, 0.2091593000, 0.6117996000", \
"0.0571156000, 0.0599535000, 0.0687328000, 0.0855754000, 0.1213103000, 0.2269871000, 0.6145401000");
}
related_pin : "A";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000");
values("0.0318369000, 0.0357385000, 0.0468336000, 0.0769581000, 0.1698014000, 0.4824757000, 1.5060750000", \
"0.0320573000, 0.0357851000, 0.0468233000, 0.0770289000, 0.1695583000, 0.4822201000, 1.5077671000", \
"0.0316870000, 0.0356099000, 0.0467802000, 0.0770180000, 0.1695917000, 0.4820878000, 1.5038971000", \
"0.0317929000, 0.0357566000, 0.0468538000, 0.0769830000, 0.1698987000, 0.4810902000, 1.5056097000", \
"0.0338949000, 0.0376806000, 0.0483406000, 0.0787425000, 0.1705395000, 0.4826682000, 1.5061586000", \
"0.0440737000, 0.0479575000, 0.0584061000, 0.0867194000, 0.1756460000, 0.4836797000, 1.5053370000", \
"0.0615800000, 0.0669115000, 0.0792771000, 0.1064354000, 0.1881244000, 0.4862012000, 1.4997754000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000");
values("0.1359565000, 0.1396689000, 0.1492386000, 0.1700226000, 0.2143244000, 0.3183809000, 0.6259408000", \
"0.1413544000, 0.1450709000, 0.1546215000, 0.1757347000, 0.2196924000, 0.3236059000, 0.6312798000", \
"0.1548157000, 0.1585121000, 0.1677815000, 0.1887002000, 0.2322355000, 0.3366315000, 0.6443373000", \
"0.1866876000, 0.1901470000, 0.2000052000, 0.2209877000, 0.2646539000, 0.3688401000, 0.6762538000", \
"0.2626976000, 0.2657046000, 0.2759215000, 0.2968464000, 0.3396162000, 0.4444486000, 0.7518973000", \
"0.4102824000, 0.4153270000, 0.4266339000, 0.4516502000, 0.5003866000, 0.6072751000, 0.9145803000", \
"0.6500261000, 0.6558750000, 0.6715567000, 0.7050849000, 0.7665708000, 0.8840779000, 1.1934486000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000");
values("0.1413094000, 0.1465486000, 0.1603386000, 0.1928597000, 0.2714039000, 0.4959720000, 1.2081963000", \
"0.1454501000, 0.1507121000, 0.1645203000, 0.1969231000, 0.2757359000, 0.4996989000, 1.2120310000", \
"0.1541238000, 0.1594017000, 0.1731951000, 0.2056292000, 0.2844261000, 0.5083565000, 1.2168315000", \
"0.1740558000, 0.1793654000, 0.1931983000, 0.2256948000, 0.3044672000, 0.5284735000, 1.2370853000", \
"0.2163721000, 0.2218114000, 0.2357964000, 0.2687423000, 0.3476789000, 0.5724896000, 1.2861576000", \
"0.2801762000, 0.2863977000, 0.3023365000, 0.3379085000, 0.4194913000, 0.6449998000, 1.3573733000", \
"0.3446815000, 0.3524749000, 0.3724984000, 0.4151260000, 0.5023190000, 0.7288417000, 1.4369101000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000");
values("0.0254384000, 0.0277563000, 0.0335643000, 0.0482841000, 0.0859561000, 0.2059903000, 0.6126439000", \
"0.0253164000, 0.0275687000, 0.0336115000, 0.0477444000, 0.0860646000, 0.2061037000, 0.6166605000", \
"0.0253245000, 0.0275907000, 0.0335511000, 0.0478728000, 0.0863612000, 0.2062060000, 0.6133797000", \
"0.0253257000, 0.0274571000, 0.0334929000, 0.0477311000, 0.0860630000, 0.2062706000, 0.6157208000", \
"0.0259922000, 0.0278997000, 0.0339873000, 0.0481977000, 0.0867450000, 0.2061078000, 0.6156615000", \
"0.0371672000, 0.0393218000, 0.0464858000, 0.0592353000, 0.0944703000, 0.2090278000, 0.6163081000", \
"0.0575287000, 0.0605187000, 0.0683342000, 0.0858129000, 0.1208752000, 0.2256639000, 0.6157173000");
}
related_pin : "B";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000");
values("0.0318695000, 0.0358283000, 0.0468862000, 0.0768902000, 0.1698781000, 0.4818674000, 1.5013298000", \
"0.0318180000, 0.0357527000, 0.0467176000, 0.0771061000, 0.1699917000, 0.4820259000, 1.5023308000", \
"0.0317292000, 0.0357395000, 0.0467174000, 0.0770136000, 0.1697009000, 0.4822380000, 1.5036692000", \
"0.0317952000, 0.0357728000, 0.0469011000, 0.0769553000, 0.1695789000, 0.4822560000, 1.5028707000", \
"0.0336174000, 0.0374186000, 0.0484465000, 0.0782071000, 0.1704859000, 0.4822355000, 1.5047241000", \
"0.0411861000, 0.0450079000, 0.0558206000, 0.0858962000, 0.1749957000, 0.4824572000, 1.5006988000", \
"0.0563722000, 0.0616121000, 0.0735963000, 0.1017686000, 0.1855476000, 0.4862266000, 1.4980526000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000");
values("0.1463793000, 0.1501818000, 0.1599430000, 0.1814873000, 0.2258389000, 0.3307140000, 0.6382643000", \
"0.1516765000, 0.1554792000, 0.1652955000, 0.1867589000, 0.2312042000, 0.3360996000, 0.6434325000", \
"0.1647378000, 0.1685901000, 0.1782668000, 0.2005377000, 0.2448620000, 0.3499950000, 0.6577169000", \
"0.1970174000, 0.2017078000, 0.2114547000, 0.2328421000, 0.2762625000, 0.3813738000, 0.6892688000", \
"0.2742508000, 0.2771595000, 0.2868535000, 0.3080985000, 0.3534604000, 0.4587778000, 0.7664901000", \
"0.4292484000, 0.4335562000, 0.4452826000, 0.4701610000, 0.5181920000, 0.6248703000, 0.9330694000", \
"0.6834484000, 0.6889978000, 0.7045986000, 0.7376001000, 0.7985659000, 0.9154420000, 1.2250092000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000");
values("0.1461514000, 0.1514042000, 0.1652431000, 0.1977486000, 0.2763633000, 0.5006842000, 1.2115609000", \
"0.1501494000, 0.1554074000, 0.1691529000, 0.2017424000, 0.2804681000, 0.5043301000, 1.2153831000", \
"0.1580085000, 0.1632249000, 0.1770173000, 0.2095514000, 0.2882395000, 0.5122807000, 1.2231666000", \
"0.1740834000, 0.1793860000, 0.1932420000, 0.2257365000, 0.3044238000, 0.5284793000, 1.2364118000", \
"0.2076969000, 0.2131234000, 0.2273186000, 0.2601973000, 0.3392449000, 0.5640593000, 1.2765784000", \
"0.2596807000, 0.2658394000, 0.2814085000, 0.3169973000, 0.3986289000, 0.6238294000, 1.3330604000", \
"0.3130191000, 0.3206668000, 0.3398999000, 0.3811383000, 0.4683718000, 0.6952622000, 1.4036469000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000");
values("0.0266935000, 0.0290497000, 0.0349898000, 0.0491600000, 0.0875808000, 0.2071880000, 0.6157102000", \
"0.0265098000, 0.0287434000, 0.0345559000, 0.0494573000, 0.0875377000, 0.2071500000, 0.6144041000", \
"0.0266206000, 0.0287208000, 0.0346443000, 0.0493539000, 0.0876090000, 0.2071038000, 0.6128622000", \
"0.0265612000, 0.0290123000, 0.0349111000, 0.0494729000, 0.0875919000, 0.2073620000, 0.6131180000", \
"0.0267277000, 0.0288112000, 0.0347677000, 0.0495456000, 0.0876008000, 0.2070598000, 0.6156083000", \
"0.0369957000, 0.0398173000, 0.0455767000, 0.0591666000, 0.0943562000, 0.2095610000, 0.6143105000", \
"0.0571428000, 0.0602045000, 0.0685627000, 0.0849131000, 0.1198252000, 0.2255216000, 0.6161894000");
}
related_pin : "C";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000");
values("0.0318313000, 0.0357206000, 0.0468039000, 0.0769674000, 0.1700028000, 0.4821328000, 1.5038950000", \
"0.0319539000, 0.0357699000, 0.0469898000, 0.0769861000, 0.1697882000, 0.4821613000, 1.4991854000", \
"0.0320042000, 0.0356360000, 0.0468606000, 0.0770101000, 0.1698551000, 0.4817081000, 1.5049189000", \
"0.0317713000, 0.0357611000, 0.0468840000, 0.0769974000, 0.1697960000, 0.4820889000, 1.5021002000", \
"0.0334629000, 0.0373941000, 0.0480144000, 0.0781122000, 0.1704180000, 0.4824395000, 1.5041959000", \
"0.0387963000, 0.0429279000, 0.0545034000, 0.0844813000, 0.1743957000, 0.4829192000, 1.5069104000", \
"0.0524485000, 0.0575287000, 0.0691846000, 0.0990066000, 0.1844223000, 0.4860258000, 1.4950077000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
/* removed sky130_fd_sc_hd__and3b_1 */
/* removed sky130_fd_sc_hd__and3b_2 */
/* removed sky130_fd_sc_hd__and3b_4 */
/* removed sky130_fd_sc_hd__and4_1 */
/* removed sky130_fd_sc_hd__and4_2 */
cell ("sky130_fd_sc_hd__and4_4") {
leakage_power () {
value : 0.0040885000;
when : "!A&!B&!C&D";
}
leakage_power () {
value : 0.0040826000;
when : "!A&!B&!C&!D";
}
leakage_power () {
value : 0.0041054000;
when : "!A&!B&C&D";
}
leakage_power () {
value : 0.0040890000;
when : "!A&!B&C&!D";
}
leakage_power () {
value : 0.0041100000;
when : "!A&B&!C&D";
}
leakage_power () {
value : 0.0040910000;
when : "!A&B&!C&!D";
}
leakage_power () {
value : 0.0044086000;
when : "!A&B&C&D";
}
leakage_power () {
value : 0.0041123000;
when : "!A&B&C&!D";
}
leakage_power () {
value : 0.0041161000;
when : "A&!B&!C&D";
}
leakage_power () {
value : 0.0040967000;
when : "A&!B&!C&!D";
}
leakage_power () {
value : 0.0044373000;
when : "A&!B&C&D";
}
leakage_power () {
value : 0.0041185000;
when : "A&!B&C&!D";
}
leakage_power () {
value : 0.0044719000;
when : "A&B&!C&D";
}
leakage_power () {
value : 0.0041245000;
when : "A&B&!C&!D";
}
leakage_power () {
value : 0.0059705000;
when : "A&B&C&D";
}
leakage_power () {
value : 0.0044864000;
when : "A&B&C&!D";
}
area : 11.260800000;
cell_footprint : "sky130_fd_sc_hd__and4";
cell_leakage_power : 0.0043068320;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0023460000;
clock : "false";
direction : "input";
fall_capacitance : 0.0022950000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0049085000, 0.0049057000, 0.0048994000, 0.0049006000, 0.0049034000, 0.0049100000, 0.0049251000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.004021500, -0.004023400, -0.004027800, -0.004021400, -0.004006700, -0.003972700, -0.003894200");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0023970000;
}
pin ("B") {
capacitance : 0.0024100000;
clock : "false";
direction : "input";
fall_capacitance : 0.0023320000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0045905000, 0.0045862000, 0.0045764000, 0.0045897000, 0.0046203000, 0.0046909000, 0.0048537000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.004173700, -0.004173900, -0.004174400, -0.004173800, -0.004172300, -0.004168800, -0.004160800");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0024870000;
}
pin ("C") {
capacitance : 0.0023630000;
clock : "false";
direction : "input";
fall_capacitance : 0.0022720000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0039295000, 0.0039276000, 0.0039232000, 0.0039233000, 0.0039235000, 0.0039240000, 0.0039250000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.003933000, -0.003930600, -0.003925100, -0.003924700, -0.003923800, -0.003921700, -0.003917000");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0024540000;
}
pin ("D") {
capacitance : 0.0023420000;
clock : "false";
direction : "input";
fall_capacitance : 0.0022160000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0041907000, 0.0041865000, 0.0041768000, 0.0041775000, 0.0041791000, 0.0041829000, 0.0041916000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.004179100, -0.004178000, -0.004175300, -0.004175300, -0.004175300, -0.004175200, -0.004174900");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0024690000;
}
pin ("X") {
direction : "output";
function : "(A&B&C&D)";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015975370, 0.0051042490, 0.0163084500, 0.0521067100, 0.1664848000, 0.5319312000");
values("0.0223359000, 0.0206942000, 0.0156045000, 4.220000e-05, -0.055116100, -0.239300300, -0.831073400", \
"0.0223127000, 0.0206209000, 0.0155582000, 1.590000e-05, -0.055126100, -0.239430000, -0.831212900", \
"0.0220741000, 0.0204953000, 0.0153623000, -0.000200200, -0.055301500, -0.239506100, -0.831285300", \
"0.0218765000, 0.0202375000, 0.0151509000, -0.000573000, -0.055799900, -0.239957500, -0.831625400", \
"0.0219509000, 0.0201994000, 0.0149125000, -0.001217400, -0.056130300, -0.240440400, -0.831948100", \
"0.0235522000, 0.0216341000, 0.0158156000, -0.001528300, -0.056570800, -0.240284400, -0.831719400", \
"0.0286287000, 0.0265396000, 0.0202548000, 0.0016847000, -0.055205300, -0.240054900, -0.830997900");
}
related_pin : "A";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015975370, 0.0051042490, 0.0163084500, 0.0521067100, 0.1664848000, 0.5319312000");
values("0.0284573000, 0.0302812000, 0.0361407000, 0.0551465000, 0.1131036000, 0.2967632000, 0.8872369000", \
"0.0283793000, 0.0302197000, 0.0361439000, 0.0548561000, 0.1132597000, 0.2969647000, 0.8872511000", \
"0.0281455000, 0.0299734000, 0.0358226000, 0.0547779000, 0.1131833000, 0.2968166000, 0.8833840000", \
"0.0278921000, 0.0296821000, 0.0354694000, 0.0543895000, 0.1130761000, 0.2963908000, 0.8833783000", \
"0.0279423000, 0.0297159000, 0.0353788000, 0.0541404000, 0.1125677000, 0.2960288000, 0.8826487000", \
"0.0291382000, 0.0307874000, 0.0363953000, 0.0549625000, 0.1120965000, 0.2959301000, 0.8783530000", \
"0.0327781000, 0.0343445000, 0.0396643000, 0.0571872000, 0.1141342000, 0.2967248000, 0.8824727000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015975370, 0.0051042490, 0.0163084500, 0.0521067100, 0.1664848000, 0.5319312000");
values("0.0247975000, 0.0230256000, 0.0177456000, 0.0022381000, -0.052691800, -0.236785000, -0.828399900", \
"0.0245758000, 0.0228916000, 0.0176975000, 0.0020692000, -0.052857600, -0.236828700, -0.828438100", \
"0.0244854000, 0.0227397000, 0.0175535000, 0.0019372000, -0.053008900, -0.236900500, -0.828564000", \
"0.0241787000, 0.0226117000, 0.0173402000, 0.0015960000, -0.053480900, -0.237280800, -0.828831100", \
"0.0242279000, 0.0225580000, 0.0172231000, 0.0011121000, -0.053687600, -0.237787600, -0.829263900", \
"0.0240097000, 0.0222137000, 0.0165476000, 0.0011577000, -0.054455100, -0.237849900, -0.829189600", \
"0.0310476000, 0.0289285000, 0.0226919000, 0.0040641000, -0.053836000, -0.237849800, -0.828842400");
}
related_pin : "B";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015975370, 0.0051042490, 0.0163084500, 0.0521067100, 0.1664848000, 0.5319312000");
values("0.0287368000, 0.0305589000, 0.0363073000, 0.0554486000, 0.1134856000, 0.2970398000, 0.8875170000", \
"0.0287187000, 0.0305341000, 0.0363965000, 0.0554072000, 0.1133914000, 0.2966236000, 0.8869823000", \
"0.0285719000, 0.0304274000, 0.0363002000, 0.0550271000, 0.1136625000, 0.2967996000, 0.8826101000", \
"0.0283737000, 0.0300647000, 0.0358767000, 0.0549079000, 0.1131893000, 0.2967619000, 0.8830748000", \
"0.0283272000, 0.0300946000, 0.0358856000, 0.0547419000, 0.1128290000, 0.2964197000, 0.8781726000", \
"0.0288607000, 0.0305274000, 0.0359438000, 0.0544730000, 0.1126375000, 0.2959417000, 0.8821440000", \
"0.0317283000, 0.0333317000, 0.0386184000, 0.0567437000, 0.1146472000, 0.2973207000, 0.8800286000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015975370, 0.0051042490, 0.0163084500, 0.0521067100, 0.1664848000, 0.5319312000");
values("0.0275123000, 0.0258557000, 0.0205512000, 0.0048590000, -0.049819500, -0.233648700, -0.825195000", \
"0.0272980000, 0.0256433000, 0.0203339000, 0.0048576000, -0.049970800, -0.233745800, -0.825316600", \
"0.0272095000, 0.0254446000, 0.0202116000, 0.0047170000, -0.050084300, -0.233892400, -0.825445800", \
"0.0271271000, 0.0252294000, 0.0199679000, 0.0042639000, -0.050564000, -0.234187200, -0.825666000", \
"0.0272945000, 0.0255937000, 0.0201600000, 0.0041259000, -0.051013200, -0.234538600, -0.825980400", \
"0.0276065000, 0.0258002000, 0.0201196000, 0.0039484000, -0.050730600, -0.234467600, -0.825598100", \
"0.0346538000, 0.0325391000, 0.0263123000, 0.0076375000, -0.050474600, -0.234347900, -0.825299400");
}
related_pin : "C";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015975370, 0.0051042490, 0.0163084500, 0.0521067100, 0.1664848000, 0.5319312000");
values("0.0285316000, 0.0302937000, 0.0360872000, 0.0549935000, 0.1135903000, 0.2965637000, 0.8820369000", \
"0.0284849000, 0.0303065000, 0.0361660000, 0.0551784000, 0.1131561000, 0.2964668000, 0.8866325000", \
"0.0283377000, 0.0301509000, 0.0358885000, 0.0549302000, 0.1134166000, 0.2964336000, 0.8819552000", \
"0.0281039000, 0.0299271000, 0.0356834000, 0.0546334000, 0.1130690000, 0.2962549000, 0.8819636000", \
"0.0280097000, 0.0297767000, 0.0356227000, 0.0544653000, 0.1127741000, 0.2962287000, 0.8824468000", \
"0.0287986000, 0.0304903000, 0.0363632000, 0.0546483000, 0.1125316000, 0.2958767000, 0.8777816000", \
"0.0309454000, 0.0325917000, 0.0380269000, 0.0566377000, 0.1138781000, 0.2975539000, 0.8786315000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015975370, 0.0051042490, 0.0163084500, 0.0521067100, 0.1664848000, 0.5319312000");
values("0.0295029000, 0.0277243000, 0.0223330000, 0.0065108000, -0.048418300, -0.232191300, -0.823779000", \
"0.0293547000, 0.0277766000, 0.0223429000, 0.0064388000, -0.048441800, -0.232363700, -0.823941600", \
"0.0291749000, 0.0274524000, 0.0220927000, 0.0063285000, -0.048581300, -0.232493700, -0.824035100", \
"0.0289766000, 0.0272429000, 0.0218735000, 0.0060872000, -0.048835300, -0.232760800, -0.824198400", \
"0.0291639000, 0.0273747000, 0.0220145000, 0.0059390000, -0.048941200, -0.232811500, -0.824181900", \
"0.0293125000, 0.0274215000, 0.0217878000, 0.0064300000, -0.048985300, -0.233072900, -0.824342800", \
"0.0376705000, 0.0355602000, 0.0294144000, 0.0105823000, -0.048334400, -0.232522200, -0.823691700");
}
related_pin : "D";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015975370, 0.0051042490, 0.0163084500, 0.0521067100, 0.1664848000, 0.5319312000");
values("0.0288300000, 0.0306251000, 0.0365994000, 0.0553208000, 0.1137850000, 0.2968700000, 0.8782827000", \
"0.0287686000, 0.0305736000, 0.0363999000, 0.0553072000, 0.1137779000, 0.2966361000, 0.8817872000", \
"0.0285933000, 0.0304391000, 0.0361491000, 0.0551900000, 0.1136417000, 0.2965250000, 0.8817338000", \
"0.0283295000, 0.0301529000, 0.0359643000, 0.0548340000, 0.1132877000, 0.2963190000, 0.8858348000", \
"0.0282773000, 0.0299772000, 0.0358158000, 0.0545154000, 0.1129950000, 0.2963205000, 0.8824244000", \
"0.0292814000, 0.0309908000, 0.0366533000, 0.0544459000, 0.1128973000, 0.2959466000, 0.8779109000", \
"0.0312342000, 0.0328978000, 0.0383677000, 0.0565755000, 0.1138979000, 0.2971594000, 0.8800971000");
}
}
max_capacitance : 0.5319310000;
max_transition : 1.5064790000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
values("0.1154774000, 0.1190116000, 0.1280661000, 0.1482224000, 0.1906042000, 0.2941229000, 0.6026539000", \
"0.1209914000, 0.1244771000, 0.1335243000, 0.1536304000, 0.1962033000, 0.2996587000, 0.6083357000", \
"0.1344616000, 0.1379507000, 0.1470132000, 0.1671641000, 0.2094988000, 0.3130463000, 0.6213901000", \
"0.1651074000, 0.1686253000, 0.1776673000, 0.1976197000, 0.2408588000, 0.3444690000, 0.6528954000", \
"0.2379787000, 0.2415085000, 0.2505905000, 0.2707340000, 0.3128440000, 0.4172132000, 0.7253331000", \
"0.3641999000, 0.3688039000, 0.3805657000, 0.4056281000, 0.4546638000, 0.5612088000, 0.8693620000", \
"0.5606758000, 0.5666413000, 0.5815357000, 0.6151660000, 0.6764486000, 0.7954639000, 1.1058705000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
values("0.1618261000, 0.1679806000, 0.1839951000, 0.2204500000, 0.3046404000, 0.5313414000, 1.2394471000", \
"0.1655860000, 0.1716438000, 0.1874874000, 0.2239701000, 0.3084047000, 0.5355444000, 1.2425126000", \
"0.1742364000, 0.1803098000, 0.1961552000, 0.2326986000, 0.3171080000, 0.5442905000, 1.2515931000", \
"0.1953239000, 0.2014007000, 0.2172416000, 0.2538579000, 0.3383099000, 0.5654400000, 1.2733906000", \
"0.2456526000, 0.2517511000, 0.2673804000, 0.3043313000, 0.3885100000, 0.6159130000, 1.3227206000", \
"0.3247661000, 0.3316766000, 0.3493024000, 0.3879140000, 0.4743006000, 0.7021113000, 1.4099921000", \
"0.4132198000, 0.4217016000, 0.4435591000, 0.4901514000, 0.5817319000, 0.8106626000, 1.5193804000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
values("0.0223430000, 0.0246128000, 0.0302678000, 0.0447774000, 0.0839331000, 0.2067106000, 0.6206612000", \
"0.0224414000, 0.0247306000, 0.0304966000, 0.0449268000, 0.0838303000, 0.2063415000, 0.6234084000", \
"0.0225930000, 0.0248214000, 0.0302851000, 0.0448801000, 0.0839642000, 0.2067321000, 0.6235474000", \
"0.0223715000, 0.0245504000, 0.0306676000, 0.0450458000, 0.0837382000, 0.2064086000, 0.6205630000", \
"0.0242041000, 0.0262011000, 0.0317512000, 0.0458043000, 0.0847194000, 0.2067239000, 0.6210005000", \
"0.0362849000, 0.0388967000, 0.0450792000, 0.0596848000, 0.0956427000, 0.2113232000, 0.6210835000", \
"0.0560531000, 0.0590802000, 0.0682859000, 0.0852594000, 0.1221502000, 0.2295372000, 0.6230528000");
}
related_pin : "A";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
values("0.0372432000, 0.0419585000, 0.0541341000, 0.0858497000, 0.1783996000, 0.4843728000, 1.5059395000", \
"0.0378340000, 0.0421830000, 0.0540432000, 0.0859591000, 0.1780799000, 0.4852774000, 1.5064787000", \
"0.0375571000, 0.0421381000, 0.0539184000, 0.0860002000, 0.1780113000, 0.4852298000, 1.5018781000", \
"0.0373231000, 0.0416442000, 0.0539614000, 0.0857794000, 0.1780061000, 0.4852910000, 1.5028785000", \
"0.0382461000, 0.0427789000, 0.0551857000, 0.0870226000, 0.1786032000, 0.4845545000, 1.5027720000", \
"0.0470706000, 0.0512691000, 0.0630987000, 0.0935239000, 0.1837430000, 0.4871425000, 1.4978636000", \
"0.0647691000, 0.0700653000, 0.0844120000, 0.1126638000, 0.1963986000, 0.4913058000, 1.4985062000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
values("0.1351915000, 0.1389019000, 0.1485162000, 0.1697996000, 0.2135846000, 0.3186717000, 0.6274285000", \
"0.1410176000, 0.1447536000, 0.1543887000, 0.1753174000, 0.2195334000, 0.3243526000, 0.6325538000", \
"0.1543166000, 0.1580446000, 0.1676197000, 0.1888534000, 0.2329599000, 0.3377335000, 0.6465828000", \
"0.1859124000, 0.1896633000, 0.1992416000, 0.2202925000, 0.2651539000, 0.3691993000, 0.6775268000", \
"0.2616216000, 0.2653380000, 0.2748951000, 0.2959567000, 0.3389735000, 0.4453091000, 0.7542109000", \
"0.4075644000, 0.4122339000, 0.4240982000, 0.4491530000, 0.4981723000, 0.6051046000, 0.9139862000", \
"0.6422922000, 0.6484000000, 0.6643088000, 0.6979367000, 0.7596609000, 0.8782939000, 1.1893055000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
values("0.1721604000, 0.1782389000, 0.1940718000, 0.2307657000, 0.3150447000, 0.5420279000, 1.2507295000", \
"0.1760492000, 0.1821361000, 0.1981470000, 0.2346042000, 0.3187217000, 0.5458507000, 1.2531877000", \
"0.1843256000, 0.1905312000, 0.2064318000, 0.2429241000, 0.3272191000, 0.5541517000, 1.2656965000", \
"0.2037948000, 0.2097282000, 0.2255944000, 0.2622454000, 0.3464831000, 0.5737610000, 1.2839647000", \
"0.2472558000, 0.2533271000, 0.2693571000, 0.3060782000, 0.3904609000, 0.6176755000, 1.3242690000", \
"0.3184426000, 0.3252217000, 0.3427058000, 0.3820121000, 0.4688517000, 0.6974830000, 1.4083676000", \
"0.3982398000, 0.4064995000, 0.4279381000, 0.4739034000, 0.5658620000, 0.7961810000, 1.5036268000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
values("0.0251216000, 0.0271957000, 0.0331307000, 0.0475818000, 0.0870770000, 0.2085749000, 0.6220923000", \
"0.0249486000, 0.0271277000, 0.0330501000, 0.0480071000, 0.0867113000, 0.2089156000, 0.6224971000", \
"0.0249382000, 0.0272322000, 0.0333251000, 0.0475917000, 0.0869425000, 0.2087537000, 0.6214944000", \
"0.0251704000, 0.0274912000, 0.0330891000, 0.0477161000, 0.0867175000, 0.2088642000, 0.6216837000", \
"0.0256924000, 0.0280091000, 0.0338933000, 0.0481644000, 0.0875700000, 0.2085049000, 0.6214717000", \
"0.0366500000, 0.0393035000, 0.0452899000, 0.0600462000, 0.0952301000, 0.2117258000, 0.6221924000", \
"0.0569307000, 0.0601477000, 0.0679122000, 0.0857381000, 0.1221010000, 0.2292605000, 0.6229365000");
}
related_pin : "B";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
values("0.0375159000, 0.0419584000, 0.0543740000, 0.0856344000, 0.1781312000, 0.4853711000, 1.5060595000", \
"0.0372849000, 0.0419663000, 0.0541703000, 0.0858733000, 0.1781817000, 0.4851320000, 1.5036611000", \
"0.0372287000, 0.0419800000, 0.0541149000, 0.0860041000, 0.1782209000, 0.4852842000, 1.5003345000", \
"0.0372291000, 0.0420371000, 0.0541510000, 0.0859437000, 0.1781561000, 0.4848589000, 1.5018692000", \
"0.0385373000, 0.0426319000, 0.0549865000, 0.0866198000, 0.1786371000, 0.4854170000, 1.5012249000", \
"0.0447787000, 0.0493413000, 0.0616251000, 0.0928859000, 0.1833954000, 0.4866011000, 1.5001472000", \
"0.0609331000, 0.0652768000, 0.0790307000, 0.1097115000, 0.1943653000, 0.4910508000, 1.4984546000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
values("0.1467733000, 0.1506427000, 0.1604906000, 0.1822487000, 0.2269433000, 0.3324958000, 0.6418012000", \
"0.1522968000, 0.1561561000, 0.1660702000, 0.1877981000, 0.2323981000, 0.3380900000, 0.6471736000", \
"0.1659313000, 0.1697819000, 0.1796604000, 0.2014667000, 0.2463371000, 0.3517104000, 0.6610225000", \
"0.1974322000, 0.2021729000, 0.2120709000, 0.2337972000, 0.2788411000, 0.3842824000, 0.6933276000", \
"0.2745976000, 0.2784324000, 0.2882573000, 0.3098543000, 0.3547526000, 0.4606526000, 0.7696250000", \
"0.4297298000, 0.4344347000, 0.4462285000, 0.4702170000, 0.5192097000, 0.6261978000, 0.9355638000", \
"0.6830261000, 0.6891629000, 0.7048563000, 0.7381142000, 0.7995490000, 0.9176295000, 1.2279751000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
values("0.1783762000, 0.1844398000, 0.2002593000, 0.2369980000, 0.3212374000, 0.5479327000, 1.2592144000", \
"0.1824067000, 0.1884947000, 0.2045085000, 0.2409701000, 0.3250851000, 0.5515412000, 1.2591125000", \
"0.1902349000, 0.1963027000, 0.2121906000, 0.2487674000, 0.3331153000, 0.5598687000, 1.2711220000", \
"0.2066470000, 0.2127142000, 0.2284306000, 0.2652193000, 0.3494184000, 0.5759780000, 1.2874829000", \
"0.2421498000, 0.2483131000, 0.2642153000, 0.3010931000, 0.3854069000, 0.6126727000, 1.3226501000", \
"0.3014214000, 0.3081482000, 0.3256763000, 0.3648332000, 0.4516741000, 0.6800546000, 1.3868733000", \
"0.3685673000, 0.3766190000, 0.3975054000, 0.4429028000, 0.5352314000, 0.7660645000, 1.4731832000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
values("0.0265051000, 0.0286030000, 0.0345934000, 0.0490009000, 0.0882838000, 0.2095363000, 0.6204924000", \
"0.0264966000, 0.0285103000, 0.0346652000, 0.0493510000, 0.0884379000, 0.2096043000, 0.6215546000", \
"0.0263002000, 0.0286381000, 0.0347175000, 0.0491521000, 0.0882315000, 0.2097685000, 0.6219056000", \
"0.0263728000, 0.0286422000, 0.0348037000, 0.0492261000, 0.0880355000, 0.2095390000, 0.6215962000", \
"0.0262971000, 0.0286593000, 0.0347612000, 0.0496866000, 0.0882156000, 0.2097182000, 0.6225412000", \
"0.0362948000, 0.0392622000, 0.0449315000, 0.0589184000, 0.0950662000, 0.2119952000, 0.6223052000", \
"0.0567096000, 0.0593829000, 0.0678020000, 0.0847376000, 0.1198340000, 0.2275281000, 0.6230826000");
}
related_pin : "C";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
values("0.0372637000, 0.0417578000, 0.0543704000, 0.0859265000, 0.1782664000, 0.4852940000, 1.4995483000", \
"0.0372771000, 0.0419621000, 0.0541402000, 0.0858434000, 0.1783607000, 0.4842125000, 1.5060915000", \
"0.0376651000, 0.0422270000, 0.0540110000, 0.0861249000, 0.1780867000, 0.4852967000, 1.5002769000", \
"0.0372280000, 0.0418129000, 0.0543025000, 0.0859234000, 0.1780014000, 0.4851013000, 1.5014644000", \
"0.0384114000, 0.0428638000, 0.0546844000, 0.0868713000, 0.1784206000, 0.4844460000, 1.5015821000", \
"0.0433935000, 0.0479994000, 0.0610742000, 0.0922001000, 0.1827716000, 0.4866322000, 1.4998501000", \
"0.0576642000, 0.0622372000, 0.0755365000, 0.1071419000, 0.1942394000, 0.4906868000, 1.4962470000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
values("0.1573006000, 0.1612686000, 0.1714377000, 0.1935999000, 0.2389674000, 0.3454555000, 0.6547476000", \
"0.1627098000, 0.1666576000, 0.1768172000, 0.1989982000, 0.2444384000, 0.3510948000, 0.6605469000", \
"0.1758312000, 0.1797927000, 0.1899100000, 0.2118921000, 0.2575107000, 0.3641410000, 0.6735976000", \
"0.2090542000, 0.2130220000, 0.2231443000, 0.2451683000, 0.2907863000, 0.3972706000, 0.7070287000", \
"0.2856593000, 0.2895906000, 0.2996870000, 0.3218956000, 0.3668066000, 0.4734129000, 0.7832026000", \
"0.4467613000, 0.4514132000, 0.4631630000, 0.4878943000, 0.5355014000, 0.6438808000, 0.9530251000", \
"0.7133423000, 0.7194712000, 0.7350763000, 0.7681912000, 0.8291262000, 0.9467468000, 1.2571830000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
values("0.1842908000, 0.1903569000, 0.2062439000, 0.2427593000, 0.3271351000, 0.5537282000, 1.2602774000", \
"0.1883339000, 0.1944191000, 0.2102990000, 0.2468808000, 0.3312116000, 0.5577258000, 1.2687689000", \
"0.1960028000, 0.2021220000, 0.2178991000, 0.2545672000, 0.3389055000, 0.5654638000, 1.2765531000", \
"0.2104510000, 0.2165937000, 0.2323287000, 0.2689965000, 0.3532473000, 0.5796046000, 1.2889553000", \
"0.2390992000, 0.2451672000, 0.2611567000, 0.2979038000, 0.3822558000, 0.6092126000, 1.3184660000", \
"0.2856425000, 0.2923259000, 0.3095852000, 0.3483366000, 0.4351952000, 0.6631895000, 1.3702808000", \
"0.3390478000, 0.3467710000, 0.3666790000, 0.4106173000, 0.5028266000, 0.7336816000, 1.4408439000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
values("0.0278960000, 0.0299277000, 0.0359874000, 0.0510382000, 0.0899998000, 0.2109438000, 0.6244767000", \
"0.0278874000, 0.0303126000, 0.0361616000, 0.0512903000, 0.0902048000, 0.2109901000, 0.6222870000", \
"0.0276530000, 0.0299756000, 0.0360118000, 0.0509640000, 0.0900843000, 0.2107631000, 0.6225013000", \
"0.0276258000, 0.0299550000, 0.0359931000, 0.0514513000, 0.0899380000, 0.2105676000, 0.6231552000", \
"0.0276784000, 0.0301239000, 0.0365527000, 0.0511559000, 0.0899401000, 0.2113352000, 0.6226322000", \
"0.0367716000, 0.0392981000, 0.0450006000, 0.0596711000, 0.0945710000, 0.2123526000, 0.6235052000", \
"0.0565349000, 0.0598234000, 0.0673152000, 0.0840537000, 0.1197179000, 0.2275397000, 0.6246642000");
}
related_pin : "D";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
values("0.0373436000, 0.0418522000, 0.0542189000, 0.0860799000, 0.1780215000, 0.4852720000, 1.4993400000", \
"0.0378065000, 0.0422919000, 0.0544099000, 0.0861325000, 0.1781413000, 0.4853052000, 1.4993682000", \
"0.0377594000, 0.0416635000, 0.0539754000, 0.0861313000, 0.1780879000, 0.4853051000, 1.4999236000", \
"0.0374317000, 0.0417922000, 0.0543889000, 0.0860905000, 0.1781392000, 0.4850739000, 1.5030414000", \
"0.0381582000, 0.0426319000, 0.0547076000, 0.0866277000, 0.1785996000, 0.4850013000, 1.5023307000", \
"0.0421045000, 0.0469784000, 0.0600019000, 0.0919297000, 0.1827630000, 0.4865288000, 1.4990891000", \
"0.0530331000, 0.0583227000, 0.0721163000, 0.1046794000, 0.1927545000, 0.4898557000, 1.4964625000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
/* removed sky130_fd_sc_hd__and4b_1 */
/* removed sky130_fd_sc_hd__and4b_2 */
/* removed sky130_fd_sc_hd__and4b_4 */
/* removed sky130_fd_sc_hd__and4bb_1 */
/* removed sky130_fd_sc_hd__and4bb_2 */
/* removed sky130_fd_sc_hd__and4bb_4 */
/* removed sky130_fd_sc_hd__buf_1 */
/* removed sky130_fd_sc_hd__buf_12 */
/* removed sky130_fd_sc_hd__buf_16 */
cell ("sky130_fd_sc_hd__buf_2") {
leakage_power () {
value : 0.0022662000;
when : "A";
}
leakage_power () {
value : 0.0056021000;
when : "!A";
}
area : 5.0048000000;
cell_footprint : "sky130_fd_sc_hd__buf";
cell_leakage_power : 0.0039341160;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0017270000;
clock : "false";
direction : "input";
fall_capacitance : 0.0016470000;
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0018070000;
}
pin ("X") {
direction : "output";
function : "(A)";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0014646220, 0.0042902380, 0.0125671600, 0.0368122800, 0.1078322000, 0.3158668000");
values("0.0140552000, 0.0126442000, 0.0087911000, -0.003411300, -0.042009300, -0.156917700, -0.493912600", \
"0.0139263000, 0.0125071000, 0.0086699000, -0.003513500, -0.042160300, -0.157048900, -0.493994300", \
"0.0137287000, 0.0123189000, 0.0084174000, -0.003752100, -0.042330400, -0.157214000, -0.494144400", \
"0.0135482000, 0.0121169000, 0.0081683000, -0.004023800, -0.042588200, -0.157377600, -0.494321700", \
"0.0135475000, 0.0119986000, 0.0079343000, -0.004322900, -0.042768800, -0.157394400, -0.494357600", \
"0.0152041000, 0.0135653000, 0.0089886000, -0.004284200, -0.042783600, -0.157390300, -0.494036900", \
"0.0175151000, 0.0157242000, 0.0108343000, -0.002649900, -0.041709900, -0.156306300, -0.492936900");
}
related_pin : "A";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0014646220, 0.0042902380, 0.0125671600, 0.0368122800, 0.1078322000, 0.3158668000");
values("0.0116392000, 0.0133460000, 0.0181670000, 0.0317444000, 0.0708086000, 0.1847454000, 0.5207413000", \
"0.0115450000, 0.0132567000, 0.0180731000, 0.0317090000, 0.0707365000, 0.1848036000, 0.5181148000", \
"0.0113765000, 0.0130574000, 0.0178759000, 0.0315052000, 0.0706164000, 0.1845360000, 0.5185803000", \
"0.0112172000, 0.0128696000, 0.0176085000, 0.0310245000, 0.0702931000, 0.1844760000, 0.5164646000", \
"0.0111513000, 0.0127277000, 0.0175003000, 0.0308402000, 0.0697736000, 0.1841383000, 0.5173316000", \
"0.0119311000, 0.0135030000, 0.0180230000, 0.0312097000, 0.0699882000, 0.1832520000, 0.5201987000", \
"0.0132045000, 0.0146753000, 0.0190707000, 0.0324496000, 0.0710814000, 0.1853545000, 0.5186740000");
}
}
max_capacitance : 0.3158670000;
max_transition : 1.5103890000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014646200, 0.0042902400, 0.0125672000, 0.0368123000, 0.1078320000, 0.3158670000");
values("0.0893825000, 0.0940128000, 0.1043020000, 0.1253087000, 0.1706670000, 0.2867790000, 0.6215225000", \
"0.0947109000, 0.0992768000, 0.1095723000, 0.1305028000, 0.1759432000, 0.2920352000, 0.6262424000", \
"0.1073098000, 0.1122147000, 0.1224900000, 0.1435736000, 0.1888902000, 0.3050100000, 0.6394017000", \
"0.1390839000, 0.1436487000, 0.1538906000, 0.1749740000, 0.2204538000, 0.3366603000, 0.6718233000", \
"0.2069192000, 0.2119847000, 0.2231280000, 0.2451737000, 0.2912927000, 0.4071439000, 0.7431974000", \
"0.3151437000, 0.3217471000, 0.3360783000, 0.3632810000, 0.4144178000, 0.5330332000, 0.8664172000", \
"0.4806499000, 0.4891128000, 0.5079365000, 0.5444191000, 0.6065489000, 0.7308373000, 1.0635163000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014646200, 0.0042902400, 0.0125672000, 0.0368123000, 0.1078320000, 0.3158670000");
values("0.0668910000, 0.0718924000, 0.0840012000, 0.1134072000, 0.1935354000, 0.4261705000, 1.1063915000", \
"0.0716767000, 0.0766803000, 0.0887568000, 0.1182065000, 0.1984368000, 0.4311861000, 1.1152972000", \
"0.0829645000, 0.0879570000, 0.0999870000, 0.1294499000, 0.2100037000, 0.4433682000, 1.1281723000", \
"0.1086829000, 0.1137214000, 0.1257475000, 0.1551004000, 0.2355409000, 0.4675719000, 1.1647491000", \
"0.1485626000, 0.1544699000, 0.1680141000, 0.1985122000, 0.2787439000, 0.5129941000, 1.1919699000", \
"0.1975048000, 0.2056084000, 0.2226795000, 0.2560802000, 0.3372415000, 0.5701722000, 1.2502678000", \
"0.2460262000, 0.2566468000, 0.2796226000, 0.3221350000, 0.4059333000, 0.6372368000, 1.3161603000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014646200, 0.0042902400, 0.0125672000, 0.0368123000, 0.1078320000, 0.3158670000");
values("0.0178310000, 0.0207207000, 0.0278897000, 0.0455429000, 0.0939806000, 0.2427863000, 0.6972716000", \
"0.0175943000, 0.0207175000, 0.0279071000, 0.0458368000, 0.0940654000, 0.2429276000, 0.6955793000", \
"0.0178137000, 0.0205648000, 0.0279116000, 0.0457148000, 0.0938515000, 0.2421597000, 0.6948925000", \
"0.0177391000, 0.0207459000, 0.0279198000, 0.0456478000, 0.0938481000, 0.2423421000, 0.6983182000", \
"0.0218519000, 0.0246050000, 0.0314674000, 0.0480329000, 0.0950875000, 0.2430947000, 0.6911738000", \
"0.0325958000, 0.0363413000, 0.0443101000, 0.0605935000, 0.1048121000, 0.2452953000, 0.6901063000", \
"0.0498742000, 0.0548127000, 0.0644672000, 0.0838614000, 0.1254033000, 0.2553391000, 0.6907858000");
}
related_pin : "A";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014646200, 0.0042902400, 0.0125672000, 0.0368123000, 0.1078320000, 0.3158670000");
values("0.0173427000, 0.0217460000, 0.0342817000, 0.0713277000, 0.1844091000, 0.5209731000, 1.5096145000", \
"0.0172691000, 0.0217777000, 0.0342816000, 0.0713081000, 0.1846570000, 0.5218083000, 1.5055296000", \
"0.0173301000, 0.0217210000, 0.0341901000, 0.0713260000, 0.1844059000, 0.5209821000, 1.5073524000", \
"0.0181680000, 0.0224998000, 0.0347377000, 0.0715538000, 0.1849883000, 0.5212006000, 1.5081902000", \
"0.0238874000, 0.0279114000, 0.0392879000, 0.0740700000, 0.1850683000, 0.5226318000, 1.5055001000", \
"0.0337211000, 0.0383696000, 0.0494030000, 0.0810920000, 0.1869322000, 0.5191824000, 1.5103887000", \
"0.0482771000, 0.0551313000, 0.0683274000, 0.0976699000, 0.1936813000, 0.5238303000, 1.4991499000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
cell ("sky130_fd_sc_hd__buf_4") {
leakage_power () {
value : 0.0041507000;
when : "A";
}
leakage_power () {
value : 0.0054587000;
when : "!A";
}
area : 7.5072000000;
cell_footprint : "sky130_fd_sc_hd__buf";
cell_leakage_power : 0.0048047400;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0024000000;
clock : "false";
direction : "input";
fall_capacitance : 0.0022760000;
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0025240000;
}
pin ("X") {
direction : "output";
function : "(A)";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0016118760, 0.0051962870, 0.0167515400, 0.0540028000, 0.1740916000, 0.5612281000");
values("0.0250387000, 0.0233860000, 0.0180599000, 0.0018219000, -0.056422600, -0.250180600, -0.877232400", \
"0.0248583000, 0.0231565000, 0.0179592000, 0.0015346000, -0.056581000, -0.250323600, -0.877487500", \
"0.0245577000, 0.0228640000, 0.0176583000, 0.0013406000, -0.056846800, -0.250677000, -0.877688000", \
"0.0244145000, 0.0227070000, 0.0173772000, 0.0008926000, -0.057188000, -0.250845800, -0.877855800", \
"0.0246491000, 0.0229037000, 0.0176337000, 0.0007448000, -0.057488900, -0.251136400, -0.877964600", \
"0.0275949000, 0.0256361000, 0.0191608000, 0.0006189000, -0.057703700, -0.250607900, -0.877240100", \
"0.0316715000, 0.0295242000, 0.0237961000, 0.0044928000, -0.055795900, -0.249579000, -0.876104200");
}
related_pin : "A";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0016118760, 0.0051962870, 0.0167515400, 0.0540028000, 0.1740916000, 0.5612281000");
values("0.0222015000, 0.0241544000, 0.0303931000, 0.0496628000, 0.1100583000, 0.3028661000, 0.9189129000", \
"0.0220592000, 0.0239981000, 0.0302094000, 0.0494518000, 0.1100018000, 0.3028923000, 0.9244028000", \
"0.0218224000, 0.0237731000, 0.0299566000, 0.0493260000, 0.1096749000, 0.3025119000, 0.9233273000", \
"0.0217116000, 0.0234869000, 0.0297142000, 0.0487619000, 0.1092315000, 0.3008271000, 0.9243422000", \
"0.0215443000, 0.0233946000, 0.0294358000, 0.0484112000, 0.1084514000, 0.3008764000, 0.9181804000", \
"0.0230364000, 0.0248108000, 0.0305407000, 0.0489520000, 0.1083488000, 0.3004039000, 0.9226661000", \
"0.0249862000, 0.0266607000, 0.0324804000, 0.0509590000, 0.1104343000, 0.3028834000, 0.9232268000");
}
}
max_capacitance : 0.5612280000;
max_transition : 1.5123900000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016118800, 0.0051962900, 0.0167515000, 0.0540028000, 0.1740920000, 0.5612280000");
values("0.1033063000, 0.1066731000, 0.1151227000, 0.1343826000, 0.1753918000, 0.2799389000, 0.6006557000", \
"0.1084570000, 0.1117917000, 0.1203476000, 0.1395818000, 0.1805146000, 0.2850405000, 0.6055256000", \
"0.1211782000, 0.1244793000, 0.1334734000, 0.1526797000, 0.1937010000, 0.2982015000, 0.6186466000", \
"0.1526276000, 0.1559945000, 0.1646440000, 0.1836851000, 0.2248794000, 0.3292693000, 0.6504507000", \
"0.2244431000, 0.2279846000, 0.2368191000, 0.2561077000, 0.2976850000, 0.4024615000, 0.7229223000", \
"0.3438407000, 0.3483518000, 0.3599956000, 0.3847228000, 0.4322217000, 0.5388950000, 0.8605761000", \
"0.5304358000, 0.5363137000, 0.5516937000, 0.5844639000, 0.6445210000, 0.7617060000, 1.0810598000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016118800, 0.0051962900, 0.0167515000, 0.0540028000, 0.1740920000, 0.5612280000");
values("0.0716590000, 0.0752438000, 0.0849344000, 0.1099593000, 0.1808649000, 0.4047891000, 1.1215164000", \
"0.0763630000, 0.0799324000, 0.0896053000, 0.1146095000, 0.1853259000, 0.4086105000, 1.1279410000", \
"0.0875039000, 0.0910776000, 0.1007494000, 0.1258084000, 0.1968163000, 0.4196898000, 1.1396052000", \
"0.1130382000, 0.1164395000, 0.1261740000, 0.1512323000, 0.2221358000, 0.4449059000, 1.1665179000", \
"0.1533121000, 0.1576147000, 0.1683870000, 0.1946475000, 0.2658182000, 0.4885611000, 1.2060772000", \
"0.2017864000, 0.2074067000, 0.2210664000, 0.2507004000, 0.3234487000, 0.5466355000, 1.2618463000", \
"0.2433957000, 0.2508014000, 0.2694802000, 0.3080674000, 0.3864523000, 0.6084016000, 1.3232415000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016118800, 0.0051962900, 0.0167515000, 0.0540028000, 0.1740920000, 0.5612280000");
values("0.0199814000, 0.0220302000, 0.0275210000, 0.0415439000, 0.0806252000, 0.2066597000, 0.6386869000", \
"0.0199761000, 0.0221205000, 0.0274545000, 0.0413562000, 0.0807757000, 0.2065725000, 0.6364259000", \
"0.0201105000, 0.0220996000, 0.0275774000, 0.0418800000, 0.0804895000, 0.2068652000, 0.6375794000", \
"0.0200461000, 0.0220183000, 0.0276151000, 0.0417760000, 0.0806683000, 0.2071212000, 0.6333999000", \
"0.0228421000, 0.0247955000, 0.0302302000, 0.0433868000, 0.0818280000, 0.2071985000, 0.6406692000", \
"0.0343573000, 0.0370809000, 0.0431339000, 0.0569227000, 0.0926200000, 0.2119176000, 0.6396464000", \
"0.0530288000, 0.0561621000, 0.0646432000, 0.0811796000, 0.1164011000, 0.2261130000, 0.6370017000");
}
related_pin : "A";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016118800, 0.0051962900, 0.0167515000, 0.0540028000, 0.1740920000, 0.5612280000");
values("0.0180426000, 0.0210630000, 0.0302983000, 0.0591957000, 0.1559694000, 0.4765683000, 1.5057069000", \
"0.0181188000, 0.0211893000, 0.0303639000, 0.0592243000, 0.1561384000, 0.4762130000, 1.5111720000", \
"0.0181283000, 0.0211047000, 0.0303460000, 0.0592145000, 0.1562200000, 0.4762723000, 1.5057129000", \
"0.0187293000, 0.0218962000, 0.0308559000, 0.0594536000, 0.1560576000, 0.4761896000, 1.5123900000", \
"0.0245098000, 0.0271357000, 0.0356889000, 0.0626464000, 0.1566824000, 0.4751168000, 1.5006379000", \
"0.0343299000, 0.0375858000, 0.0459286000, 0.0712919000, 0.1600246000, 0.4747910000, 1.5061080000", \
"0.0491897000, 0.0536030000, 0.0650059000, 0.0893642000, 0.1692217000, 0.4772340000, 1.4982489000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
/* removed sky130_fd_sc_hd__buf_6 */
cell ("sky130_fd_sc_hd__buf_8") {
leakage_power () {
value : 0.0076474000;
when : "A";
}
leakage_power () {
value : 0.0071930000;
when : "!A";
}
area : 15.014400000;
cell_footprint : "sky130_fd_sc_hd__buf";
cell_leakage_power : 0.0074201990;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0070070000;
clock : "false";
direction : "input";
fall_capacitance : 0.0066760000;
max_transition : 5.0000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0073370000;
}
pin ("X") {
direction : "output";
function : "(A)";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0023207940, 0.0107721700, 0.0500000000, 0.2320794000, 1.0772170000, 5.0000000000");
values("0.0594648000, 0.0569737000, 0.0451290000, -0.012488100, -0.304828900, -1.673602300, -8.028393200", \
"0.0589364000, 0.0562515000, 0.0444163000, -0.013240900, -0.305520300, -1.674224500, -8.028995100", \
"0.0581356000, 0.0555284000, 0.0434447000, -0.014452600, -0.306389600, -1.675074000, -8.029740200", \
"0.0584278000, 0.0556579000, 0.0430897000, -0.015692200, -0.307194800, -1.675310300, -8.030067200", \
"0.0627816000, 0.0596428000, 0.0459259000, -0.016393900, -0.306569300, -1.674148000, -8.028590900", \
"0.0743088000, 0.0706798000, 0.0558629000, -0.008329800, -0.301726400, -1.667720100, -8.022229700", \
"0.1021201000, 0.0982151000, 0.0810371000, 0.0136848000, -0.278867000, -1.645589300, -7.999159600");
}
related_pin : "A";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0023207940, 0.0107721700, 0.0500000000, 0.2320794000, 1.0772170000, 5.0000000000");
values("0.0427107000, 0.0460135000, 0.0610513000, 0.1266503000, 0.4200511000, 1.7760417000, 8.0648437000", \
"0.0421951000, 0.0455223000, 0.0605754000, 0.1261224000, 0.4191578000, 1.7668657000, 8.0626328000", \
"0.0414089000, 0.0446402000, 0.0591473000, 0.1245859000, 0.4166932000, 1.7659490000, 8.0627551000", \
"0.0408571000, 0.0440200000, 0.0584826000, 0.1222705000, 0.4152294000, 1.7661451000, 8.0523737000", \
"0.0430943000, 0.0460533000, 0.0599271000, 0.1230448000, 0.4155668000, 1.7649929000, 8.0577062000", \
"0.0501280000, 0.0528782000, 0.0663153000, 0.1296669000, 0.4206021000, 1.7696591000, 8.0617707000", \
"0.0791212000, 0.0814390000, 0.0932837000, 0.1536334000, 0.4446522000, 1.7951581000, 8.0824963000");
}
}
max_capacitance : 5.0000000000;
max_transition : 7.6522390000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0023207900, 0.0107722000, 0.0500000000, 0.2320790000, 1.0772200000, 5.0000000000");
values("0.0898758000, 0.0926007000, 0.1023709000, 0.1310611000, 0.2217777000, 0.6103413000, 2.4076046000", \
"0.0972142000, 0.0999246000, 0.1096444000, 0.1382519000, 0.2290637000, 0.6170997000, 2.4183229000", \
"0.1199870000, 0.1226775000, 0.1323263000, 0.1607603000, 0.2517571000, 0.6393114000, 2.4340354000", \
"0.1861122000, 0.1888561000, 0.1986590000, 0.2274883000, 0.3185937000, 0.7064965000, 2.5103484000", \
"0.3178306000, 0.3216539000, 0.3352763000, 0.3713545000, 0.4680375000, 0.8571459000, 2.6518570000", \
"0.5573670000, 0.5625915000, 0.5813042000, 0.6318730000, 0.7458290000, 1.1346160000, 2.9290783000", \
"1.0403164000, 1.0467943000, 1.0714550000, 1.1408591000, 1.2921527000, 1.6904512000, 3.4862067000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0023207900, 0.0107722000, 0.0500000000, 0.2320790000, 1.0772200000, 5.0000000000");
values("0.0612234000, 0.0643212000, 0.0764559000, 0.1219139000, 0.3173798000, 1.2234274000, 5.3912519000", \
"0.0676434000, 0.0707376000, 0.0829084000, 0.1283858000, 0.3240240000, 1.2232362000, 5.4256497000", \
"0.0859690000, 0.0890238000, 0.1009935000, 0.1463633000, 0.3417019000, 1.2410657000, 5.4493232000", \
"0.1199811000, 0.1233260000, 0.1360820000, 0.1824243000, 0.3783367000, 1.2818745000, 5.4515108000", \
"0.1592457000, 0.1637711000, 0.1797083000, 0.2278973000, 0.4239317000, 1.3241658000, 5.4973266000", \
"0.1652575000, 0.1717478000, 0.1941631000, 0.2547364000, 0.4509668000, 1.3530214000, 5.5215943000", \
"0.0161652000, 0.0246055000, 0.0567909000, 0.1439944000, 0.3571018000, 1.2588504000, 5.4248739000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0023207900, 0.0107722000, 0.0500000000, 0.2320790000, 1.0772200000, 5.0000000000");
values("0.0176236000, 0.0191398000, 0.0256832000, 0.0505653000, 0.1598651000, 0.6960770000, 3.1832885000", \
"0.0174651000, 0.0192454000, 0.0258406000, 0.0505902000, 0.1599342000, 0.6935716000, 3.1895941000", \
"0.0176052000, 0.0191666000, 0.0257988000, 0.0505520000, 0.1597837000, 0.6934592000, 3.1853375000", \
"0.0194796000, 0.0210842000, 0.0273309000, 0.0515301000, 0.1601077000, 0.6928711000, 3.1936236000", \
"0.0322104000, 0.0339113000, 0.0413675000, 0.0636939000, 0.1657876000, 0.6944166000, 3.1828833000", \
"0.0541379000, 0.0565577000, 0.0668154000, 0.0937494000, 0.1853528000, 0.6973335000, 3.1897851000", \
"0.0914298000, 0.0943024000, 0.1068330000, 0.1424247000, 0.2337197000, 0.7069229000, 3.2030785000");
}
related_pin : "A";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0023207900, 0.0107722000, 0.0500000000, 0.2320790000, 1.0772200000, 5.0000000000");
values("0.0172973000, 0.0200772000, 0.0325186000, 0.0909194000, 0.3695902000, 1.6673350000, 7.6423667000", \
"0.0172754000, 0.0200440000, 0.0325830000, 0.0909815000, 0.3701521000, 1.6571479000, 7.6522388000", \
"0.0174418000, 0.0201777000, 0.0327355000, 0.0910592000, 0.3697503000, 1.6607066000, 7.6499953000", \
"0.0212271000, 0.0239302000, 0.0357275000, 0.0922210000, 0.3689166000, 1.6622439000, 7.6486346000", \
"0.0315809000, 0.0342105000, 0.0448285000, 0.0964010000, 0.3705766000, 1.6651750000, 7.6519324000", \
"0.0500362000, 0.0540572000, 0.0683488000, 0.1137048000, 0.3724115000, 1.6607993000, 7.6407058000", \
"0.0834987000, 0.0889313000, 0.1085243000, 0.1634299000, 0.3866372000, 1.6719754000, 7.6396258000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
/* removed sky130_fd_sc_hd__bufbuf_16 */
/* removed sky130_fd_sc_hd__bufbuf_8 */
/* removed sky130_fd_sc_hd__bufinv_16 */
/* removed sky130_fd_sc_hd__bufinv_8 */
/* removed sky130_fd_sc_hd__clkbuf_1 */
/* removed sky130_fd_sc_hd__clkbuf_16 */
/* removed sky130_fd_sc_hd__clkbuf_2 */
/* removed sky130_fd_sc_hd__clkbuf_4 */
/* removed sky130_fd_sc_hd__clkbuf_8 */
/* removed sky130_fd_sc_hd__clkdlybuf4s15_1 */
/* removed sky130_fd_sc_hd__clkdlybuf4s15_2 */
/* removed sky130_fd_sc_hd__clkdlybuf4s18_1 */
/* removed sky130_fd_sc_hd__clkdlybuf4s18_2 */
/* removed sky130_fd_sc_hd__clkdlybuf4s25_1 */
/* removed sky130_fd_sc_hd__clkdlybuf4s25_2 */
/* removed sky130_fd_sc_hd__clkdlybuf4s50_1 */
/* removed sky130_fd_sc_hd__clkdlybuf4s50_2 */
/* removed sky130_fd_sc_hd__clkinv_1 */
/* removed sky130_fd_sc_hd__clkinv_16 */
/* removed sky130_fd_sc_hd__clkinv_2 */
/* removed sky130_fd_sc_hd__clkinv_4 */
/* removed sky130_fd_sc_hd__clkinv_8 */
/* removed sky130_fd_sc_hd__clkinvlp_2 */
/* removed sky130_fd_sc_hd__clkinvlp_4 */
cell ("sky130_fd_sc_hd__conb_1") {
area : 3.7536000000;
cell_footprint : "sky130_fd_sc_hd__conb";
cell_leakage_power : 0.0032400370;
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("HI") {
direction : "output";
function : "1";
max_capacitance : 1.9038000000;
max_transition : 1.0000000000;
power_down_function : "!VPWR";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
pin ("LO") {
direction : "output";
function : "0";
max_capacitance : 2.0468000000;
max_transition : 1.0000000000;
power_down_function : "VGND";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
}
/* removed sky130_fd_sc_hd__decap_12 */
/* removed sky130_fd_sc_hd__decap_3 */
/* removed sky130_fd_sc_hd__decap_4 */
/* removed sky130_fd_sc_hd__decap_6 */
/* removed sky130_fd_sc_hd__decap_8 */
/* removed sky130_fd_sc_hd__dfbbn_1 */
cell ("sky130_fd_sc_hd__dfbbn_2") {
leakage_power () {
value : 0.0117983000;
when : "!SET_B&RESET_B&CLK_N&D&Q&!Q_N";
}
leakage_power () {
value : 0.0137929000;
when : "SET_B&RESET_B&CLK_N&!D&Q&!Q_N";
}
leakage_power () {
value : 0.0116202000;
when : "!SET_B&!RESET_B&CLK_N&!D&Q&!Q_N";
}
leakage_power () {
value : 0.0143473000;
when : "SET_B&RESET_B&!CLK_N&D&Q&!Q_N";
}
leakage_power () {
value : 0.0116884000;
when : "!SET_B&!RESET_B&!CLK_N&D&Q&!Q_N";
}
leakage_power () {
value : 0.0114876000;
when : "!SET_B&!RESET_B&CLK_N&D&Q&!Q_N";
}
leakage_power () {
value : 0.0116142000;
when : "SET_B&!RESET_B&CLK_N&!D&!Q&Q_N";
}
leakage_power () {
value : 0.0137305000;
when : "SET_B&RESET_B&!CLK_N&!D&!Q&Q_N";
}
leakage_power () {
value : 0.0117410000;
when : "SET_B&!RESET_B&CLK_N&D&!Q&Q_N";
}
leakage_power () {
value : 0.0143260000;
when : "SET_B&RESET_B&CLK_N&D&!Q&Q_N";
}
leakage_power () {
value : 0.0147321000;
when : "SET_B&RESET_B&!CLK_N&D&!Q&Q_N";
}
leakage_power () {
value : 0.0134107000;
when : "SET_B&RESET_B&CLK_N&!D&!Q&Q_N";
}
leakage_power () {
value : 0.0124126000;
when : "!SET_B&RESET_B&!CLK_N&!D&Q&!Q_N";
}
leakage_power () {
value : 0.0119341000;
when : "SET_B&!RESET_B&!CLK_N&!D&!Q&Q_N";
}
leakage_power () {
value : 0.0147607000;
when : "SET_B&RESET_B&!CLK_N&!D&Q&!Q_N";
}
leakage_power () {
value : 0.0141465000;
when : "SET_B&RESET_B&CLK_N&D&Q&!Q_N";
}
leakage_power () {
value : 0.0119992000;
when : "!SET_B&RESET_B&!CLK_N&D&Q&!Q_N";
}
leakage_power () {
value : 0.0129356000;
when : "SET_B&!RESET_B&!CLK_N&D&!Q&Q_N";
}
leakage_power () {
value : 0.0123327000;
when : "!SET_B&RESET_B&CLK_N&!D&Q&!Q_N";
}
leakage_power () {
value : 0.0121019000;
when : "!SET_B&!RESET_B&!CLK_N&!D&Q&!Q_N";
}
area : 35.033600000;
cell_footprint : "sky130_fd_sc_hd__dfbbn";
cell_leakage_power : 0.0128456200;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
ff ("IQ","IQ_N") {
clear : "!RESET_B";
clear_preset_var1 : "H";
clear_preset_var2 : "L";
clocked_on : "!CLK_N";
next_state : "D";
preset : "!SET_B";
}
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("CLK_N") {
capacitance : 0.0017970000;
clock : "true";
direction : "input";
fall_capacitance : 0.0017280000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0338824000, 0.0337452000, 0.0334290000, 0.0334754000, 0.0335827000, 0.0338300000, 0.0343999000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0161190000, 0.0160333000, 0.0158358000, 0.0158482000, 0.0158771000, 0.0159436000, 0.0160970000");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0018660000;
timing () {
fall_constraint ("constraint_3_0_1") {
index_1("0.0100000000, 0.5000000000, 1.5000000000");
values("0.2884238000, 0.8333333000, 2.5000000000");
}
related_output_pin : "Q";
related_pin : "CLK_N";
rise_constraint ("constraint_3_0_1") {
index_1("0.0100000000, 0.5000000000, 1.5000000000");
values("0.1994444000, 0.8333333000, 2.5000000000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "min_pulse_width";
violation_delay_degrade_pct : 10.000000000;
}
}
pin ("D") {
capacitance : 0.0015930000;
clock : "false";
direction : "input";
fall_capacitance : 0.0015380000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0055914000, 0.0055096000, 0.0053212000, 0.0053975000, 0.0055737000, 0.0059798000, 0.0069158000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("1.6588513e-05, -5.5872937e-05, -0.000222800, -0.000179400, -7.9130524e-05, 0.0001520000, 0.0006848000");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0016480000;
timing () {
fall_constraint ("vio_3_3_1") {
index_1("0.0100000000, 0.5000000000, 1.5000000000");
index_2("0.0100000000, 0.5000000000, 1.5000000000");
values("0.1460430000, 0.3590475000, 0.6512025000", \
"0.0148255000, 0.2253887000, 0.5077780000", \
"-0.138169300, 0.0723939000, 0.3499004000");
}
related_pin : "CLK_N";
rise_constraint ("vio_3_3_1") {
index_1("0.0100000000, 0.5000000000, 1.5000000000");
index_2("0.0100000000, 0.5000000000, 1.5000000000");
values("0.0837871000, 0.1991354000, 0.2849915000", \
"-0.129217500, -0.012648400, 0.0732077000", \
"-0.367661500, -0.252313200, -0.164015600");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "setup_falling";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
fall_constraint ("vio_3_3_1") {
index_1("0.0100000000, 0.5000000000, 1.5000000000");
index_2("0.0100000000, 0.5000000000, 1.5000000000");
values("-0.126173800, -0.339178400, -0.625229800", \
"0.0062643000, -0.203078100, -0.481805300", \
"0.1629212000, -0.046421200, -0.322707000");
}
related_pin : "CLK_N";
rise_constraint ("vio_3_3_1") {
index_1("0.0100000000, 0.5000000000, 1.5000000000");
index_2("0.0100000000, 0.5000000000, 1.5000000000");
values("0.0471660000, -0.066961600, -0.149155600", \
"0.2394186000, 0.1301738000, 0.0504212000", \
"0.4607728000, 0.3600729000, 0.2864238000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "hold_falling";
violation_delay_degrade_pct : 10.000000000;
}
}
pin ("Q") {
direction : "output";
function : "IQ";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0014624920, 0.0042777660, 0.0125124000, 0.0365985700, 0.1070502000, 0.3131202000");
values("-0.013582700, -0.007945000, 0.0038542000, 0.0173618000, 0.0056755000, -0.091616700, -0.418048700", \
"-0.013520600, -0.007899200, 0.0038800000, 0.0173497000, 0.0056181000, -0.091695900, -0.418134300", \
"-0.013364900, -0.007747300, 0.0040084000, 0.0174511000, 0.0056757000, -0.091682400, -0.418128000", \
"-0.013396400, -0.007796100, 0.0039343000, 0.0173054000, 0.0055037000, -0.091949800, -0.418361800", \
"-0.013459700, -0.007869500, 0.0038093000, 0.0171715000, 0.0052897000, -0.092112400, -0.418594600", \
"-0.013580100, -0.007986700, 0.0037205000, 0.0170762000, 0.0052348000, -0.092219300, -0.418698700", \
"-0.013838000, -0.008196800, 0.0036139000, 0.0171538000, 0.0055752000, -0.091695100, -0.418122800");
}
related_pin : "CLK_N";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0014624920, 0.0042777660, 0.0125124000, 0.0365985700, 0.1070502000, 0.3131202000");
values("-0.015157100, -0.011889400, -0.003284300, 0.0171469000, 0.0632073000, 0.1801531000, 0.5123678000", \
"-0.015091400, -0.011834400, -0.003229300, 0.0171669000, 0.0633156000, 0.1800816000, 0.5143452000", \
"-0.014938700, -0.011691700, -0.003124500, 0.0172421000, 0.0632012000, 0.1798299000, 0.5117702000", \
"-0.014975600, -0.011747100, -0.003218000, 0.0170543000, 0.0630194000, 0.1805871000, 0.5112811000", \
"-0.015037300, -0.011829200, -0.003355100, 0.0168557000, 0.0629699000, 0.1803904000, 0.5133650000", \
"-0.015165900, -0.011959200, -0.003479000, 0.0167173000, 0.0625888000, 0.1790431000, 0.5130447000", \
"-0.015341300, -0.011971800, -0.003290800, 0.0166937000, 0.0632292000, 0.1793322000, 0.5112425000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0014624920, 0.0042777660, 0.0125124000, 0.0365985700, 0.1070502000, 0.3131202000");
values("-0.002733600, 0.0035533000, 0.0168500000, 0.0327309000, 0.0242815000, -0.070676400, -0.396364300", \
"-0.002689200, 0.0035894000, 0.0168347000, 0.0327097000, 0.0241419000, -0.070794000, -0.396312200", \
"-0.002593600, 0.0036745000, 0.0168923000, 0.0327279000, 0.0241175000, -0.070840400, -0.396453300", \
"-0.002623000, 0.0036443000, 0.0168624000, 0.0326993000, 0.0241267000, -0.070887500, -0.396613500", \
"-0.002689900, 0.0035573000, 0.0167598000, 0.0325112000, 0.0238976000, -0.071129200, -0.396860200", \
"-0.002834900, 0.0033971000, 0.0165593000, 0.0322492000, 0.0235593000, -0.071584900, -0.397295900", \
"-0.003063800, 0.0033043000, 0.0167832000, 0.0330063000, 0.0237330000, -0.071541900, -0.397248700");
}
related_pin : "RESET_B";
rise_power ("scalar") {
values("0.0000000000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0014624920, 0.0042777660, 0.0125124000, 0.0365985700, 0.1070502000, 0.3131202000");
values("0.0056062000, 0.0108587000, 0.0217829000, 0.0338720000, 0.0215949000, -0.075581600, -0.402235300", \
"0.0056264000, 0.0108826000, 0.0217990000, 0.0338825000, 0.0215742000, -0.075603100, -0.402244100", \
"0.0056639000, 0.0109032000, 0.0218122000, 0.0338656000, 0.0215658000, -0.075649200, -0.402287600", \
"0.0056481000, 0.0108581000, 0.0217071000, 0.0336587000, 0.0211804000, -0.076116200, -0.402722700", \
"0.0056312000, 0.0108023000, 0.0215591000, 0.0333552000, 0.0207464000, -0.076706600, -0.403433500", \
"0.0056385000, 0.0108127000, 0.0215393000, 0.0333563000, 0.0204697000, -0.077246500, -0.404004300", \
"0.0057374000, 0.0110437000, 0.0220861000, 0.0343416000, 0.0211170000, -0.077332700, -0.404203200");
}
related_pin : "SET_B";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0014624920, 0.0042777660, 0.0125124000, 0.0365985700, 0.1070502000, 0.3131202000");
values("-0.000512900, 0.0031516000, 0.0127026000, 0.0349354000, 0.0829933000, 0.2008713000, 0.5334206000", \
"-0.000515500, 0.0031364000, 0.0126336000, 0.0347823000, 0.0830971000, 0.2010458000, 0.5350268000", \
"-0.000515000, 0.0031144000, 0.0125490000, 0.0346452000, 0.0828610000, 0.2007271000, 0.5329991000", \
"-0.000530000, 0.0030857000, 0.0125237000, 0.0345623000, 0.0825600000, 0.2011088000, 0.5343497000", \
"-0.000559200, 0.0030381000, 0.0123850000, 0.0343584000, 0.0823033000, 0.2004075000, 0.5314888000", \
"-0.000614200, 0.0029516000, 0.0122477000, 0.0340774000, 0.0817771000, 0.1998085000, 0.5317224000", \
"-0.000677900, 0.0029207000, 0.0123101000, 0.0344652000, 0.0825377000, 0.2006496000, 0.5349586000");
}
}
max_capacitance : 0.3131200000;
max_transition : 1.4976940000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014624900, 0.0042777700, 0.0125124000, 0.0365986000, 0.1070500000, 0.3131200000");
values("0.5715146000, 0.5764608000, 0.5872999000, 0.6094978000, 0.6562273000, 0.7728852000, 1.1070838000", \
"0.5763654000, 0.5813140000, 0.5921482000, 0.6143386000, 0.6610686000, 0.7777333000, 1.1119631000", \
"0.5888512000, 0.5937825000, 0.6047230000, 0.6268766000, 0.6736517000, 0.7902700000, 1.1248132000", \
"0.6198478000, 0.6247883000, 0.6357509000, 0.6578812000, 0.7045739000, 0.8213871000, 1.1548854000", \
"0.6903620000, 0.6953002000, 0.7062560000, 0.7282338000, 0.7750668000, 0.8917673000, 1.2255494000", \
"0.8164339000, 0.8213774000, 0.8323279000, 0.8544688000, 0.9011354000, 1.0179231000, 1.3516137000", \
"1.0122578000, 1.0172030000, 1.0280993000, 1.0502539000, 1.0970421000, 1.2136561000, 1.5477983000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014624900, 0.0042777700, 0.0125124000, 0.0365986000, 0.1070500000, 0.3131200000");
values("0.4959562000, 0.5023387000, 0.5161479000, 0.5469950000, 0.6271237000, 0.8580184000, 1.5340924000", \
"0.5006354000, 0.5070349000, 0.5209054000, 0.5518923000, 0.6322072000, 0.8632844000, 1.5393135000", \
"0.5131978000, 0.5195176000, 0.5335894000, 0.5643231000, 0.6445486000, 0.8759715000, 1.5501265000", \
"0.5441849000, 0.5505837000, 0.5644421000, 0.5954131000, 0.6757394000, 0.9068185000, 1.5835114000", \
"0.6145719000, 0.6209193000, 0.6348982000, 0.6657329000, 0.7461110000, 0.9769409000, 1.6530290000", \
"0.7392129000, 0.7456168000, 0.7594805000, 0.7904654000, 0.8707812000, 1.1018603000, 1.7758524000", \
"0.9295227000, 0.9358828000, 0.9497857000, 0.9805695000, 1.0610020000, 1.2916317000, 1.9667528000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014624900, 0.0042777700, 0.0125124000, 0.0365986000, 0.1070500000, 0.3131200000");
values("0.0201573000, 0.0232459000, 0.0306186000, 0.0486452000, 0.0965352000, 0.2431940000, 0.6940848000", \
"0.0201437000, 0.0232326000, 0.0306586000, 0.0486549000, 0.0965757000, 0.2431721000, 0.6876032000", \
"0.0201667000, 0.0232569000, 0.0306308000, 0.0486124000, 0.0960247000, 0.2429552000, 0.6900953000", \
"0.0202455000, 0.0233484000, 0.0308481000, 0.0484582000, 0.0961830000, 0.2426410000, 0.6931582000", \
"0.0202019000, 0.0233392000, 0.0305482000, 0.0483223000, 0.0964494000, 0.2421681000, 0.6853469000", \
"0.0201581000, 0.0232498000, 0.0308296000, 0.0482484000, 0.0962860000, 0.2430605000, 0.6859591000", \
"0.0201717000, 0.0232519000, 0.0309418000, 0.0486065000, 0.0960826000, 0.2419765000, 0.6944766000");
}
related_pin : "CLK_N";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014624900, 0.0042777700, 0.0125124000, 0.0365986000, 0.1070500000, 0.3131200000");
values("0.0251050000, 0.0294903000, 0.0409939000, 0.0752368000, 0.1855240000, 0.5167228000, 1.4945660000", \
"0.0251651000, 0.0295626000, 0.0409769000, 0.0753114000, 0.1853144000, 0.5173152000, 1.4937516000", \
"0.0251410000, 0.0297028000, 0.0410298000, 0.0752777000, 0.1852855000, 0.5176567000, 1.4939925000", \
"0.0252007000, 0.0296153000, 0.0409639000, 0.0753174000, 0.1853070000, 0.5171768000, 1.4944919000", \
"0.0251212000, 0.0297909000, 0.0410251000, 0.0752155000, 0.1853753000, 0.5164013000, 1.4976937000", \
"0.0251700000, 0.0295755000, 0.0409753000, 0.0753103000, 0.1853055000, 0.5171507000, 1.4917667000", \
"0.0252085000, 0.0295810000, 0.0411068000, 0.0752978000, 0.1851638000, 0.5174594000, 1.4949680000");
}
timing_sense : "non_unate";
timing_type : "falling_edge";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014624900, 0.0042777700, 0.0125124000, 0.0365986000, 0.1070500000, 0.3131200000");
values("0.3569500000, 0.3619844000, 0.3731203000, 0.3956128000, 0.4426570000, 0.5597244000, 0.8931262000", \
"0.3622413000, 0.3672809000, 0.3783640000, 0.4008872000, 0.4480329000, 0.5649282000, 0.8983347000", \
"0.3747519000, 0.3797861000, 0.3908745000, 0.4133839000, 0.4605446000, 0.5774463000, 0.9108437000", \
"0.4064584000, 0.4114798000, 0.4225946000, 0.4451139000, 0.4922558000, 0.6092485000, 0.9423490000", \
"0.4776291000, 0.4826729000, 0.4937882000, 0.5163199000, 0.5633441000, 0.6804374000, 1.0136628000", \
"0.6092404000, 0.6143447000, 0.6254986000, 0.6480298000, 0.6950774000, 0.8121235000, 1.1454626000", \
"0.8190955000, 0.8241580000, 0.8353403000, 0.8578829000, 0.9050162000, 1.0220408000, 1.3553527000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014624900, 0.0042777700, 0.0125124000, 0.0365986000, 0.1070500000, 0.3131200000");
values("0.0210729000, 0.0239388000, 0.0316691000, 0.0491964000, 0.0973032000, 0.2428239000, 0.6870486000", \
"0.0208970000, 0.0240413000, 0.0314562000, 0.0494659000, 0.0968653000, 0.2427730000, 0.6874631000", \
"0.0208662000, 0.0240445000, 0.0314135000, 0.0495144000, 0.0968391000, 0.2428051000, 0.6881803000", \
"0.0208755000, 0.0240514000, 0.0314949000, 0.0494066000, 0.0971266000, 0.2428580000, 0.6877711000", \
"0.0210764000, 0.0243349000, 0.0315942000, 0.0492395000, 0.0973115000, 0.2426421000, 0.6885970000", \
"0.0211746000, 0.0241588000, 0.0316939000, 0.0492636000, 0.0970446000, 0.2428679000, 0.6881231000", \
"0.0209860000, 0.0241299000, 0.0321432000, 0.0493834000, 0.0966777000, 0.2428122000, 0.6875218000");
}
related_pin : "RESET_B";
timing_sense : "positive_unate";
timing_type : "clear";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014624900, 0.0042777700, 0.0125124000, 0.0365986000, 0.1070500000, 0.3131200000");
values("0.2564176000, 0.2614302000, 0.2725387000, 0.2950076000, 0.3421301000, 0.4591907000, 0.7924238000", \
"0.2612437000, 0.2662476000, 0.2773562000, 0.2998325000, 0.3470260000, 0.4640048000, 0.7973817000", \
"0.2711862000, 0.2762307000, 0.2873224000, 0.3098577000, 0.3568919000, 0.4740291000, 0.8071379000", \
"0.2926458000, 0.2976569000, 0.3087689000, 0.3312862000, 0.3784337000, 0.4953704000, 0.8288411000", \
"0.3408331000, 0.3458815000, 0.3569794000, 0.3795236000, 0.4265699000, 0.5437021000, 0.8769099000", \
"0.4302336000, 0.4353806000, 0.4467414000, 0.4693780000, 0.5167812000, 0.6339890000, 0.9672280000", \
"0.5529300000, 0.5583712000, 0.5702434000, 0.5937570000, 0.6416908000, 0.7590423000, 1.0925317000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014624900, 0.0042777700, 0.0125124000, 0.0365986000, 0.1070500000, 0.3131200000");
values("0.4224749000, 0.4293239000, 0.4441836000, 0.4758974000, 0.5563016000, 0.7871183000, 1.4626006000", \
"0.4277420000, 0.4345400000, 0.4494435000, 0.4810595000, 0.5615504000, 0.7921701000, 1.4684824000", \
"0.4408006000, 0.4476916000, 0.4624884000, 0.4941161000, 0.5745947000, 0.8057763000, 1.4800906000", \
"0.4725484000, 0.4794249000, 0.4942122000, 0.5259663000, 0.6062797000, 0.8372941000, 1.5144710000", \
"0.5485211000, 0.5554448000, 0.5701400000, 0.6019671000, 0.6822549000, 0.9132370000, 1.5878433000", \
"0.7268801000, 0.7337704000, 0.7485358000, 0.7801466000, 0.8606407000, 1.0917617000, 1.7661357000", \
"1.1070097000, 1.1143877000, 1.1301959000, 1.1625885000, 1.2432194000, 1.4740369000, 2.1501847000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014624900, 0.0042777700, 0.0125124000, 0.0365986000, 0.1070500000, 0.3131200000");
values("0.0208376000, 0.0239501000, 0.0314154000, 0.0493645000, 0.0969845000, 0.2427779000, 0.6871465000", \
"0.0208271000, 0.0239649000, 0.0313758000, 0.0494088000, 0.0968049000, 0.2427891000, 0.6869477000", \
"0.0209953000, 0.0242384000, 0.0314810000, 0.0492280000, 0.0972327000, 0.2427051000, 0.6877030000", \
"0.0210263000, 0.0242703000, 0.0314585000, 0.0493937000, 0.0969478000, 0.2427619000, 0.6885201000", \
"0.0210291000, 0.0242992000, 0.0314454000, 0.0492789000, 0.0972438000, 0.2424513000, 0.6875051000", \
"0.0216658000, 0.0248560000, 0.0320964000, 0.0501723000, 0.0970783000, 0.2425572000, 0.6878934000", \
"0.0238759000, 0.0271400000, 0.0346973000, 0.0518432000, 0.0986450000, 0.2434849000, 0.6856774000");
}
related_pin : "SET_B";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014624900, 0.0042777700, 0.0125124000, 0.0365986000, 0.1070500000, 0.3131200000");
values("0.0280258000, 0.0323414000, 0.0436556000, 0.0766772000, 0.1857171000, 0.5163333000, 1.4912522000", \
"0.0278694000, 0.0321259000, 0.0438937000, 0.0767928000, 0.1855847000, 0.5176554000, 1.4942477000", \
"0.0278744000, 0.0324466000, 0.0435953000, 0.0767825000, 0.1854573000, 0.5177235000, 1.4913802000", \
"0.0278548000, 0.0325195000, 0.0438040000, 0.0767411000, 0.1855839000, 0.5174336000, 1.4899287000", \
"0.0279749000, 0.0324133000, 0.0435580000, 0.0768631000, 0.1858638000, 0.5168027000, 1.4892525000", \
"0.0278495000, 0.0324141000, 0.0438861000, 0.0767792000, 0.1859082000, 0.5173258000, 1.4936221000", \
"0.0305126000, 0.0349375000, 0.0463838000, 0.0784154000, 0.1860613000, 0.5173134000, 1.4933341000");
}
timing_sense : "negative_unate";
timing_type : "preset";
}
}
pin ("Q_N") {
direction : "output";
function : "IQ_N";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0014425840, 0.0041620980, 0.0120083500, 0.0346461100, 0.0999598700, 0.2884010000");
values("-0.013476100, -0.007808700, 0.0038433000, 0.0171758000, 0.0065654000, -0.081863800, -0.379520200", \
"-0.013413300, -0.007758400, 0.0038717000, 0.0171706000, 0.0064893000, -0.081951100, -0.379598300", \
"-0.013259300, -0.007611400, 0.0040074000, 0.0172783000, 0.0065403000, -0.081860900, -0.379524200", \
"-0.013296300, -0.007675400, 0.0038984000, 0.0170793000, 0.0062984000, -0.082157100, -0.379820700", \
"-0.013354200, -0.007735700, 0.0038050000, 0.0169635000, 0.0061589000, -0.082334900, -0.380016000", \
"-0.013480200, -0.007877700, 0.0036513000, 0.0167671000, 0.0059716000, -0.082507300, -0.380098300", \
"-0.013736600, -0.008091600, 0.0035349000, 0.0167024000, 0.0060748000, -0.081939800, -0.379541200");
}
related_pin : "CLK_N";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0014425840, 0.0041620980, 0.0120083500, 0.0346461100, 0.0999598700, 0.2884010000");
values("-0.014966100, -0.011481700, -0.002692200, 0.0173191000, 0.0612639000, 0.1706042000, 0.4775002000", \
"-0.014900900, -0.011420700, -0.002646600, 0.0173734000, 0.0614351000, 0.1705743000, 0.4750387000", \
"-0.014748900, -0.011282700, -0.002544800, 0.0174225000, 0.0614160000, 0.1704346000, 0.4750288000", \
"-0.014780600, -0.011332100, -0.002622100, 0.0172729000, 0.0611449000, 0.1702684000, 0.4746105000", \
"-0.014842800, -0.011400100, -0.002726200, 0.0171496000, 0.0609693000, 0.1704520000, 0.4735776000", \
"-0.014966300, -0.011527300, -0.002845600, 0.0170281000, 0.0609239000, 0.1698528000, 0.4761877000", \
"-0.015228400, -0.011747200, -0.002996600, 0.0170494000, 0.0612463000, 0.1704112000, 0.4744893000");
}
}
internal_power () {
fall_power ("scalar") {
values("0.0000000000");
}
related_pin : "RESET_B";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0014425840, 0.0041620980, 0.0120083500, 0.0346461100, 0.0999598700, 0.2884010000");
values("-0.004178200, -0.000159300, 0.0097846000, 0.0326144000, 0.0804297000, 0.1912296000, 0.4951224000", \
"-0.004137000, -0.000126900, 0.0097786000, 0.0326240000, 0.0803886000, 0.1915080000, 0.4956842000", \
"-0.004037400, -3.84500e-05, 0.0098461000, 0.0326603000, 0.0803854000, 0.1911351000, 0.4951339000", \
"-0.004068300, -7.90000e-05, 0.0098098000, 0.0326044000, 0.0803131000, 0.1911612000, 0.4957210000", \
"-0.004134400, -0.000155400, 0.0096869000, 0.0324272000, 0.0800889000, 0.1908029000, 0.4947644000", \
"-0.004269800, -0.000299700, 0.0095395000, 0.0321836000, 0.0797697000, 0.1904695000, 0.4949565000", \
"-0.004411000, -0.000143100, 0.0103750000, 0.0330539000, 0.0796050000, 0.1902904000, 0.4951534000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0014425840, 0.0041620980, 0.0120083500, 0.0346461100, 0.0999598700, 0.2884010000");
values("0.0012235000, 0.0073562000, 0.0200119000, 0.0348383000, 0.0259699000, -0.060895200, -0.357806900", \
"0.0012190000, 0.0073384000, 0.0199611000, 0.0347551000, 0.0258022000, -0.061127600, -0.358110200", \
"0.0012171000, 0.0073185000, 0.0198962000, 0.0345811000, 0.0255906000, -0.061374500, -0.358364500", \
"0.0012026000, 0.0072897000, 0.0198529000, 0.0344933000, 0.0255109000, -0.061532200, -0.358517000", \
"0.0011749000, 0.0072404000, 0.0197402000, 0.0342966000, 0.0251183000, -0.061896100, -0.358855500", \
"0.0011241000, 0.0071628000, 0.0196107000, 0.0340021000, 0.0247226000, -0.062439900, -0.359336500", \
"0.0011122000, 0.0072628000, 0.0198846000, 0.0344209000, 0.0250764000, -0.062106000, -0.358990800");
}
related_pin : "SET_B";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0014425840, 0.0041620980, 0.0120083500, 0.0346461100, 0.0999598700, 0.2884010000");
values("0.0041299000, 0.0070904000, 0.0146812000, 0.0337384000, 0.0778454000, 0.1861175000, 0.4889928000", \
"0.0041507000, 0.0071087000, 0.0146959000, 0.0337497000, 0.0778442000, 0.1858724000, 0.4892711000", \
"0.0041933000, 0.0071467000, 0.0147064000, 0.0337361000, 0.0777015000, 0.1861670000, 0.4895061000", \
"0.0041774000, 0.0070978000, 0.0146046000, 0.0335392000, 0.0774036000, 0.1857269000, 0.4886537000", \
"0.0041681000, 0.0070683000, 0.0144972000, 0.0332385000, 0.0768523000, 0.1850578000, 0.4883267000", \
"0.0041890000, 0.0071046000, 0.0145781000, 0.0332356000, 0.0764008000, 0.1844872000, 0.4881155000", \
"0.0043723000, 0.0075617000, 0.0156551000, 0.0343006000, 0.0765542000, 0.1846870000, 0.4876137000");
}
}
max_capacitance : 0.2884010000;
max_transition : 1.5033670000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000");
values("0.3763170000, 0.3843919000, 0.4022006000, 0.4373844000, 0.5041373000, 0.6392230000, 0.9662938000", \
"0.3808234000, 0.3888878000, 0.4067260000, 0.4419132000, 0.5086438000, 0.6437326000, 0.9705128000", \
"0.3939042000, 0.4019684000, 0.4197971000, 0.4549827000, 0.5217258000, 0.6568194000, 0.9838784000", \
"0.4246916000, 0.4327795000, 0.4505756000, 0.4857624000, 0.5525091000, 0.6875935000, 1.0146693000", \
"0.4944100000, 0.5024735000, 0.5203009000, 0.5554834000, 0.6222327000, 0.7573190000, 1.0843944000", \
"0.6187532000, 0.6267971000, 0.6446536000, 0.6798690000, 0.7465731000, 0.8816615000, 1.2084892000", \
"0.8099303000, 0.8180147000, 0.8358883000, 0.8710416000, 0.9377908000, 1.0729271000, 1.3998034000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000");
values("0.4754696000, 0.4830780000, 0.5008433000, 0.5392443000, 0.6287660000, 0.8655988000, 1.5396003000", \
"0.4805815000, 0.4882857000, 0.5060173000, 0.5444646000, 0.6339805000, 0.8713137000, 1.5456157000", \
"0.4931007000, 0.5008496000, 0.5185862000, 0.5570550000, 0.6465295000, 0.8841574000, 1.5580285000", \
"0.5235747000, 0.5313353000, 0.5490779000, 0.5875020000, 0.6769806000, 0.9139453000, 1.5898461000", \
"0.5945758000, 0.6022753000, 0.6199652000, 0.6584014000, 0.7478982000, 0.9848462000, 1.6619591000", \
"0.7205400000, 0.7282464000, 0.7460075000, 0.7844685000, 0.8739461000, 1.1111632000, 1.7870448000", \
"0.9166170000, 0.9243228000, 0.9420562000, 0.9805304000, 1.0700153000, 1.3070661000, 1.9858468000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000");
values("0.0370523000, 0.0419324000, 0.0533276000, 0.0772435000, 0.1307089000, 0.2660635000, 0.6779193000", \
"0.0370687000, 0.0419386000, 0.0534289000, 0.0767435000, 0.1306876000, 0.2660154000, 0.6764859000", \
"0.0370633000, 0.0419384000, 0.0533073000, 0.0768195000, 0.1306748000, 0.2659988000, 0.6776751000", \
"0.0370477000, 0.0419122000, 0.0532975000, 0.0768258000, 0.1306663000, 0.2660959000, 0.6747758000", \
"0.0370646000, 0.0419414000, 0.0533103000, 0.0768253000, 0.1306870000, 0.2661036000, 0.6780513000", \
"0.0369792000, 0.0419855000, 0.0533307000, 0.0768537000, 0.1306940000, 0.2661192000, 0.6766238000", \
"0.0370948000, 0.0420519000, 0.0532604000, 0.0777762000, 0.1305829000, 0.2660807000, 0.6781769000");
}
related_pin : "CLK_N";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000");
values("0.0305772000, 0.0364714000, 0.0513931000, 0.0896778000, 0.2007032000, 0.5296048000, 1.5033670000", \
"0.0305755000, 0.0364470000, 0.0513472000, 0.0896054000, 0.2001613000, 0.5278695000, 1.4977162000", \
"0.0305543000, 0.0364010000, 0.0512663000, 0.0896626000, 0.2001110000, 0.5286631000, 1.4981242000", \
"0.0305773000, 0.0363822000, 0.0513131000, 0.0896417000, 0.2005884000, 0.5287522000, 1.4961706000", \
"0.0305969000, 0.0363960000, 0.0512587000, 0.0896154000, 0.2005280000, 0.5286129000, 1.4948237000", \
"0.0306586000, 0.0364783000, 0.0513742000, 0.0897105000, 0.2004444000, 0.5291740000, 1.4993334000", \
"0.0305771000, 0.0364532000, 0.0512186000, 0.0895857000, 0.2001291000, 0.5276284000, 1.4972554000");
}
timing_sense : "non_unate";
timing_type : "falling_edge";
}
timing () {
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000");
values("0.2579718000, 0.2662404000, 0.2849825000, 0.3252107000, 0.4183699000, 0.6589023000, 1.3327327000", \
"0.2631244000, 0.2713303000, 0.2900478000, 0.3302696000, 0.4235161000, 0.6641178000, 1.3385035000", \
"0.2759796000, 0.2842035000, 0.3029214000, 0.3432003000, 0.4364481000, 0.6767924000, 1.3501018000", \
"0.3070084000, 0.3151611000, 0.3338586000, 0.3741493000, 0.4673684000, 0.7078765000, 1.3825232000", \
"0.3782418000, 0.3864337000, 0.4051553000, 0.4453937000, 0.5386382000, 0.7790814000, 1.4524060000", \
"0.5102199000, 0.5184727000, 0.5371878000, 0.5774532000, 0.6708721000, 0.9113459000, 1.5859747000", \
"0.7187809000, 0.7272160000, 0.7465111000, 0.7874764000, 0.8811937000, 1.1216489000, 1.7961473000");
}
related_pin : "RESET_B";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000");
values("0.0326389000, 0.0389085000, 0.0545597000, 0.0940370000, 0.2072846000, 0.5333507000, 1.4960871000", \
"0.0326196000, 0.0390445000, 0.0545900000, 0.0938518000, 0.2073848000, 0.5314798000, 1.4942110000", \
"0.0325565000, 0.0390432000, 0.0545643000, 0.0938117000, 0.2074500000, 0.5318563000, 1.4957408000", \
"0.0327080000, 0.0388376000, 0.0544156000, 0.0940721000, 0.2075588000, 0.5316399000, 1.4920928000", \
"0.0326710000, 0.0390309000, 0.0546622000, 0.0939512000, 0.2076845000, 0.5319357000, 1.4956139000", \
"0.0332866000, 0.0391823000, 0.0545846000, 0.0941880000, 0.2073648000, 0.5316025000, 1.4936953000", \
"0.0348623000, 0.0413633000, 0.0567728000, 0.0958143000, 0.2078697000, 0.5309524000, 1.4938975000");
}
timing_sense : "negative_unate";
timing_type : "preset";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000");
values("0.2840244000, 0.2940421000, 0.3156840000, 0.3568763000, 0.4336555000, 0.5791062000, 0.9118324000", \
"0.2892669000, 0.2993277000, 0.3209460000, 0.3621923000, 0.4388827000, 0.5843513000, 0.9173692000", \
"0.3024941000, 0.3125306000, 0.3340317000, 0.3752088000, 0.4519465000, 0.5973877000, 0.9304499000", \
"0.3343806000, 0.3443502000, 0.3658794000, 0.4070605000, 0.4837159000, 0.6291659000, 0.9621020000", \
"0.4102363000, 0.4202280000, 0.4417694000, 0.4829302000, 0.5596233000, 0.7051197000, 1.0381752000", \
"0.5894699000, 0.5993039000, 0.6205453000, 0.6613042000, 0.7377268000, 0.8832052000, 1.2163378000", \
"0.9502040000, 0.9613732000, 0.9857726000, 1.0330520000, 1.1179807000, 1.2701173000, 1.6047741000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000");
values("0.1571745000, 0.1653154000, 0.1840620000, 0.2242950000, 0.3173657000, 0.5576715000, 1.2317614000", \
"0.1619898000, 0.1701290000, 0.1888710000, 0.2291084000, 0.3221931000, 0.5625730000, 1.2359485000", \
"0.1718952000, 0.1801483000, 0.1988399000, 0.2390926000, 0.3321684000, 0.5725935000, 1.2474715000", \
"0.1934017000, 0.2015734000, 0.2202813000, 0.2604924000, 0.3536291000, 0.5940646000, 1.2676989000", \
"0.2413692000, 0.2496410000, 0.2684963000, 0.3087541000, 0.4019135000, 0.6422802000, 1.3152959000", \
"0.3228895000, 0.3323538000, 0.3536570000, 0.3973344000, 0.4929182000, 0.7328386000, 1.4074725000", \
"0.4257004000, 0.4379832000, 0.4651272000, 0.5172880000, 0.6196997000, 0.8604086000, 1.5340766000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000");
values("0.0519080000, 0.0569859000, 0.0680630000, 0.0931940000, 0.1481292000, 0.2807891000, 0.6830574000", \
"0.0519784000, 0.0569527000, 0.0680881000, 0.0931880000, 0.1480642000, 0.2809579000, 0.6816239000", \
"0.0518302000, 0.0568045000, 0.0680587000, 0.0929660000, 0.1480467000, 0.2808311000, 0.6819342000", \
"0.0518008000, 0.0567819000, 0.0678475000, 0.0930791000, 0.1480207000, 0.2808920000, 0.6819548000", \
"0.0518260000, 0.0568121000, 0.0680332000, 0.0930991000, 0.1481966000, 0.2805039000, 0.6826213000", \
"0.0514759000, 0.0565259000, 0.0676343000, 0.0928684000, 0.1480915000, 0.2807626000, 0.6825895000", \
"0.0692583000, 0.0740596000, 0.0853881000, 0.1121941000, 0.1650567000, 0.2907655000, 0.6834641000");
}
related_pin : "SET_B";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000");
values("0.0325712000, 0.0387431000, 0.0543530000, 0.0938335000, 0.2075386000, 0.5317147000, 1.4935027000", \
"0.0325354000, 0.0387433000, 0.0543381000, 0.0938543000, 0.2074263000, 0.5317742000, 1.4930300000", \
"0.0324105000, 0.0388797000, 0.0544411000, 0.0939312000, 0.2069821000, 0.5321171000, 1.4963973000", \
"0.0325088000, 0.0388857000, 0.0544555000, 0.0939149000, 0.2076045000, 0.5314267000, 1.4957734000", \
"0.0332692000, 0.0394543000, 0.0547731000, 0.0942030000, 0.2068993000, 0.5317229000, 1.4946612000", \
"0.0399578000, 0.0466155000, 0.0620999000, 0.1008018000, 0.2100010000, 0.5311183000, 1.4964007000", \
"0.0548367000, 0.0632438000, 0.0810785000, 0.1188394000, 0.2200218000, 0.5333459000, 1.4950472000");
}
timing_sense : "positive_unate";
timing_type : "clear";
}
}
pin ("RESET_B") {
capacitance : 0.0016230000;
clock : "false";
direction : "input";
fall_capacitance : 0.0015370000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0129392000, 0.0128486000, 0.0126395000, 0.0126902000, 0.0128071000, 0.0130768000, 0.0136983000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0081727000, 0.0081196000, 0.0079971000, 0.0080244000, 0.0080871000, 0.0082320000, 0.0085661000");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0017090000;
timing () {
related_pin : "CLK_N";
rise_constraint ("vio_3_3_1") {
index_1("0.0100000000, 0.5000000000, 1.5000000000");
index_2("0.0100000000, 0.5000000000, 1.5000000000");
values("0.0728008000, 0.1966940000, 0.2886536000", \
"-0.145086600, -0.019972700, 0.0719870000", \
"-0.394516900, -0.269403000, -0.176222700");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "recovery_falling";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
related_pin : "CLK_N";
rise_constraint ("vio_3_3_1") {
index_1("0.0100000000, 0.5000000000, 1.5000000000");
index_2("0.0100000000, 0.5000000000, 1.5000000000");
values("0.0764629000, -0.044988900, -0.125962200", \
"0.2748190000, 0.1545879000, 0.0723939000", \
"0.5157044000, 0.3954733000, 0.3145000000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "removal_falling";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
fall_constraint ("constraint_3_0_1") {
index_1("0.0100000000, 0.5000000000, 1.5000000000");
values("0.2532714000, 0.8333333000, 2.5000000000");
}
related_output_pin : "Q";
related_pin : "RESET_B";
sim_opt : "runlvl=5 accurate=1";
timing_type : "min_pulse_width";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
related_pin : "SET_B";
rise_constraint ("vio_3_3_1") {
index_1("0.0100000000, 0.5000000000, 1.5000000000");
index_2("0.0100000000, 0.5000000000, 1.5000000000");
values("-0.104201200, -0.059653600, -0.076336600", \
"-0.226873700, -0.167677700, -0.179477900", \
"-0.332261100, -0.254754600, -0.253126900");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "non_seq_setup_rising";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
related_pin : "SET_B";
rise_constraint ("vio_3_3_1") {
index_1("0.0100000000, 0.5000000000, 1.5000000000");
index_2("0.0100000000, 0.5000000000, 1.5000000000");
values("0.1582500000, 0.1429994000, 0.2463522000", \
"0.2967917000, 0.2534648000, 0.3104310000", \
"0.4473450000, 0.3747214000, 0.3999492000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "non_seq_hold_rising";
violation_delay_degrade_pct : 10.000000000;
}
}
pin ("SET_B") {
capacitance : 0.0034300000;
clock : "false";
direction : "input";
fall_capacitance : 0.0033430000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0050604000, 0.0050512000, 0.0050299000, 0.0050437000, 0.0050756000, 0.0051492000, 0.0053189000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.004934000, -0.004974100, -0.005066600, -0.005069800, -0.005077400, -0.005094600, -0.005134200");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0035170000;
timing () {
related_pin : "CLK_N";
rise_constraint ("vio_3_3_1") {
index_1("0.0100000000, 0.5000000000, 1.5000000000");
index_2("0.0100000000, 0.5000000000, 1.5000000000");
values("-0.061476600, 0.0306784000, 0.1519349000", \
"-0.247625700, -0.156691400, -0.086704400", \
"-0.454331400, -0.363397100, -0.325148400");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "recovery_falling";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
related_pin : "CLK_N";
rise_constraint ("vio_3_3_1") {
index_1("0.0100000000, 0.5000000000, 1.5000000000");
index_2("0.0100000000, 0.5000000000, 1.5000000000");
values("0.0679180000, -0.021795600, -0.053940800", \
"0.2528463000, 0.1643535000, 0.1322083000", \
"0.4595521000, 0.3698385000, 0.3413555000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "removal_falling";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
related_pin : "RESET_B";
rise_constraint ("vio_3_3_1") {
index_1("0.0100000000, 0.5000000000, 1.5000000000");
index_2("0.0100000000, 0.5000000000, 1.5000000000");
values("0.1582500000, 0.2967917000, 0.4473450000", \
"0.1429994000, 0.2534648000, 0.3747214000", \
"0.2463522000, 0.3104310000, 0.3999492000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "non_seq_setup_rising";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
fall_constraint ("constraint_3_0_1") {
index_1("0.0100000000, 0.5000000000, 1.5000000000");
values("0.3060000000, 0.8333333000, 2.5000000000");
}
related_output_pin : "Q";
related_pin : "SET_B";
sim_opt : "runlvl=5 accurate=1";
timing_type : "min_pulse_width";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
related_pin : "RESET_B";
rise_constraint ("vio_3_3_1") {
index_1("0.0100000000, 0.5000000000, 1.5000000000");
index_2("0.0100000000, 0.5000000000, 1.5000000000");
values("-0.104201200, -0.226873700, -0.332261100", \
"-0.059653600, -0.167677700, -0.254754600", \
"-0.076336600, -0.179477900, -0.253126900");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "non_seq_hold_rising";
violation_delay_degrade_pct : 10.000000000;
}
}
}
/* removed sky130_fd_sc_hd__dfbbp_1 */
/* removed sky130_fd_sc_hd__dfrbp_1 */
cell ("sky130_fd_sc_hd__dfrbp_2") {
leakage_power () {
value : 0.0109677000;
when : "RESET_B&CLK&D&!Q&Q_N";
}
leakage_power () {
value : 0.0096383000;
when : "RESET_B&!CLK&!D&!Q&Q_N";
}
leakage_power () {
value : 0.0091173000;
when : "!RESET_B&CLK&!D&!Q&Q_N";
}
leakage_power () {
value : 0.0103999000;
when : "RESET_B&CLK&!D&Q&!Q_N";
}
leakage_power () {
value : 0.0096019000;
when : "RESET_B&!CLK&D&Q&!Q_N";
}
leakage_power () {
value : 0.0101696000;
when : "!RESET_B&CLK&D&!Q&Q_N";
}
leakage_power () {
value : 0.0097544000;
when : "RESET_B&!CLK&D&!Q&Q_N";
}
leakage_power () {
value : 0.0099155000;
when : "RESET_B&CLK&!D&!Q&Q_N";
}
leakage_power () {
value : 0.0092637000;
when : "!RESET_B&!CLK&!D&!Q&Q_N";
}
leakage_power () {
value : 0.0098513000;
when : "RESET_B&CLK&D&Q&!Q_N";
}
leakage_power () {
value : 0.0111421000;
when : "RESET_B&!CLK&!D&Q&!Q_N";
}
leakage_power () {
value : 0.0095879000;
when : "!RESET_B&!CLK&D&!Q&Q_N";
}
area : 30.028800000;
cell_footprint : "sky130_fd_sc_hd__dfrbp";
cell_leakage_power : 0.0099507900;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
ff ("IQ","IQ_N") {
clear : "!RESET_B";
clocked_on : "CLK";
next_state : "D";
}
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("CLK") {
capacitance : 0.0018000000;
clock : "true";
direction : "input";
fall_capacitance : 0.0017250000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0247885000, 0.0246654000, 0.0243817000, 0.0244533000, 0.0246183000, 0.0249988000, 0.0258759000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0162728000, 0.0161928000, 0.0160083000, 0.0160284000, 0.0160747000, 0.0161816000, 0.0164281000");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0018740000;
timing () {
fall_constraint ("constraint_3_0_1") {
index_1("0.0100000000, 0.5000000000, 1.5000000000");
values("0.2104295000, 0.8333333000, 2.5000000000");
}
related_output_pin : "Q";
related_pin : "CLK";
rise_constraint ("constraint_3_0_1") {
index_1("0.0100000000, 0.5000000000, 1.5000000000");
values("0.1774742000, 0.8333333000, 2.5000000000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "min_pulse_width";
violation_delay_degrade_pct : 10.000000000;
}
}
pin ("D") {
capacitance : 0.0019770000;
clock : "false";
direction : "input";
fall_capacitance : 0.0019490000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0061315000, 0.0060925000, 0.0060028000, 0.0060651000, 0.0062085000, 0.0065393000, 0.0073019000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.000823300, -0.000892800, -0.001052900, -0.001002500, -0.000886200, -0.000618000, 3.2670112e-07");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0020060000;
timing () {
fall_constraint ("vio_3_3_1") {
index_1("0.0100000000, 0.5000000000, 1.5000000000");
index_2("0.0100000000, 0.5000000000, 1.5000000000");
values("0.1069805000, 0.3212057000, 0.6280091000", \
"-0.004705700, 0.2034160000, 0.5041159000", \
"-0.090561800, 0.1138978000, 0.4097148000");
}
related_pin : "CLK";
rise_constraint ("vio_3_3_1") {
index_1("0.0100000000, 0.5000000000, 1.5000000000");
index_2("0.0100000000, 0.5000000000, 1.5000000000");
values("0.0581523000, 0.1722799000, 0.2483705000", \
"-0.014471400, 0.0862285000, 0.1488913000", \
"-0.047837200, 0.0492005000, 0.1057598000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "setup_rising";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
fall_constraint ("vio_3_3_1") {
index_1("0.0100000000, 0.5000000000, 1.5000000000");
index_2("0.0100000000, 0.5000000000, 1.5000000000");
values("-0.038283200, -0.237860000, -0.492173200", \
"0.0734030000, -0.124953100, -0.389031900", \
"0.1519349000, -0.041538400, -0.305617200");
}
related_pin : "CLK";
rise_constraint ("vio_3_3_1") {
index_1("0.0100000000, 0.5000000000, 1.5000000000");
index_2("0.0100000000, 0.5000000000, 1.5000000000");
values("-0.032179700, -0.135321000, -0.188218100", \
"0.0343405000, -0.061476600, -0.113153000", \
"0.0603822000, -0.032993500, -0.083449200");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "hold_rising";
violation_delay_degrade_pct : 10.000000000;
}
}
pin ("Q") {
direction : "output";
function : "IQ";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0014120050, 0.0039875180, 0.0112607900, 0.0318006100, 0.0898052500, 0.2536110000");
values("-0.004912400, 0.0001440000, 0.0102231000, 0.0210937000, 0.0107906000, -0.068122400, -0.326630000", \
"-0.004874700, 0.0001710000, 0.0102666000, 0.0211051000, 0.0107580000, -0.068165000, -0.326719400", \
"-0.004790100, 0.0002433000, 0.0102981000, 0.0211196000, 0.0106240000, -0.068316100, -0.326823900", \
"-0.004819500, 0.0001947000, 0.0101782000, 0.0208654000, 0.0103752000, -0.068761000, -0.327318400", \
"-0.004852100, 0.0001593000, 0.0100916000, 0.0207695000, 0.0101465000, -0.068911800, -0.327504600", \
"-0.004900000, 0.0001127000, 0.0100754000, 0.0207763000, 0.0101425000, -0.068922700, -0.327413500", \
"-0.004951500, 0.0001602000, 0.0103488000, 0.0214027000, 0.0112047000, -0.068214800, -0.326677100");
}
related_pin : "CLK";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0014120050, 0.0039875180, 0.0112607900, 0.0318006100, 0.0898052500, 0.2536110000");
values("-0.006513000, -0.003726800, 0.0033187000, 0.0199595000, 0.0581184000, 0.1541227000, 0.4182336000", \
"-0.006476500, -0.003698100, 0.0033259000, 0.0199514000, 0.0580520000, 0.1540508000, 0.4181601000", \
"-0.006392000, -0.003623400, 0.0033860000, 0.0199742000, 0.0581636000, 0.1540056000, 0.4183692000", \
"-0.006421100, -0.003685300, 0.0032588000, 0.0197410000, 0.0576814000, 0.1535272000, 0.4187129000", \
"-0.006456600, -0.003733900, 0.0031611000, 0.0195473000, 0.0575311000, 0.1532510000, 0.4179635000", \
"-0.006510900, -0.003793800, 0.0030828000, 0.0194766000, 0.0572673000, 0.1531942000, 0.4168823000", \
"-0.006543600, -0.003678700, 0.0035008000, 0.0201231000, 0.0579020000, 0.1539889000, 0.4178439000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0014120050, 0.0039875180, 0.0112607900, 0.0318006100, 0.0898052500, 0.2536110000");
values("0.0004682000, 0.0049110000, 0.0136244000, 0.0223287000, 0.0097856000, -0.070699700, -0.329807100", \
"0.0004522000, 0.0048853000, 0.0135647000, 0.0222265000, 0.0097026000, -0.070855900, -0.330008100", \
"0.0004269000, 0.0048461000, 0.0134969000, 0.0221358000, 0.0095944000, -0.070985600, -0.330150900", \
"0.0004211000, 0.0048381000, 0.0134947000, 0.0221081000, 0.0095339000, -0.071010300, -0.330170100", \
"0.0004020000, 0.0048039000, 0.0134352000, 0.0219894000, 0.0093041000, -0.071236800, -0.330402700", \
"0.0003772000, 0.0047745000, 0.0133786000, 0.0218022000, 0.0089823000, -0.071629700, -0.330708000", \
"0.0003637000, 0.0048237000, 0.0134849000, 0.0218965000, 0.0090074000, -0.071622400, -0.330682900");
}
related_pin : "RESET_B";
rise_power ("scalar") {
values("0.0000000000");
}
}
max_capacitance : 0.2536110000;
max_transition : 1.5036290000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014120100, 0.0039875200, 0.0112608000, 0.0318006000, 0.0898053000, 0.2536110000");
values("0.3750218000, 0.3827018000, 0.3993438000, 0.4316703000, 0.4920138000, 0.6119065000, 0.8916552000", \
"0.3797575000, 0.3874059000, 0.4041366000, 0.4364091000, 0.4967755000, 0.6166837000, 0.8963069000", \
"0.3908320000, 0.3984300000, 0.4151392000, 0.4474233000, 0.5077836000, 0.6276644000, 0.9075385000", \
"0.4165462000, 0.4241646000, 0.4408818000, 0.4731570000, 0.5335239000, 0.6534142000, 0.9332538000", \
"0.4643122000, 0.4719879000, 0.4886934000, 0.5209966000, 0.5813354000, 0.7012169000, 0.9808055000", \
"0.5319638000, 0.5396055000, 0.5562855000, 0.5885686000, 0.6489364000, 0.7687978000, 1.0485707000", \
"0.6153743000, 0.6230517000, 0.6396912000, 0.6719856000, 0.7324141000, 0.8522693000, 1.1318625000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014120100, 0.0039875200, 0.0112608000, 0.0318006000, 0.0898053000, 0.2536110000");
values("0.3287315000, 0.3371092000, 0.3560996000, 0.3968400000, 0.4913176000, 0.7308129000, 1.3944776000", \
"0.3332426000, 0.3415758000, 0.3605100000, 0.4013722000, 0.4957664000, 0.7351584000, 1.3940117000", \
"0.3444811000, 0.3528801000, 0.3717980000, 0.4126517000, 0.5070220000, 0.7464020000, 1.4055788000", \
"0.3702577000, 0.3786589000, 0.3975984000, 0.4384692000, 0.5328541000, 0.7722568000, 1.4332089000", \
"0.4195694000, 0.4280006000, 0.4469650000, 0.4877060000, 0.5821690000, 0.8215027000, 1.4826185000", \
"0.4908987000, 0.4993095000, 0.5182434000, 0.5589220000, 0.6534511000, 0.8928175000, 1.5523803000", \
"0.5827753000, 0.5911211000, 0.6100752000, 0.6509521000, 0.7452993000, 0.9847912000, 1.6419607000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014120100, 0.0039875200, 0.0112608000, 0.0318006000, 0.0898053000, 0.2536110000");
values("0.0349067000, 0.0396163000, 0.0498968000, 0.0717465000, 0.1209608000, 0.2389145000, 0.5878253000", \
"0.0348774000, 0.0395309000, 0.0498955000, 0.0724141000, 0.1208292000, 0.2387473000, 0.5876803000", \
"0.0348814000, 0.0396452000, 0.0501158000, 0.0717535000, 0.1204692000, 0.2383791000, 0.5877521000", \
"0.0347745000, 0.0395305000, 0.0503982000, 0.0715852000, 0.1209752000, 0.2382899000, 0.5874061000", \
"0.0349255000, 0.0397046000, 0.0500908000, 0.0717554000, 0.1205795000, 0.2383644000, 0.5872517000", \
"0.0347657000, 0.0395644000, 0.0500745000, 0.0716968000, 0.1208852000, 0.2383286000, 0.5875072000", \
"0.0349168000, 0.0396863000, 0.0499002000, 0.0719512000, 0.1208132000, 0.2390555000, 0.5845296000");
}
related_pin : "CLK";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014120100, 0.0039875200, 0.0112608000, 0.0318006000, 0.0898053000, 0.2536110000");
values("0.0336280000, 0.0402270000, 0.0565966000, 0.0989872000, 0.2163850000, 0.5448580000, 1.4996966000", \
"0.0336512000, 0.0402007000, 0.0565606000, 0.0989392000, 0.2163598000, 0.5471942000, 1.5006069000", \
"0.0335591000, 0.0401426000, 0.0565528000, 0.0989504000, 0.2163704000, 0.5447219000, 1.5029711000", \
"0.0336409000, 0.0402629000, 0.0566089000, 0.0989416000, 0.2163857000, 0.5458125000, 1.5012891000", \
"0.0337031000, 0.0403272000, 0.0567000000, 0.0989678000, 0.2163700000, 0.5466726000, 1.5036286000", \
"0.0336093000, 0.0402292000, 0.0565156000, 0.0988475000, 0.2163701000, 0.5471031000, 1.5010717000", \
"0.0337356000, 0.0402818000, 0.0566719000, 0.0989935000, 0.2164457000, 0.5446222000, 1.4978706000");
}
timing_sense : "non_unate";
timing_type : "rising_edge";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014120100, 0.0039875200, 0.0112608000, 0.0318006000, 0.0898053000, 0.2536110000");
values("0.2151135000, 0.2232139000, 0.2411547000, 0.2761873000, 0.3412790000, 0.4541424000, 0.7282459000", \
"0.2201978000, 0.2283082000, 0.2462495000, 0.2813103000, 0.3464035000, 0.4592895000, 0.7333479000", \
"0.2329246000, 0.2410103000, 0.2590009000, 0.2940912000, 0.3591503000, 0.4720448000, 0.7462038000", \
"0.2644511000, 0.2725311000, 0.2904945000, 0.3255510000, 0.3906705000, 0.5035496000, 0.7776840000", \
"0.3398284000, 0.3479166000, 0.3658502000, 0.4007461000, 0.4658238000, 0.5787353000, 0.8529041000", \
"0.5123360000, 0.5209939000, 0.5396999000, 0.5753176000, 0.6408609000, 0.7537808000, 1.0278233000", \
"0.8115572000, 0.8229812000, 0.8479382000, 0.8953939000, 0.9757523000, 1.0920397000, 1.3662377000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014120100, 0.0039875200, 0.0112608000, 0.0318006000, 0.0898053000, 0.2536110000");
values("0.0377890000, 0.0429614000, 0.0550681000, 0.0788634000, 0.1236998000, 0.2272537000, 0.5787851000", \
"0.0378412000, 0.0429827000, 0.0551929000, 0.0789968000, 0.1236318000, 0.2269841000, 0.5800272000", \
"0.0381257000, 0.0430006000, 0.0545491000, 0.0792846000, 0.1238377000, 0.2269082000, 0.5794498000", \
"0.0380877000, 0.0430498000, 0.0544541000, 0.0791572000, 0.1239754000, 0.2271450000, 0.5778361000", \
"0.0377795000, 0.0429557000, 0.0550662000, 0.0789376000, 0.1235909000, 0.2275439000, 0.5797030000", \
"0.0427478000, 0.0478576000, 0.0586850000, 0.0819653000, 0.1249775000, 0.2276119000, 0.5798194000", \
"0.0650289000, 0.0715783000, 0.0854173000, 0.1133138000, 0.1482841000, 0.2336720000, 0.5810534000");
}
related_pin : "RESET_B";
timing_sense : "positive_unate";
timing_type : "clear";
}
}
pin ("Q_N") {
direction : "output";
function : "IQ_N";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0014418200, 0.0041576890, 0.0119892800, 0.0345727500, 0.0996953600, 0.2874855000");
values("-0.005152800, -0.000290700, 0.0095772000, 0.0199881000, 0.0067346000, -0.084139200, -0.381886600", \
"-0.005118200, -0.000265400, 0.0095889000, 0.0199834000, 0.0066582000, -0.084256000, -0.382003200", \
"-0.005032400, -0.000186400, 0.0096475000, 0.0200075000, 0.0066549000, -0.084293500, -0.382039200", \
"-0.005059100, -0.000244100, 0.0095163000, 0.0197743000, 0.0063068000, -0.084692200, -0.382387900", \
"-0.005094100, -0.000302800, 0.0094096000, 0.0195768000, 0.0060840000, -0.084919100, -0.382730800", \
"-0.005149600, -0.000363300, 0.0093391000, 0.0194731000, 0.0059543000, -0.085077800, -0.382884100", \
"-0.005214600, -0.000325400, 0.0096054000, 0.0201568000, 0.0068664000, -0.084399000, -0.382109000");
}
related_pin : "CLK";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0014418200, 0.0041576890, 0.0119892800, 0.0345727500, 0.0996953600, 0.2874855000");
values("-0.006596300, -0.003825300, 0.0034699000, 0.0211197000, 0.0622547000, 0.1695894000, 0.4705227000", \
"-0.006558400, -0.003785700, 0.0035057000, 0.0211409000, 0.0622927000, 0.1689042000, 0.4709691000", \
"-0.006474400, -0.003719500, 0.0035517000, 0.0211406000, 0.0622241000, 0.1687196000, 0.4732607000", \
"-0.006502200, -0.003777600, 0.0034253000, 0.0208766000, 0.0618394000, 0.1691694000, 0.4722253000", \
"-0.006533100, -0.003825300, 0.0033568000, 0.0207864000, 0.0617034000, 0.1688639000, 0.4726649000", \
"-0.006581200, -0.003860600, 0.0033297000, 0.0207907000, 0.0617426000, 0.1690692000, 0.4694948000", \
"-0.006586700, -0.003685200, 0.0037887000, 0.0214200000, 0.0622710000, 0.1685795000, 0.4731011000");
}
}
internal_power () {
fall_power ("scalar") {
values("0.0000000000");
}
related_pin : "RESET_B";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0014418200, 0.0041576890, 0.0119892800, 0.0345727500, 0.0996953600, 0.2874855000");
values("-0.001183600, 0.0010088000, 0.0069931000, 0.0223172000, 0.0611865000, 0.1664123000, 0.4677455000", \
"-0.001199500, 0.0009796000, 0.0069506000, 0.0222403000, 0.0609714000, 0.1662322000, 0.4695551000", \
"-0.001222300, 0.0009447000, 0.0068906000, 0.0221413000, 0.0608202000, 0.1662221000, 0.4669570000", \
"-0.001229800, 0.0009421000, 0.0068795000, 0.0221204000, 0.0607473000, 0.1659663000, 0.4669906000", \
"-0.001250200, 0.0009015000, 0.0067963000, 0.0219779000, 0.0605564000, 0.1659139000, 0.4690256000", \
"-0.001289100, 0.0008409000, 0.0066898000, 0.0217922000, 0.0602952000, 0.1662621000, 0.4670330000", \
"-0.001311700, 0.0008586000, 0.0066696000, 0.0219068000, 0.0606736000, 0.1659398000, 0.4690497000");
}
}
max_capacitance : 0.2874860000;
max_transition : 1.4978490000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014418200, 0.0041576900, 0.0119893000, 0.0345728000, 0.0996954000, 0.2874860000");
values("0.4444617000, 0.4495632000, 0.4608365000, 0.4833460000, 0.5292952000, 0.6377331000, 0.9376793000", \
"0.4488485000, 0.4539771000, 0.4651830000, 0.4878793000, 0.5338599000, 0.6422071000, 0.9417331000", \
"0.4601230000, 0.4652232000, 0.4764465000, 0.4991223000, 0.5451271000, 0.6534892000, 0.9528112000", \
"0.4859582000, 0.4910385000, 0.5022719000, 0.5249329000, 0.5709566000, 0.6793287000, 0.9784070000", \
"0.5352741000, 0.5403815000, 0.5516985000, 0.5742239000, 0.6201437000, 0.7285808000, 1.0285528000", \
"0.6064396000, 0.6115426000, 0.6228331000, 0.6454580000, 0.6913936000, 0.7998152000, 1.0997454000", \
"0.6984217000, 0.7034331000, 0.7147363000, 0.7373466000, 0.7832981000, 0.8917804000, 1.1909173000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014418200, 0.0041576900, 0.0119893000, 0.0345728000, 0.0996954000, 0.2874860000");
values("0.5091128000, 0.5150327000, 0.5290557000, 0.5608269000, 0.6431697000, 0.8766453000, 1.5522718000", \
"0.5139127000, 0.5200007000, 0.5338728000, 0.5657935000, 0.6480682000, 0.8816763000, 1.5558392000", \
"0.5248753000, 0.5309547000, 0.5450994000, 0.5769181000, 0.6591900000, 0.8932169000, 1.5676589000", \
"0.5505368000, 0.5566826000, 0.5707882000, 0.6025039000, 0.6847399000, 0.9181192000, 1.5938323000", \
"0.5984396000, 0.6045294000, 0.6186711000, 0.6504708000, 0.7327161000, 0.9665221000, 1.6406222000", \
"0.6660443000, 0.6722374000, 0.6862178000, 0.7181171000, 0.8004733000, 1.0338498000, 1.7086877000", \
"0.7494573000, 0.7556372000, 0.7695858000, 0.8013248000, 0.8834701000, 1.1173266000, 1.7924551000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014418200, 0.0041576900, 0.0119893000, 0.0345728000, 0.0996954000, 0.2874860000");
values("0.0238601000, 0.0270532000, 0.0343562000, 0.0520093000, 0.0957604000, 0.2253816000, 0.6233958000", \
"0.0238018000, 0.0275474000, 0.0346027000, 0.0518428000, 0.0957069000, 0.2256872000, 0.6194541000", \
"0.0238221000, 0.0275916000, 0.0345926000, 0.0518765000, 0.0957375000, 0.2256754000, 0.6197134000", \
"0.0238267000, 0.0276128000, 0.0345822000, 0.0518848000, 0.0957654000, 0.2255237000, 0.6225588000", \
"0.0238146000, 0.0269382000, 0.0342992000, 0.0518862000, 0.0959524000, 0.2261832000, 0.6248491000", \
"0.0239054000, 0.0270759000, 0.0343446000, 0.0517673000, 0.0957054000, 0.2256249000, 0.6251185000", \
"0.0238029000, 0.0269242000, 0.0342466000, 0.0520645000, 0.0958811000, 0.2257965000, 0.6185886000");
}
related_pin : "CLK";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014418200, 0.0041576900, 0.0119893000, 0.0345728000, 0.0996954000, 0.2874860000");
values("0.0265913000, 0.0315751000, 0.0434840000, 0.0793870000, 0.1921284000, 0.5250628000, 1.4920953000", \
"0.0267268000, 0.0310952000, 0.0433873000, 0.0793394000, 0.1923410000, 0.5242928000, 1.4932569000", \
"0.0266143000, 0.0310800000, 0.0434692000, 0.0794102000, 0.1918319000, 0.5254466000, 1.4978487000", \
"0.0267036000, 0.0312000000, 0.0434627000, 0.0795286000, 0.1917921000, 0.5253613000, 1.4940023000", \
"0.0266177000, 0.0311351000, 0.0434178000, 0.0793558000, 0.1921098000, 0.5251247000, 1.4974310000", \
"0.0266827000, 0.0310882000, 0.0432751000, 0.0792681000, 0.1919655000, 0.5252783000, 1.4863309000", \
"0.0266717000, 0.0314192000, 0.0434597000, 0.0794617000, 0.1920046000, 0.5245480000, 1.4976218000");
}
timing_sense : "non_unate";
timing_type : "rising_edge";
}
timing () {
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014418200, 0.0041576900, 0.0119893000, 0.0345728000, 0.0996954000, 0.2874860000");
values("0.3591467000, 0.3653671000, 0.3793474000, 0.4111615000, 0.4932298000, 0.7263921000, 1.4025161000", \
"0.3641279000, 0.3703992000, 0.3844144000, 0.4160639000, 0.4981908000, 0.7316658000, 1.4095377000", \
"0.3769269000, 0.3832428000, 0.3972297000, 0.4288333000, 0.5107956000, 0.7448138000, 1.4188557000", \
"0.4083950000, 0.4147346000, 0.4286925000, 0.4603372000, 0.5423095000, 0.7760879000, 1.4510124000", \
"0.4836705000, 0.4898944000, 0.5038788000, 0.5356986000, 0.6177703000, 0.8511475000, 1.5289715000", \
"0.6590759000, 0.6652836000, 0.6792784000, 0.7110895000, 0.7932759000, 1.0270262000, 1.7038765000", \
"0.9979385000, 1.0045696000, 1.0191461000, 1.0512449000, 1.1336030000, 1.3667927000, 2.0443258000");
}
related_pin : "RESET_B";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014418200, 0.0041576900, 0.0119893000, 0.0345728000, 0.0996954000, 0.2874860000");
values("0.0272248000, 0.0318839000, 0.0435165000, 0.0793941000, 0.1915594000, 0.5247791000, 1.4946353000", \
"0.0273734000, 0.0316127000, 0.0435538000, 0.0793460000, 0.1915622000, 0.5241099000, 1.4945482000", \
"0.0272340000, 0.0315257000, 0.0436186000, 0.0793063000, 0.1917807000, 0.5243422000, 1.4886162000", \
"0.0273313000, 0.0318344000, 0.0435523000, 0.0793500000, 0.1916519000, 0.5243906000, 1.4908457000", \
"0.0272493000, 0.0319063000, 0.0435329000, 0.0794082000, 0.1915806000, 0.5255293000, 1.4930526000", \
"0.0273581000, 0.0319904000, 0.0435985000, 0.0794389000, 0.1915477000, 0.5254915000, 1.4956003000", \
"0.0303613000, 0.0341291000, 0.0455938000, 0.0803116000, 0.1919709000, 0.5246381000, 1.4951477000");
}
timing_sense : "negative_unate";
timing_type : "preset";
}
}
pin ("RESET_B") {
capacitance : 0.0035500000;
clock : "false";
direction : "input";
fall_capacitance : 0.0034910000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0047550000, 0.0047710000, 0.0048079000, 0.0048177000, 0.0048403000, 0.0048926000, 0.0050132000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.005487700, -0.005474000, -0.005442300, -0.005456800, -0.005490200, -0.005567400, -0.005745200");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0036090000;
timing () {
related_pin : "CLK";
rise_constraint ("vio_3_3_1") {
index_1("0.0100000000, 0.5000000000, 1.5000000000");
index_2("0.0100000000, 0.5000000000, 1.5000000000");
values("-0.225050800, -0.080405600, 0.2752259000", \
"-0.374578800, -0.239699200, 0.0829733000", \
"-0.500718100, -0.371942000, -0.076125000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "recovery_rising";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
related_pin : "CLK";
rise_constraint ("vio_3_3_1") {
index_1("0.0100000000, 0.5000000000, 1.5000000000");
index_2("0.0100000000, 0.5000000000, 1.5000000000");
values("0.3010723000, 0.4359681000, 0.7028952000", \
"0.4359518000, 0.5684063000, 0.8292298000", \
"0.5547669000, 0.6835593000, 0.9370586000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "removal_rising";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
fall_constraint ("constraint_3_0_1") {
index_1("0.0100000000, 0.5000000000, 1.5000000000");
values("0.2697491000, 0.8333333000, 2.5000000000");
}
related_output_pin : "Q";
related_pin : "RESET_B";
sim_opt : "runlvl=5 accurate=1";
timing_type : "min_pulse_width";
violation_delay_degrade_pct : 10.000000000;
}
}
}
/* removed sky130_fd_sc_hd__dfrtn_1 */
/* removed sky130_fd_sc_hd__dfrtp_1 */
/* removed sky130_fd_sc_hd__dfrtp_2 */
cell ("sky130_fd_sc_hd__dfrtp_4") {
leakage_power () {
value : 0.0134502000;
when : "RESET_B&CLK&D&!Q";
}
leakage_power () {
value : 0.0121215000;
when : "RESET_B&!CLK&!D&!Q";
}
leakage_power () {
value : 0.0115999000;
when : "!RESET_B&CLK&!D&!Q";
}
leakage_power () {
value : 0.0078330000;
when : "RESET_B&CLK&!D&Q";
}
leakage_power () {
value : 0.0070350000;
when : "RESET_B&!CLK&D&Q";
}
leakage_power () {
value : 0.0126521000;
when : "!RESET_B&CLK&D&!Q";
}
leakage_power () {
value : 0.0122373000;
when : "RESET_B&!CLK&D&!Q";
}
leakage_power () {
value : 0.0123980000;
when : "RESET_B&CLK&!D&!Q";
}
leakage_power () {
value : 0.0117469000;
when : "!RESET_B&!CLK&!D&!Q";
}
leakage_power () {
value : 0.0072844000;
when : "RESET_B&CLK&D&Q";
}
leakage_power () {
value : 0.0085764000;
when : "RESET_B&!CLK&!D&Q";
}
leakage_power () {
value : 0.0120709000;
when : "!RESET_B&!CLK&D&!Q";
}
area : 28.777600000;
cell_footprint : "sky130_fd_sc_hd__dfrtp";
cell_leakage_power : 0.0107504600;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
ff ("IQ","IQ_N") {
clear : "!RESET_B";
clocked_on : "CLK";
next_state : "D";
}
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("CLK") {
capacitance : 0.0017940000;
clock : "true";
direction : "input";
fall_capacitance : 0.0017180000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0247727000, 0.0246491000, 0.0243641000, 0.0244335000, 0.0245934000, 0.0249623000, 0.0258124000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0163760000, 0.0162584000, 0.0159874000, 0.0160035000, 0.0160404000, 0.0161257000, 0.0163223000");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0018700000;
timing () {
fall_constraint ("constraint_3_0_1") {
index_1("0.0100000000, 0.5000000000, 1.5000000000");
values("0.2104295000, 0.8333333000, 2.5000000000");
}
related_output_pin : "Q";
related_pin : "CLK";
rise_constraint ("constraint_3_0_1") {
index_1("0.0100000000, 0.5000000000, 1.5000000000");
values("0.1972474000, 0.8333333000, 2.5000000000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "min_pulse_width";
violation_delay_degrade_pct : 10.000000000;
}
}
pin ("D") {
capacitance : 0.0019770000;
clock : "false";
direction : "input";
fall_capacitance : 0.0019490000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0061312000, 0.0060923000, 0.0060027000, 0.0060655000, 0.0062100000, 0.0065434000, 0.0073120000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.000826300, -0.000895100, -0.001053500, -0.001002700, -0.000885600, -0.000615600, 6.746164e-06");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0020060000;
timing () {
fall_constraint ("vio_3_3_1") {
index_1("0.0100000000, 0.5000000000, 1.5000000000");
index_2("0.0100000000, 0.5000000000, 1.5000000000");
values("0.1069805000, 0.3212057000, 0.6280091000", \
"-0.004705700, 0.2034160000, 0.5028952000", \
"-0.090561800, 0.1126771000, 0.4084941000");
}
related_pin : "CLK";
rise_constraint ("vio_3_3_1") {
index_1("0.0100000000, 0.5000000000, 1.5000000000");
index_2("0.0100000000, 0.5000000000, 1.5000000000");
values("0.0605937000, 0.1759421000, 0.2532533000", \
"-0.013250700, 0.0898906000, 0.1537741000", \
"-0.046616500, 0.0504212000, 0.1082012000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "setup_rising";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
fall_constraint ("vio_3_3_1") {
index_1("0.0100000000, 0.5000000000, 1.5000000000");
index_2("0.0100000000, 0.5000000000, 1.5000000000");
values("-0.035841800, -0.234197900, -0.484849000", \
"0.0758444000, -0.122511700, -0.384149100", \
"0.1543763000, -0.039097000, -0.300734400");
}
related_pin : "CLK";
rise_constraint ("vio_3_3_1") {
index_1("0.0100000000, 0.5000000000, 1.5000000000");
index_2("0.0100000000, 0.5000000000, 1.5000000000");
values("-0.032179700, -0.135321000, -0.188218100", \
"0.0343405000, -0.062697300, -0.113153000", \
"0.0603822000, -0.032993500, -0.083449200");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "hold_rising";
violation_delay_degrade_pct : 10.000000000;
}
}
pin ("Q") {
direction : "output";
function : "IQ";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0016070190, 0.0051650180, 0.0166005600, 0.0533548200, 0.1714844000, 0.5511573000");
values("0.0299695000, 0.0279332000, 0.0219585000, 0.0054091000, -0.050107800, -0.238744700, -0.853077600", \
"0.0299944000, 0.0279360000, 0.0220453000, 0.0054443000, -0.050062500, -0.238642800, -0.853007100", \
"0.0300718000, 0.0280837000, 0.0221125000, 0.0055147000, -0.049969800, -0.238632800, -0.852971800", \
"0.0296403000, 0.0276000000, 0.0216699000, 0.0050146000, -0.050430700, -0.239058000, -0.853392800", \
"0.0293773000, 0.0273888000, 0.0214311000, 0.0048182000, -0.050663500, -0.239325900, -0.853667900", \
"0.0293486000, 0.0273638000, 0.0214234000, 0.0048024000, -0.050621400, -0.239305600, -0.853608600", \
"0.0370078000, 0.0349519000, 0.0288566000, 0.0103630000, -0.048337400, -0.238551500, -0.852885200");
}
related_pin : "CLK";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0016070190, 0.0051650180, 0.0166005600, 0.0533548200, 0.1714844000, 0.5511573000");
values("0.0347175000, 0.0365158000, 0.0424081000, 0.0616755000, 0.1218193000, 0.3131402000, 0.9192339000", \
"0.0347044000, 0.0364778000, 0.0423421000, 0.0616478000, 0.1218964000, 0.3127662000, 0.9197844000", \
"0.0348540000, 0.0366139000, 0.0425371000, 0.0618122000, 0.1220448000, 0.3116969000, 0.9213186000", \
"0.0343887000, 0.0361597000, 0.0420608000, 0.0613458000, 0.1215771000, 0.3117331000, 0.9209227000", \
"0.0339810000, 0.0357782000, 0.0416577000, 0.0609860000, 0.1211210000, 0.3114851000, 0.9245174000", \
"0.0339275000, 0.0357173000, 0.0415838000, 0.0608545000, 0.1210585000, 0.3112393000, 0.9247014000", \
"0.0364558000, 0.0382480000, 0.0440252000, 0.0627561000, 0.1219810000, 0.3120629000, 0.9195208000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0016070190, 0.0051650180, 0.0166005600, 0.0533548200, 0.1714844000, 0.5511573000");
values("0.0338738000, 0.0318746000, 0.0259394000, 0.0084556000, -0.046990300, -0.236451000, -0.850829300", \
"0.0337973000, 0.0317783000, 0.0258342000, 0.0082823000, -0.047123000, -0.236609600, -0.851038100", \
"0.0335307000, 0.0315160000, 0.0255985000, 0.0080700000, -0.047353400, -0.236766800, -0.851209200", \
"0.0335631000, 0.0315661000, 0.0256394000, 0.0081175000, -0.047320100, -0.236794600, -0.851200100", \
"0.0333516000, 0.0313601000, 0.0254519000, 0.0078388000, -0.047657200, -0.237097500, -0.851416500", \
"0.0333880000, 0.0313433000, 0.0253175000, 0.0075635000, -0.048187700, -0.237681000, -0.851803800", \
"0.0363885000, 0.0341078000, 0.0274030000, 0.0081871000, -0.048051600, -0.237911200, -0.852013100");
}
related_pin : "RESET_B";
rise_power ("scalar") {
values("0.0000000000");
}
}
max_capacitance : 0.5511570000;
max_transition : 1.5066460000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016070200, 0.0051650200, 0.0166006000, 0.0533548000, 0.1714840000, 0.5511570000");
values("0.4278874000, 0.4333774000, 0.4478247000, 0.4798925000, 0.5433685000, 0.6765929000, 1.0213966000", \
"0.4326854000, 0.4382105000, 0.4526138000, 0.4847573000, 0.5484528000, 0.6811902000, 1.0260175000", \
"0.4436976000, 0.4491410000, 0.4635965000, 0.4956470000, 0.5594823000, 0.6923557000, 1.0370583000", \
"0.4694380000, 0.4749215000, 0.4893426000, 0.5214400000, 0.5852945000, 0.7182639000, 1.0626089000", \
"0.5172320000, 0.5226768000, 0.5371328000, 0.5691848000, 0.6330212000, 0.7658952000, 1.1105971000", \
"0.5847856000, 0.5902963000, 0.6047497000, 0.6368280000, 0.7008215000, 0.8336002000, 1.1780426000", \
"0.6682113000, 0.6737185000, 0.6881254000, 0.7202116000, 0.7840909000, 0.9170253000, 1.2613036000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016070200, 0.0051650200, 0.0166006000, 0.0533548000, 0.1714840000, 0.5511570000");
values("0.3572830000, 0.3631876000, 0.3786668000, 0.4143570000, 0.4970749000, 0.7233552000, 1.4371371000", \
"0.3617534000, 0.3676758000, 0.3831430000, 0.4188302000, 0.5014407000, 0.7284192000, 1.4434673000", \
"0.3729384000, 0.3788586000, 0.3944281000, 0.4301267000, 0.5128277000, 0.7393517000, 1.4570324000", \
"0.3988477000, 0.4047517000, 0.4202051000, 0.4559296000, 0.5385452000, 0.7655248000, 1.4803054000", \
"0.4482011000, 0.4541415000, 0.4696181000, 0.5053349000, 0.5880437000, 0.8144909000, 1.5291550000", \
"0.5194781000, 0.5253744000, 0.5409241000, 0.5765455000, 0.6592902000, 0.8861345000, 1.5992812000", \
"0.6114050000, 0.6173301000, 0.6328089000, 0.6685227000, 0.7512245000, 0.9777996000, 1.6934989000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016070200, 0.0051650200, 0.0166006000, 0.0533548000, 0.1714840000, 0.5511570000");
values("0.0474066000, 0.0505642000, 0.0593778000, 0.0785944000, 0.1249593000, 0.2533997000, 0.6811097000", \
"0.0473712000, 0.0505077000, 0.0591728000, 0.0788036000, 0.1247328000, 0.2530802000, 0.6820092000", \
"0.0475022000, 0.0504711000, 0.0592665000, 0.0785787000, 0.1243856000, 0.2534271000, 0.6820495000", \
"0.0473406000, 0.0505583000, 0.0588699000, 0.0784091000, 0.1246490000, 0.2531278000, 0.6811394000", \
"0.0475053000, 0.0504704000, 0.0592665000, 0.0785743000, 0.1243768000, 0.2518425000, 0.6820486000", \
"0.0474097000, 0.0506080000, 0.0591290000, 0.0795225000, 0.1255951000, 0.2530649000, 0.6814603000", \
"0.0472337000, 0.0505736000, 0.0589243000, 0.0784877000, 0.1249136000, 0.2530928000, 0.6793409000");
}
related_pin : "CLK";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016070200, 0.0051650200, 0.0166006000, 0.0533548000, 0.1714840000, 0.5511570000");
values("0.0386571000, 0.0426187000, 0.0541777000, 0.0841579000, 0.1750732000, 0.4824007000, 1.4984988000", \
"0.0385766000, 0.0425911000, 0.0540197000, 0.0843471000, 0.1750427000, 0.4816626000, 1.5013254000", \
"0.0386927000, 0.0428007000, 0.0540112000, 0.0844763000, 0.1749538000, 0.4823544000, 1.5066455000", \
"0.0386188000, 0.0425989000, 0.0539225000, 0.0844749000, 0.1750902000, 0.4818559000, 1.5024750000", \
"0.0385050000, 0.0426073000, 0.0540259000, 0.0843623000, 0.1750873000, 0.4822105000, 1.5017908000", \
"0.0385929000, 0.0423346000, 0.0539535000, 0.0845894000, 0.1750607000, 0.4815070000, 1.5060172000", \
"0.0386478000, 0.0425863000, 0.0540873000, 0.0842954000, 0.1750144000, 0.4808806000, 1.5000137000");
}
timing_sense : "non_unate";
timing_type : "rising_edge";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016070200, 0.0051650200, 0.0166006000, 0.0533548000, 0.1714840000, 0.5511570000");
values("0.2726160000, 0.2784066000, 0.2938796000, 0.3284342000, 0.3968920000, 0.5207850000, 0.8564664000", \
"0.2778151000, 0.2836729000, 0.2990899000, 0.3336493000, 0.4021628000, 0.5260182000, 0.8617533000", \
"0.2909576000, 0.2967514000, 0.3122574000, 0.3467697000, 0.4152801000, 0.5391452000, 0.8749398000", \
"0.3224239000, 0.3282123000, 0.3436771000, 0.3782305000, 0.4467129000, 0.5706233000, 0.9061194000", \
"0.3973799000, 0.4032437000, 0.4186159000, 0.4531827000, 0.5216273000, 0.6455099000, 0.9812883000", \
"0.5742110000, 0.5800556000, 0.5953559000, 0.6297496000, 0.6979708000, 0.8217648000, 1.1575997000", \
"0.9124713000, 0.9197019000, 0.9385788000, 0.9806795000, 1.0600536000, 1.1860584000, 1.5207570000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016070200, 0.0051650200, 0.0166006000, 0.0533548000, 0.1714840000, 0.5511570000");
values("0.0516987000, 0.0550003000, 0.0642348000, 0.0861671000, 0.1273802000, 0.2358921000, 0.6706659000", \
"0.0519331000, 0.0553446000, 0.0648162000, 0.0867895000, 0.1271400000, 0.2362608000, 0.6703673000", \
"0.0516173000, 0.0554186000, 0.0642397000, 0.0863431000, 0.1274503000, 0.2362114000, 0.6711176000", \
"0.0517273000, 0.0550219000, 0.0642619000, 0.0861693000, 0.1272256000, 0.2363089000, 0.6703250000", \
"0.0521422000, 0.0552000000, 0.0647128000, 0.0865526000, 0.1274985000, 0.2362744000, 0.6704258000", \
"0.0526795000, 0.0559651000, 0.0653596000, 0.0872004000, 0.1275650000, 0.2362612000, 0.6702304000", \
"0.0795400000, 0.0830614000, 0.0923383000, 0.1153623000, 0.1486292000, 0.2409714000, 0.6689861000");
}
related_pin : "RESET_B";
timing_sense : "positive_unate";
timing_type : "clear";
}
}
pin ("RESET_B") {
capacitance : 0.0035610000;
clock : "false";
direction : "input";
fall_capacitance : 0.0034840000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0047825000, 0.0047938000, 0.0048198000, 0.0048295000, 0.0048520000, 0.0049039000, 0.0050236000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.005531400, -0.005506400, -0.005448700, -0.005463200, -0.005496700, -0.005573700, -0.005751000");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0036380000;
timing () {
related_pin : "CLK";
rise_constraint ("vio_3_3_1") {
index_1("0.0100000000, 0.5000000000, 1.5000000000");
index_2("0.0100000000, 0.5000000000, 1.5000000000");
values("-0.217726600, -0.058432900, 0.3643372000", \
"-0.368475300, -0.220168000, 0.1635397000", \
"-0.494614600, -0.354852200, 0.0020000000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "recovery_rising";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
related_pin : "CLK";
rise_constraint ("vio_3_3_1") {
index_1("0.0100000000, 0.5000000000, 1.5000000000");
index_2("0.0100000000, 0.5000000000, 1.5000000000");
values("0.3010723000, 0.4359681000, 0.7028952000", \
"0.4359518000, 0.5684063000, 0.8292298000", \
"0.5547669000, 0.6835593000, 0.9370586000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "removal_rising";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
fall_constraint ("constraint_3_0_1") {
index_1("0.0100000000, 0.5000000000, 1.5000000000");
values("0.3213791000, 0.8333333000, 2.5000000000");
}
related_output_pin : "Q";
related_pin : "RESET_B";
sim_opt : "runlvl=5 accurate=1";
timing_type : "min_pulse_width";
violation_delay_degrade_pct : 10.000000000;
}
}
}
/* removed sky130_fd_sc_hd__dfsbp_1 */
/* removed sky130_fd_sc_hd__dfsbp_2 */
/* removed sky130_fd_sc_hd__dfstp_1 */
/* removed sky130_fd_sc_hd__dfstp_2 */
cell ("sky130_fd_sc_hd__dfstp_4") {
leakage_power () {
value : 0.0137195000;
when : "CLK&D&SET_B&!Q";
}
leakage_power () {
value : 0.0116592000;
when : "!CLK&!D&SET_B&!Q";
}
leakage_power () {
value : 0.0097567000;
when : "CLK&!D&!SET_B&Q";
}
leakage_power () {
value : 0.0101180000;
when : "CLK&!D&SET_B&Q";
}
leakage_power () {
value : 0.0106245000;
when : "!CLK&D&SET_B&Q";
}
leakage_power () {
value : 0.0102729000;
when : "CLK&D&!SET_B&Q";
}
leakage_power () {
value : 0.0130189000;
when : "!CLK&D&SET_B&!Q";
}
leakage_power () {
value : 0.0117775000;
when : "CLK&!D&SET_B&!Q";
}
leakage_power () {
value : 0.0099070000;
when : "!CLK&!D&!SET_B&Q";
}
leakage_power () {
value : 0.0106342000;
when : "CLK&D&SET_B&Q";
}
leakage_power () {
value : 0.0099706000;
when : "!CLK&!D&SET_B&Q";
}
leakage_power () {
value : 0.0098873000;
when : "!CLK&D&!SET_B&Q";
}
area : 30.028800000;
cell_footprint : "sky130_fd_sc_hd__dfstp";
cell_leakage_power : 0.0109455200;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
ff ("IQ","IQ_N") {
clocked_on : "CLK";
next_state : "D";
preset : "!SET_B";
}
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("CLK") {
capacitance : 0.0017760000;
clock : "true";
direction : "input";
fall_capacitance : 0.0016940000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0232686000, 0.0231860000, 0.0229957000, 0.0230470000, 0.0231652000, 0.0234380000, 0.0240668000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0172554000, 0.0171832000, 0.0170168000, 0.0170337000, 0.0170725000, 0.0171621000, 0.0173687000");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0018570000;
timing () {
fall_constraint ("constraint_3_0_1") {
index_1("0.0100000000, 0.5000000000, 1.5000000000");
values("0.1928533000, 0.8333333000, 2.5000000000");
}
related_output_pin : "Q";
related_pin : "CLK";
rise_constraint ("constraint_3_0_1") {
index_1("0.0100000000, 0.5000000000, 1.5000000000");
values("0.3796002000, 0.8333333000, 2.5000000000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "min_pulse_width";
violation_delay_degrade_pct : 10.000000000;
}
}
pin ("D") {
capacitance : 0.0023610000;
clock : "false";
direction : "input";
fall_capacitance : 0.0022330000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0073635000, 0.0072883000, 0.0071149000, 0.0072660000, 0.0076142000, 0.0084169000, 0.0102673000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.000121800, -0.000306100, -0.000731100, -0.000592000, -0.000271500, 0.0004675000, 0.0021711000");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0024880000;
timing () {
fall_constraint ("vio_3_3_1") {
index_1("0.0100000000, 0.5000000000, 1.5000000000");
index_2("0.0100000000, 0.5000000000, 1.5000000000");
values("0.0642559000, 0.2418600000, 0.4510072000", \
"-0.044988900, 0.1228496000, 0.3271139000", \
"-0.124741500, 0.0357728000, 0.2339336000");
}
related_pin : "CLK";
rise_constraint ("vio_3_3_1") {
index_1("0.0100000000, 0.5000000000, 1.5000000000");
index_2("0.0100000000, 0.5000000000, 1.5000000000");
values("0.0520488000, 0.1588522000, 0.2386048000", \
"-0.014471400, 0.0825664000, 0.1513327000", \
"-0.042954400, 0.0516419000, 0.1167461000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "setup_rising";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
fall_constraint ("vio_3_3_1") {
index_1("0.0100000000, 0.5000000000, 1.5000000000");
index_2("0.0100000000, 0.5000000000, 1.5000000000");
values("-0.006544900, -0.158514300, -0.322495400", \
"0.1014792000, -0.050490200, -0.226678400", \
"0.1726869000, 0.0243796000, -0.153029300");
}
related_pin : "CLK";
rise_constraint ("vio_3_3_1") {
index_1("0.0100000000, 0.5000000000, 1.5000000000");
index_2("0.0100000000, 0.5000000000, 1.5000000000");
values("-0.032179700, -0.130438200, -0.194321600", \
"0.0282370000, -0.065138700, -0.127801400", \
"0.0506165000, -0.041538400, -0.102980500");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "hold_rising";
violation_delay_degrade_pct : 10.000000000;
}
}
pin ("Q") {
direction : "output";
function : "IQ";
internal_power () {
fall_power ("scalar") {
values("0.0000000000");
}
related_pin : "SET_B";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0016399840, 0.0053790920, 0.0176432500, 0.0578692800, 0.1898093000, 0.6225684000");
values("0.0771726000, 0.0791071000, 0.0852948000, 0.1057173000, 0.1706499000, 0.3821558000, 1.0761255000", \
"0.0767719000, 0.0786339000, 0.0848185000, 0.1051668000, 0.1704811000, 0.3837635000, 1.0805878000", \
"0.0763454000, 0.0781876000, 0.0845166000, 0.1049720000, 0.1701005000, 0.3831408000, 1.0793313000", \
"0.0764802000, 0.0783781000, 0.0846643000, 0.1051368000, 0.1702346000, 0.3816382000, 1.0768124000", \
"0.0768287000, 0.0786569000, 0.0849341000, 0.1052710000, 0.1704958000, 0.3819774000, 1.0698654000", \
"0.0767680000, 0.0787412000, 0.0849465000, 0.1053341000, 0.1704507000, 0.3818011000, 1.0766770000", \
"0.0781065000, 0.0799810000, 0.0859369000, 0.1059022000, 0.1718116000, 0.3822543000, 1.0767176000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0016399840, 0.0053790920, 0.0176432500, 0.0578692800, 0.1898093000, 0.6225684000");
values("0.0433419000, 0.0414575000, 0.0354933000, 0.0172290000, -0.044194500, -0.255907800, -0.956502100", \
"0.0433064000, 0.0414535000, 0.0354470000, 0.0172435000, -0.044264500, -0.255912000, -0.956476800", \
"0.0433349000, 0.0414240000, 0.0354909000, 0.0172677000, -0.044343000, -0.255923000, -0.956471900", \
"0.0428454000, 0.0408816000, 0.0349298000, 0.0167896000, -0.044696700, -0.256338600, -0.956929200", \
"0.0425830000, 0.0407013000, 0.0347944000, 0.0166174000, -0.044911900, -0.256617200, -0.957152800", \
"0.0426975000, 0.0408423000, 0.0347541000, 0.0165389000, -0.044889200, -0.256485400, -0.957171000", \
"0.0484822000, 0.0465386000, 0.0404538000, 0.0210941000, -0.043076500, -0.256025800, -0.956605100");
}
related_pin : "CLK";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0016399840, 0.0053790920, 0.0176432500, 0.0578692800, 0.1898093000, 0.6225684000");
values("0.0490495000, 0.0508989000, 0.0571053000, 0.0775204000, 0.1427179000, 0.3553499000, 1.0524642000", \
"0.0489720000, 0.0507552000, 0.0570165000, 0.0774362000, 0.1427736000, 0.3535812000, 1.0476493000", \
"0.0488576000, 0.0507099000, 0.0567990000, 0.0773255000, 0.1426942000, 0.3543849000, 1.0513180000", \
"0.0485259000, 0.0503820000, 0.0564485000, 0.0770206000, 0.1418549000, 0.3534555000, 1.0520203000", \
"0.0481845000, 0.0500706000, 0.0561003000, 0.0765821000, 0.1415671000, 0.3528701000, 1.0443870000", \
"0.0479770000, 0.0497329000, 0.0559182000, 0.0764541000, 0.1415934000, 0.3544899000, 1.0461071000", \
"0.0501290000, 0.0519469000, 0.0579307000, 0.0779220000, 0.1420391000, 0.3527414000, 1.0467670000");
}
}
max_capacitance : 0.6225680000;
max_transition : 1.5019950000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016399800, 0.0053790900, 0.0176432000, 0.0578693000, 0.1898090000, 0.6225680000");
values("0.4284925000, 0.4321941000, 0.4423047000, 0.4659346000, 0.5151804000, 0.6272079000, 0.9447566000", \
"0.4331685000, 0.4368633000, 0.4470976000, 0.4707306000, 0.5202038000, 0.6319299000, 0.9497633000", \
"0.4443255000, 0.4480489000, 0.4582560000, 0.4819148000, 0.5314576000, 0.6430140000, 0.9609673000", \
"0.4699173000, 0.4736653000, 0.4838367000, 0.5076669000, 0.5568392000, 0.6686635000, 0.9860633000", \
"0.5179819000, 0.5217022000, 0.5319227000, 0.5555842000, 0.6050702000, 0.7166566000, 1.0342954000", \
"0.5865703000, 0.5903557000, 0.6005407000, 0.6242005000, 0.6734555000, 0.7853897000, 1.1027536000", \
"0.6709817000, 0.6747072000, 0.6848607000, 0.7085558000, 0.7581344000, 0.8697149000, 1.1872144000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016399800, 0.0053790900, 0.0176432000, 0.0578693000, 0.1898090000, 0.6225680000");
values("0.5717622000, 0.5765045000, 0.5893120000, 0.6190649000, 0.6924932000, 0.9136427000, 1.6341102000", \
"0.5762104000, 0.5809723000, 0.5936487000, 0.6235505000, 0.6973653000, 0.9176381000, 1.6383025000", \
"0.5874511000, 0.5922746000, 0.6048618000, 0.6349319000, 0.7086817000, 0.9293988000, 1.6504240000", \
"0.6123801000, 0.6172082000, 0.6297872000, 0.6598624000, 0.7334103000, 0.9536896000, 1.6746365000", \
"0.6597666000, 0.6645022000, 0.6770449000, 0.7070663000, 0.7806367000, 1.0008995000, 1.7207104000", \
"0.7290220000, 0.7337266000, 0.7466210000, 0.7763912000, 0.8503242000, 1.0712047000, 1.7929405000", \
"0.8186149000, 0.8233222000, 0.8360287000, 0.8660978000, 0.9398573000, 1.1600250000, 1.8817088000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016399800, 0.0053790900, 0.0176432000, 0.0578693000, 0.1898090000, 0.6225680000");
values("0.0360117000, 0.0380370000, 0.0446432000, 0.0593286000, 0.0987997000, 0.2173298000, 0.6311101000", \
"0.0360349000, 0.0381436000, 0.0443646000, 0.0594668000, 0.0984293000, 0.2170178000, 0.6310075000", \
"0.0360619000, 0.0383774000, 0.0443498000, 0.0601324000, 0.0981054000, 0.2168160000, 0.6312500000", \
"0.0363050000, 0.0384261000, 0.0446557000, 0.0594409000, 0.0990135000, 0.2171381000, 0.6274269000", \
"0.0357993000, 0.0381389000, 0.0443522000, 0.0601772000, 0.0991845000, 0.2174672000, 0.6321128000", \
"0.0361063000, 0.0383930000, 0.0441314000, 0.0593441000, 0.0991100000, 0.2170476000, 0.6283670000", \
"0.0360744000, 0.0383484000, 0.0445071000, 0.0601710000, 0.0987109000, 0.2174121000, 0.6270097000");
}
related_pin : "CLK";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016399800, 0.0053790900, 0.0176432000, 0.0578693000, 0.1898090000, 0.6225680000");
values("0.0390928000, 0.0420609000, 0.0507045000, 0.0757399000, 0.1620567000, 0.4683606000, 1.4944875000", \
"0.0389755000, 0.0419461000, 0.0507103000, 0.0757944000, 0.1619539000, 0.4680689000, 1.4927245000", \
"0.0393475000, 0.0418872000, 0.0504232000, 0.0758617000, 0.1619926000, 0.4675559000, 1.4954976000", \
"0.0393080000, 0.0420011000, 0.0504184000, 0.0758780000, 0.1617079000, 0.4678594000, 1.4947664000", \
"0.0389229000, 0.0420632000, 0.0505382000, 0.0761564000, 0.1621217000, 0.4676407000, 1.4945839000", \
"0.0391077000, 0.0421535000, 0.0508203000, 0.0759162000, 0.1619707000, 0.4688219000, 1.4941088000", \
"0.0392064000, 0.0423718000, 0.0504592000, 0.0758573000, 0.1620008000, 0.4679588000, 1.4958811000");
}
timing_sense : "non_unate";
timing_type : "rising_edge";
}
timing () {
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016399800, 0.0053790900, 0.0176432000, 0.0578693000, 0.1898090000, 0.6225680000");
values("0.3906759000, 0.3947574000, 0.4059705000, 0.4337536000, 0.5061108000, 0.7268277000, 1.4478989000", \
"0.3951418000, 0.3989618000, 0.4101809000, 0.4381290000, 0.5106534000, 0.7309117000, 1.4517330000", \
"0.4075777000, 0.4115141000, 0.4227912000, 0.4506797000, 0.5231020000, 0.7436625000, 1.4648177000", \
"0.4401818000, 0.4444075000, 0.4556580000, 0.4835905000, 0.5559058000, 0.7770359000, 1.4968637000", \
"0.5169347000, 0.5207990000, 0.5319921000, 0.5598734000, 0.6324171000, 0.8536604000, 1.5730965000", \
"0.6793061000, 0.6833747000, 0.6945112000, 0.7224204000, 0.7948366000, 1.0161770000, 1.7356486000", \
"0.9691504000, 0.9733365000, 0.9845822000, 1.0126103000, 1.0850896000, 1.3052686000, 2.0249802000");
}
related_pin : "SET_B";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016399800, 0.0053790900, 0.0176432000, 0.0578693000, 0.1898090000, 0.6225680000");
values("0.0318401000, 0.0349739000, 0.0437718000, 0.0700532000, 0.1587046000, 0.4690467000, 1.4937702000", \
"0.0316945000, 0.0347259000, 0.0433704000, 0.0700053000, 0.1591753000, 0.4695433000, 1.4985602000", \
"0.0316039000, 0.0346788000, 0.0435802000, 0.0700766000, 0.1592733000, 0.4703238000, 1.4987888000", \
"0.0318493000, 0.0348725000, 0.0434566000, 0.0700030000, 0.1593679000, 0.4688346000, 1.4973740000", \
"0.0316487000, 0.0348440000, 0.0436162000, 0.0702510000, 0.1590421000, 0.4695487000, 1.4927148000", \
"0.0317936000, 0.0347784000, 0.0436296000, 0.0700047000, 0.1593451000, 0.4698462000, 1.4976833000", \
"0.0322716000, 0.0355918000, 0.0441644000, 0.0701699000, 0.1592196000, 0.4669418000, 1.5019950000");
}
timing_sense : "negative_unate";
timing_type : "preset";
}
}
pin ("SET_B") {
capacitance : 0.0033590000;
clock : "false";
direction : "input";
fall_capacitance : 0.0033000000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0051231000, 0.0051557000, 0.0052307000, 0.0052374000, 0.0052529000, 0.0052886000, 0.0053710000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0012562000, 0.0012139000, 0.0011164000, 0.0011072000, 0.0010859000, 0.0010369000, 0.0009240000");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0034190000;
timing () {
related_pin : "CLK";
rise_constraint ("vio_3_3_1") {
index_1("0.0100000000, 0.5000000000, 1.5000000000");
index_2("0.0100000000, 0.5000000000, 1.5000000000");
values("-0.131056600, -0.082847000, -0.103192100", \
"-0.268377600, -0.218947300, -0.238071600", \
"-0.374985700, -0.325555300, -0.341017600");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "recovery_rising";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
related_pin : "CLK";
rise_constraint ("vio_3_3_1") {
index_1("0.0100000000, 0.5000000000, 1.5000000000");
index_2("0.0100000000, 0.5000000000, 1.5000000000");
values("0.1484844000, 0.1051576000, 0.1303854000", \
"0.2833639000, 0.2375957000, 0.2603821000", \
"0.3887513000, 0.3429831000, 0.3621074000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "removal_rising";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
fall_constraint ("constraint_3_0_1") {
index_1("0.0100000000, 0.5000000000, 1.5000000000");
values("0.2400893000, 0.8333333000, 2.5000000000");
}
related_output_pin : "Q";
related_pin : "SET_B";
sim_opt : "runlvl=5 accurate=1";
timing_type : "min_pulse_width";
violation_delay_degrade_pct : 10.000000000;
}
}
}
/* removed sky130_fd_sc_hd__dfxbp_1 */
cell ("sky130_fd_sc_hd__dfxbp_2") {
leakage_power () {
value : 0.0119064000;
when : "CLK&D&!Q&Q_N";
}
leakage_power () {
value : 0.0108319000;
when : "!CLK&!D&!Q&Q_N";
}
leakage_power () {
value : 0.0134870000;
when : "CLK&!D&Q&!Q_N";
}
leakage_power () {
value : 0.0131686000;
when : "!CLK&D&Q&!Q_N";
}
leakage_power () {
value : 0.0120101000;
when : "!CLK&D&!Q&Q_N";
}
leakage_power () {
value : 0.0108270000;
when : "CLK&!D&!Q&Q_N";
}
leakage_power () {
value : 0.0130602000;
when : "CLK&D&Q&!Q_N";
}
leakage_power () {
value : 0.0134159000;
when : "!CLK&!D&Q&!Q_N";
}
area : 26.275200000;
cell_footprint : "sky130_fd_sc_hd__dfxbp";
cell_leakage_power : 0.0123383900;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
ff ("IQ","IQ_N") {
clocked_on : "CLK";
next_state : "D";
}
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("CLK") {
capacitance : 0.0017800000;
clock : "true";
direction : "input";
fall_capacitance : 0.0016950000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0226628000, 0.0225479000, 0.0222832000, 0.0223632000, 0.0225475000, 0.0229725000, 0.0239523000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0178197000, 0.0176966000, 0.0174129000, 0.0174501000, 0.0175357000, 0.0177334000, 0.0181890000");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0018650000;
timing () {
fall_constraint ("constraint_3_0_1") {
index_1("0.0100000000, 0.5000000000, 1.5000000000");
values("0.2093310000, 0.8333333000, 2.5000000000");
}
related_output_pin : "Q";
related_pin : "CLK";
rise_constraint ("constraint_3_0_1") {
index_1("0.0100000000, 0.5000000000, 1.5000000000");
values("0.1851638000, 0.8333333000, 2.5000000000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "min_pulse_width";
violation_delay_degrade_pct : 10.000000000;
}
}
pin ("D") {
capacitance : 0.0016770000;
clock : "false";
direction : "input";
fall_capacitance : 0.0016810000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0055389000, 0.0054574000, 0.0052694000, 0.0053319000, 0.0054760000, 0.0058082000, 0.0065740000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.000455300, -0.000515100, -0.000652700, -0.000604900, -0.000494700, -0.000240400, 0.0003457000");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0016730000;
timing () {
fall_constraint ("vio_3_3_1") {
index_1("0.0100000000, 0.5000000000, 1.5000000000");
index_2("0.0100000000, 0.5000000000, 1.5000000000");
values("0.1045391000, 0.3199850000, 0.6219056000", \
"-0.008367800, 0.2009746000, 0.4992331000", \
"-0.096665400, 0.1090150000, 0.4048320000");
}
related_pin : "CLK";
rise_constraint ("vio_3_3_1") {
index_1("0.0100000000, 0.5000000000, 1.5000000000");
index_2("0.0100000000, 0.5000000000, 1.5000000000");
values("0.0544902000, 0.1710592000, 0.2544740000", \
"-0.015692100, 0.0862285000, 0.1513327000", \
"-0.045395800, 0.0516419000, 0.1118633000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "setup_rising";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
fall_constraint ("vio_3_3_1") {
index_1("0.0100000000, 0.5000000000, 1.5000000000");
index_2("0.0100000000, 0.5000000000, 1.5000000000");
values("-0.040724600, -0.242742800, -0.500718100", \
"0.0685202000, -0.133498000, -0.403680400", \
"0.1446107000, -0.054966100, -0.327589900");
}
related_pin : "CLK";
rise_constraint ("vio_3_3_1") {
index_1("0.0100000000, 0.5000000000, 1.5000000000");
index_2("0.0100000000, 0.5000000000, 1.5000000000");
values("-0.028517600, -0.130438200, -0.184556000", \
"0.0355612000, -0.060255900, -0.114373700", \
"0.0579408000, -0.035434900, -0.089552700");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "hold_rising";
violation_delay_degrade_pct : 10.000000000;
}
}
pin ("Q") {
direction : "output";
function : "IQ";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0014456210, 0.0041796400, 0.0120843500, 0.0349387800, 0.1010165000, 0.2920631000");
values("-0.005746100, -0.000554700, 0.0101077000, 0.0217896000, 0.0092629000, -0.082375300, -0.385012600", \
"-0.005684500, -0.000511000, 0.0101369000, 0.0218088000, 0.0093105000, -0.082316500, -0.384993000", \
"-0.005549000, -0.000380900, 0.0102170000, 0.0218235000, 0.0092582000, -0.082443800, -0.385156400", \
"-0.005590000, -0.000451400, 0.0100912000, 0.0216018000, 0.0088505000, -0.082874000, -0.385586500", \
"-0.005643700, -0.000520200, 0.0099935000, 0.0214542000, 0.0086827000, -0.083102900, -0.385870200", \
"-0.005740500, -0.000604900, 0.0099037000, 0.0213946000, 0.0086319000, -0.083117700, -0.385877900", \
"-0.005914100, -0.000697700, 0.0100129000, 0.0218508000, 0.0094369000, -0.082497700, -0.385270600");
}
related_pin : "CLK";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0014456210, 0.0041796400, 0.0120843500, 0.0349387800, 0.1010165000, 0.2920631000");
values("-0.007368300, -0.004603000, 0.0026009000, 0.0200155000, 0.0613637000, 0.1692873000, 0.4763728000", \
"-0.007316400, -0.004562000, 0.0025962000, 0.0199795000, 0.0613405000, 0.1692190000, 0.4794763000", \
"-0.007182300, -0.004442600, 0.0026952000, 0.0200202000, 0.0612611000, 0.1696448000, 0.4776952000", \
"-0.007216800, -0.004504800, 0.0025657000, 0.0197987000, 0.0609143000, 0.1690306000, 0.4775162000", \
"-0.007271500, -0.004578300, 0.0024493000, 0.0196147000, 0.0606326000, 0.1693911000, 0.4748742000", \
"-0.007370500, -0.004678500, 0.0023446000, 0.0195079000, 0.0606021000, 0.1685264000, 0.4780004000", \
"-0.007539900, -0.004748600, 0.0024999000, 0.0199316000, 0.0609999000, 0.1692889000, 0.4788320000");
}
}
max_capacitance : 0.2920630000;
max_transition : 1.5026260000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014456200, 0.0041796400, 0.0120844000, 0.0349388000, 0.1010160000, 0.2920630000");
values("0.2939337000, 0.2991456000, 0.3105704000, 0.3338237000, 0.3828770000, 0.5017026000, 0.8331890000", \
"0.2986641000, 0.3038158000, 0.3152867000, 0.3383178000, 0.3875935000, 0.5064137000, 0.8379199000", \
"0.3097049000, 0.3148803000, 0.3263292000, 0.3496073000, 0.3986365000, 0.5174657000, 0.8483946000", \
"0.3354556000, 0.3405949000, 0.3520446000, 0.3752912000, 0.4243495000, 0.5431761000, 0.8750231000", \
"0.3825875000, 0.3877902000, 0.3992241000, 0.4224771000, 0.4715326000, 0.5903603000, 0.9218285000", \
"0.4494993000, 0.4546689000, 0.4660974000, 0.4893612000, 0.5384150000, 0.6572429000, 0.9885449000", \
"0.5320565000, 0.5372565000, 0.5487030000, 0.5719559000, 0.6210189000, 0.7398491000, 1.0713375000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014456200, 0.0041796400, 0.0120844000, 0.0349388000, 0.1010160000, 0.2920630000");
values("0.2915415000, 0.2974732000, 0.3111724000, 0.3428633000, 0.4263008000, 0.6614584000, 1.3434092000", \
"0.2963151000, 0.3021714000, 0.3158973000, 0.3476527000, 0.4310308000, 0.6660844000, 1.3439048000", \
"0.3070964000, 0.3130338000, 0.3267790000, 0.3584773000, 0.4419116000, 0.6769550000, 1.3543232000", \
"0.3331387000, 0.3390565000, 0.3527865000, 0.3845348000, 0.4678624000, 0.7029640000, 1.3811806000", \
"0.3814105000, 0.3873324000, 0.4010140000, 0.4327500000, 0.5161817000, 0.7511866000, 1.4292345000", \
"0.4523299000, 0.4582610000, 0.4719295000, 0.5036253000, 0.5871542000, 0.8222781000, 1.4993913000", \
"0.5449576000, 0.5509430000, 0.5646837000, 0.5963235000, 0.6797641000, 0.9150148000, 1.5924395000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014456200, 0.0041796400, 0.0120844000, 0.0349388000, 0.1010160000, 0.2920630000");
values("0.0198373000, 0.0231327000, 0.0309251000, 0.0498398000, 0.0997312000, 0.2437546000, 0.6823145000", \
"0.0196640000, 0.0230318000, 0.0308999000, 0.0501471000, 0.0995483000, 0.2437247000, 0.6822563000", \
"0.0198109000, 0.0230543000, 0.0311045000, 0.0501161000, 0.0994619000, 0.2439108000, 0.6830778000", \
"0.0196387000, 0.0230427000, 0.0309121000, 0.0502598000, 0.0996850000, 0.2441380000, 0.6856588000", \
"0.0198104000, 0.0231680000, 0.0309211000, 0.0498269000, 0.0997233000, 0.2437237000, 0.6874989000", \
"0.0197163000, 0.0231023000, 0.0310053000, 0.0501585000, 0.0994668000, 0.2441724000, 0.6866173000", \
"0.0198658000, 0.0230767000, 0.0310097000, 0.0502092000, 0.0994081000, 0.2439696000, 0.6793464000");
}
related_pin : "CLK";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014456200, 0.0041796400, 0.0120844000, 0.0349388000, 0.1010160000, 0.2920630000");
values("0.0228861000, 0.0274590000, 0.0402981000, 0.0773530000, 0.1918802000, 0.5256561000, 1.5026261000", \
"0.0227768000, 0.0274077000, 0.0401665000, 0.0773535000, 0.1917161000, 0.5254573000, 1.4945482000", \
"0.0229023000, 0.0274904000, 0.0402333000, 0.0773668000, 0.1916745000, 0.5253888000, 1.4970435000", \
"0.0229005000, 0.0273991000, 0.0401264000, 0.0773527000, 0.1912976000, 0.5252174000, 1.4933316000", \
"0.0228419000, 0.0274808000, 0.0401537000, 0.0773541000, 0.1916395000, 0.5240703000, 1.4943603000", \
"0.0229576000, 0.0276408000, 0.0402595000, 0.0774330000, 0.1914362000, 0.5244811000, 1.5008912000", \
"0.0230037000, 0.0275850000, 0.0402911000, 0.0775002000, 0.1916715000, 0.5243518000, 1.5016002000");
}
timing_sense : "non_unate";
timing_type : "rising_edge";
}
}
pin ("Q_N") {
direction : "output";
function : "IQ_N";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0014681390, 0.0043108630, 0.0126578900, 0.0371670900, 0.1091329000, 0.3204445000");
values("-0.005990500, -0.001073900, 0.0091447000, 0.0200345000, 0.0052049000, -0.096170000, -0.431829400", \
"-0.005934900, -0.001032300, 0.0091507000, 0.0200077000, 0.0051357000, -0.096286600, -0.431961400", \
"-0.005803400, -0.000913600, 0.0092290000, 0.0200410000, 0.0051192000, -0.096315400, -0.432047400", \
"-0.005832500, -0.000963100, 0.0091367000, 0.0198648000, 0.0048579000, -0.096618000, -0.432330600", \
"-0.005890700, -0.001045800, 0.0090095000, 0.0196516000, 0.0045285000, -0.097008300, -0.432747400", \
"-0.005988500, -0.001145400, 0.0089047000, 0.0195553000, 0.0044387000, -0.097105100, -0.432807400", \
"-0.006169300, -0.001241900, 0.0089971000, 0.0199684000, 0.0048968000, -0.096703500, -0.432337100");
}
related_pin : "CLK";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0014681390, 0.0043108630, 0.0126578900, 0.0371670900, 0.1091329000, 0.3204445000");
values("-0.007361000, -0.004489900, 0.0031840000, 0.0218063000, 0.0663540000, 0.1856135000, 0.5269221000", \
"-0.007303200, -0.004441400, 0.0032150000, 0.0218290000, 0.0663637000, 0.1856424000, 0.5271029000", \
"-0.007166700, -0.004313200, 0.0033147000, 0.0218378000, 0.0662840000, 0.1853440000, 0.5282354000", \
"-0.007204100, -0.004380500, 0.0031588000, 0.0216322000, 0.0659281000, 0.1852988000, 0.5277705000", \
"-0.007256300, -0.004450300, 0.0030777000, 0.0214638000, 0.0657698000, 0.1846813000, 0.5262124000", \
"-0.007352400, -0.004544500, 0.0029827000, 0.0213871000, 0.0656759000, 0.1838250000, 0.5251569000", \
"-0.007506200, -0.004581600, 0.0031514000, 0.0218117000, 0.0661818000, 0.1847365000, 0.5233616000");
}
}
max_capacitance : 0.3204440000;
max_transition : 1.5000040000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014681400, 0.0043108600, 0.0126579000, 0.0371671000, 0.1091330000, 0.3204440000");
values("0.3731912000, 0.3778953000, 0.3884605000, 0.4101524000, 0.4564214000, 0.5735504000, 0.9111091000", \
"0.3779075000, 0.3826397000, 0.3931673000, 0.4148214000, 0.4611629000, 0.5782286000, 0.9153185000", \
"0.3890191000, 0.3937645000, 0.4042767000, 0.4259400000, 0.4721960000, 0.5893718000, 0.9270197000", \
"0.4143366000, 0.4190992000, 0.4296436000, 0.4512953000, 0.4975888000, 0.6145358000, 0.9511834000", \
"0.4628384000, 0.4675429000, 0.4781117000, 0.4997537000, 0.5460413000, 0.6631465000, 1.0007911000", \
"0.5338146000, 0.5385105000, 0.5489842000, 0.5705450000, 0.6168849000, 0.7339707000, 1.0713894000", \
"0.6267308000, 0.6315005000, 0.6420655000, 0.6636441000, 0.7099950000, 0.8271099000, 1.1647078000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014681400, 0.0043108600, 0.0126579000, 0.0371671000, 0.1091330000, 0.3204440000");
values("0.3774744000, 0.3827781000, 0.3953425000, 0.4250546000, 0.5052750000, 0.7373323000, 1.4205001000", \
"0.3821422000, 0.3874530000, 0.3999971000, 0.4298188000, 0.5100953000, 0.7423455000, 1.4252451000", \
"0.3932538000, 0.3985847000, 0.4111124000, 0.4408532000, 0.5210570000, 0.7531054000, 1.4362779000", \
"0.4189314000, 0.4242763000, 0.4366814000, 0.4665681000, 0.5467103000, 0.7787018000, 1.4618713000", \
"0.4660918000, 0.4714143000, 0.4839641000, 0.5136814000, 0.5937965000, 0.8259054000, 1.5089726000", \
"0.5329846000, 0.5382764000, 0.5507867000, 0.5806411000, 0.6607120000, 0.8930132000, 1.5757120000", \
"0.6156549000, 0.6209541000, 0.6335176000, 0.6631512000, 0.7434952000, 0.9765194000, 1.6592211000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014681400, 0.0043108600, 0.0126579000, 0.0371671000, 0.1091330000, 0.3204440000");
values("0.0187029000, 0.0218779000, 0.0289293000, 0.0468728000, 0.0953051000, 0.2454093000, 0.7025816000", \
"0.0186896000, 0.0216368000, 0.0288264000, 0.0470670000, 0.0956636000, 0.2444467000, 0.7022690000", \
"0.0187617000, 0.0216733000, 0.0288741000, 0.0470651000, 0.0957222000, 0.2452724000, 0.7025432000", \
"0.0187542000, 0.0216799000, 0.0288893000, 0.0470978000, 0.0954611000, 0.2446547000, 0.7032816000", \
"0.0185553000, 0.0215163000, 0.0293217000, 0.0471148000, 0.0956309000, 0.2452111000, 0.6978825000", \
"0.0185762000, 0.0215259000, 0.0290220000, 0.0470565000, 0.0959132000, 0.2451253000, 0.7007563000", \
"0.0185898000, 0.0215943000, 0.0288889000, 0.0471331000, 0.0955531000, 0.2451730000, 0.6958025000");
}
related_pin : "CLK";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014681400, 0.0043108600, 0.0126579000, 0.0371671000, 0.1091330000, 0.3204440000");
values("0.0198138000, 0.0241140000, 0.0360235000, 0.0717001000, 0.1830411000, 0.5148825000, 1.4977699000", \
"0.0199296000, 0.0240958000, 0.0360666000, 0.0716995000, 0.1830006000, 0.5160203000, 1.4997453000", \
"0.0196496000, 0.0239790000, 0.0359694000, 0.0717413000, 0.1830314000, 0.5149990000, 1.4967306000", \
"0.0198208000, 0.0240416000, 0.0359606000, 0.0717954000, 0.1826920000, 0.5155061000, 1.4979881000", \
"0.0198502000, 0.0241582000, 0.0360400000, 0.0716244000, 0.1830282000, 0.5155956000, 1.4983632000", \
"0.0196348000, 0.0240388000, 0.0360382000, 0.0717838000, 0.1828325000, 0.5159727000, 1.5000038000", \
"0.0197884000, 0.0241350000, 0.0360132000, 0.0718167000, 0.1828389000, 0.5155632000, 1.4953894000");
}
timing_sense : "non_unate";
timing_type : "rising_edge";
}
}
}
/* removed sky130_fd_sc_hd__dfxtp_1 */
/* removed sky130_fd_sc_hd__dfxtp_2 */
cell ("sky130_fd_sc_hd__dfxtp_4") {
leakage_power () {
value : 0.0083293000;
when : "CLK&!D&Q";
}
leakage_power () {
value : 0.0080413000;
when : "!CLK&D&Q";
}
leakage_power () {
value : 0.0100255000;
when : "!CLK&D&!Q";
}
leakage_power () {
value : 0.0088792000;
when : "CLK&!D&!Q";
}
leakage_power () {
value : 0.0079211000;
when : "CLK&D&Q";
}
leakage_power () {
value : 0.0082837000;
when : "!CLK&!D&Q";
}
leakage_power () {
value : 0.0099385000;
when : "CLK&D&!Q";
}
leakage_power () {
value : 0.0088461000;
when : "!CLK&!D&!Q";
}
area : 23.772800000;
cell_footprint : "sky130_fd_sc_hd__dfxtp";
cell_leakage_power : 0.0087830730;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
ff ("IQ","IQ_N") {
clocked_on : "CLK";
next_state : "D";
}
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("CLK") {
capacitance : 0.0017750000;
clock : "true";
direction : "input";
fall_capacitance : 0.0017010000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0215156000, 0.0214006000, 0.0211353000, 0.0212090000, 0.0213791000, 0.0217709000, 0.0226744000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0163159000, 0.0161908000, 0.0159023000, 0.0159198000, 0.0159602000, 0.0160533000, 0.0162681000");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0018480000;
timing () {
fall_constraint ("constraint_3_0_1") {
index_1("0.0100000000, 0.5000000000, 1.5000000000");
values("0.2016414000, 0.8333333000, 2.5000000000");
}
related_output_pin : "Q";
related_pin : "CLK";
rise_constraint ("constraint_3_0_1") {
index_1("0.0100000000, 0.5000000000, 1.5000000000");
values("0.1895578000, 0.8333333000, 2.5000000000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "min_pulse_width";
violation_delay_degrade_pct : 10.000000000;
}
}
pin ("D") {
capacitance : 0.0015530000;
clock : "false";
direction : "input";
fall_capacitance : 0.0015090000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0049067000, 0.0048332000, 0.0046638000, 0.0047201000, 0.0048498000, 0.0051487000, 0.0058380000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("4.5862743e-06, -5.9611988e-05, -0.000207600, -0.000158700, -4.6108282e-05, 0.0002135000, 0.0008119000");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0015970000;
timing () {
fall_constraint ("vio_3_3_1") {
index_1("0.0100000000, 0.5000000000, 1.5000000000");
index_2("0.0100000000, 0.5000000000, 1.5000000000");
values("0.1045391000, 0.3187643000, 0.6145814000", \
"-0.005926400, 0.2021953000, 0.4943503000", \
"-0.085679000, 0.1187806000, 0.4060527000");
}
related_pin : "CLK";
rise_constraint ("vio_3_3_1") {
index_1("0.0100000000, 0.5000000000, 1.5000000000");
index_2("0.0100000000, 0.5000000000, 1.5000000000");
values("0.0569316000, 0.1747214000, 0.2569154000", \
"-0.014471400, 0.0886699000, 0.1562155000", \
"-0.042954400, 0.0553040000, 0.1167461000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "setup_rising";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
fall_constraint ("vio_3_3_1") {
index_1("0.0100000000, 0.5000000000, 1.5000000000");
index_2("0.0100000000, 0.5000000000, 1.5000000000");
values("-0.038283200, -0.237860000, -0.486069600", \
"0.0648581000, -0.134718700, -0.396356100", \
"0.1336244000, -0.062290400, -0.327589900");
}
related_pin : "CLK";
rise_constraint ("vio_3_3_1") {
index_1("0.0100000000, 0.5000000000, 1.5000000000");
index_2("0.0100000000, 0.5000000000, 1.5000000000");
values("-0.028517600, -0.131658800, -0.185776700", \
"0.0343405000, -0.061476600, -0.115594400", \
"0.0567201000, -0.037876300, -0.091994100");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "hold_rising";
violation_delay_degrade_pct : 10.000000000;
}
}
pin ("Q") {
direction : "output";
function : "IQ";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0016047860, 0.0051506790, 0.0165314800, 0.0530589900, 0.1702967000, 0.5465797000");
values("0.0325915000, 0.0308688000, 0.0255683000, 0.0092707000, -0.046987400, -0.235887600, -0.845214800", \
"0.0325283000, 0.0309160000, 0.0255453000, 0.0092825000, -0.046977600, -0.235860400, -0.845192200", \
"0.0326638000, 0.0309763000, 0.0256880000, 0.0094334000, -0.046863500, -0.235767900, -0.845093000", \
"0.0322145000, 0.0304730000, 0.0252135000, 0.0089116000, -0.047353200, -0.236251200, -0.845550100", \
"0.0319152000, 0.0302867000, 0.0249496000, 0.0086724000, -0.047567300, -0.236471200, -0.845805000", \
"0.0320546000, 0.0303536000, 0.0249884000, 0.0088424000, -0.047508100, -0.236396500, -0.845744200", \
"0.0368505000, 0.0351573000, 0.0297109000, 0.0120326000, -0.046139000, -0.235628000, -0.845086200");
}
related_pin : "CLK";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0016047860, 0.0051506790, 0.0165314800, 0.0530589900, 0.1702967000, 0.5465797000");
values("0.0360772000, 0.0380032000, 0.0440492000, 0.0631591000, 0.1223195000, 0.3099228000, 0.9141283000", \
"0.0360516000, 0.0380029000, 0.0440639000, 0.0631722000, 0.1223545000, 0.3099230000, 0.9194788000", \
"0.0362177000, 0.0381220000, 0.0441914000, 0.0632922000, 0.1224452000, 0.3101700000, 0.9170330000", \
"0.0357324000, 0.0376431000, 0.0437066000, 0.0628049000, 0.1219554000, 0.3095204000, 0.9134385000", \
"0.0353261000, 0.0372813000, 0.0433476000, 0.0624429000, 0.1215846000, 0.3090473000, 0.9103406000", \
"0.0352455000, 0.0371233000, 0.0432971000, 0.0624707000, 0.1215812000, 0.3087925000, 0.9140495000", \
"0.0371598000, 0.0389855000, 0.0448687000, 0.0636276000, 0.1229831000, 0.3110095000, 0.9114014000");
}
}
max_capacitance : 0.5465800000;
max_transition : 1.5072410000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016047900, 0.0051506800, 0.0165315000, 0.0530590000, 0.1702970000, 0.5465800000");
values("0.3049624000, 0.3085415000, 0.3178988000, 0.3386857000, 0.3829389000, 0.4904024000, 0.8119742000", \
"0.3096234000, 0.3132014000, 0.3225409000, 0.3434068000, 0.3876060000, 0.4950955000, 0.8173437000", \
"0.3208047000, 0.3243626000, 0.3336722000, 0.3545471000, 0.3986181000, 0.5062199000, 0.8284514000", \
"0.3464531000, 0.3500527000, 0.3594342000, 0.3802832000, 0.4244696000, 0.5319589000, 0.8549259000", \
"0.3926958000, 0.3962367000, 0.4055965000, 0.4264785000, 0.4706843000, 0.5781396000, 0.9009751000", \
"0.4571456000, 0.4606838000, 0.4700447000, 0.4909137000, 0.5352387000, 0.6426148000, 0.9648238000", \
"0.5352493000, 0.5388660000, 0.5481988000, 0.5690108000, 0.6132437000, 0.7207601000, 1.0424230000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016047900, 0.0051506800, 0.0165315000, 0.0530590000, 0.1702970000, 0.5465800000");
values("0.3036754000, 0.3076816000, 0.3183963000, 0.3450651000, 0.4173999000, 0.6421093000, 1.3662941000", \
"0.3082892000, 0.3123284000, 0.3230377000, 0.3496917000, 0.4220244000, 0.6467577000, 1.3692040000", \
"0.3193385000, 0.3232853000, 0.3340500000, 0.3607293000, 0.4330705000, 0.6577638000, 1.3819251000", \
"0.3449731000, 0.3489183000, 0.3596802000, 0.3863653000, 0.4587125000, 0.6833926000, 1.4076605000", \
"0.3922779000, 0.3963088000, 0.4070815000, 0.4337645000, 0.5061230000, 0.7307539000, 1.4492644000", \
"0.4599940000, 0.4640512000, 0.4748102000, 0.5014663000, 0.5737781000, 0.7984674000, 1.5172652000", \
"0.5475814000, 0.5515320000, 0.5623177000, 0.5890354000, 0.6614193000, 0.8859746000, 1.6055151000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016047900, 0.0051506800, 0.0165315000, 0.0530590000, 0.1702970000, 0.5465800000");
values("0.0248724000, 0.0270659000, 0.0328093000, 0.0472901000, 0.0868302000, 0.2110268000, 0.6402268000", \
"0.0249009000, 0.0271889000, 0.0327922000, 0.0474050000, 0.0870755000, 0.2106803000, 0.6343376000", \
"0.0248607000, 0.0270856000, 0.0328353000, 0.0474125000, 0.0868028000, 0.2105795000, 0.6343514000", \
"0.0251094000, 0.0271272000, 0.0330610000, 0.0477060000, 0.0870384000, 0.2107602000, 0.6364916000", \
"0.0249102000, 0.0271312000, 0.0330175000, 0.0473735000, 0.0870776000, 0.2107678000, 0.6408650000", \
"0.0249287000, 0.0271549000, 0.0328394000, 0.0476896000, 0.0862904000, 0.2106304000, 0.6355685000", \
"0.0251143000, 0.0271376000, 0.0330894000, 0.0473594000, 0.0869250000, 0.2105509000, 0.6342062000");
}
related_pin : "CLK";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016047900, 0.0051506800, 0.0165315000, 0.0530590000, 0.1702970000, 0.5465800000");
values("0.0253058000, 0.0285074000, 0.0372656000, 0.0650833000, 0.1600722000, 0.4768411000, 1.5051608000", \
"0.0255086000, 0.0283707000, 0.0372961000, 0.0650920000, 0.1599277000, 0.4764398000, 1.5072412000", \
"0.0252954000, 0.0284443000, 0.0372562000, 0.0650629000, 0.1600551000, 0.4769070000, 1.5031556000", \
"0.0252985000, 0.0284381000, 0.0372488000, 0.0650498000, 0.1600406000, 0.4769751000, 1.5048108000", \
"0.0255825000, 0.0284138000, 0.0373266000, 0.0650019000, 0.1599855000, 0.4772211000, 1.4988961000", \
"0.0255681000, 0.0284190000, 0.0372491000, 0.0650777000, 0.1597754000, 0.4765168000, 1.5062674000", \
"0.0254853000, 0.0285354000, 0.0374050000, 0.0651745000, 0.1598625000, 0.4772151000, 1.5028120000");
}
timing_sense : "non_unate";
timing_type : "rising_edge";
}
}
}
/* removed sky130_fd_sc_hd__diode_2 */
/* removed sky130_fd_sc_hd__dlclkp_1 */
/* removed sky130_fd_sc_hd__dlclkp_2 */
/* removed sky130_fd_sc_hd__dlclkp_4 */
/* removed sky130_fd_sc_hd__dlrbn_1 */
/* removed sky130_fd_sc_hd__dlrbn_2 */
/* removed sky130_fd_sc_hd__dlrbp_1 */
/* removed sky130_fd_sc_hd__dlrbp_2 */
/* removed sky130_fd_sc_hd__dlrtn_1 */
/* removed sky130_fd_sc_hd__dlrtn_2 */
/* removed sky130_fd_sc_hd__dlrtn_4 */
/* removed sky130_fd_sc_hd__dlrtp_1 */
/* removed sky130_fd_sc_hd__dlrtp_2 */
/* removed sky130_fd_sc_hd__dlrtp_4 */
/* removed sky130_fd_sc_hd__dlxbn_1 */
/* removed sky130_fd_sc_hd__dlxbn_2 */
/* removed sky130_fd_sc_hd__dlxbp_1 */
/* removed sky130_fd_sc_hd__dlxtn_1 */
/* removed sky130_fd_sc_hd__dlxtn_2 */
/* removed sky130_fd_sc_hd__dlxtn_4 */
/* removed sky130_fd_sc_hd__dlxtp_1 */
/* removed sky130_fd_sc_hd__dlygate4sd1_1 */
/* removed sky130_fd_sc_hd__dlygate4sd2_1 */
/* removed sky130_fd_sc_hd__dlygate4sd3_1 */
/* removed sky130_fd_sc_hd__dlymetal6s2s_1 */
/* removed sky130_fd_sc_hd__dlymetal6s4s_1 */
/* removed sky130_fd_sc_hd__dlymetal6s6s_1 */
/* removed sky130_fd_sc_hd__ebufn_1 */
/* removed sky130_fd_sc_hd__ebufn_2 */
/* removed sky130_fd_sc_hd__ebufn_4 */
/* removed sky130_fd_sc_hd__ebufn_8 */
/* removed sky130_fd_sc_hd__edfxbp_1 */
/* removed sky130_fd_sc_hd__edfxtp_1 */
/* removed sky130_fd_sc_hd__einvn_0 */
/* removed sky130_fd_sc_hd__einvn_1 */
/* removed sky130_fd_sc_hd__einvn_2 */
/* removed sky130_fd_sc_hd__einvn_4 */
/* removed sky130_fd_sc_hd__einvn_8 */
/* removed sky130_fd_sc_hd__einvp_1 */
/* removed sky130_fd_sc_hd__einvp_2 */
/* removed sky130_fd_sc_hd__einvp_4 */
/* removed sky130_fd_sc_hd__einvp_8 */
/* removed sky130_fd_sc_hd__fa_1 */
/* removed sky130_fd_sc_hd__fa_2 */
/* removed sky130_fd_sc_hd__fa_4 */
/* removed sky130_fd_sc_hd__fah_1 */
/* removed sky130_fd_sc_hd__fahcin_1 */
/* removed sky130_fd_sc_hd__fahcon_1 */
/* removed sky130_fd_sc_hd__ha_1 */
/* removed sky130_fd_sc_hd__ha_2 */
/* removed sky130_fd_sc_hd__ha_4 */
/* removed sky130_fd_sc_hd__inv_1 */
/* removed sky130_fd_sc_hd__inv_12 */
cell ("sky130_fd_sc_hd__inv_16") {
leakage_power () {
value : 0.0106779000;
when : "A";
}
leakage_power () {
value : 0.0088949000;
when : "!A";
}
area : 20.019200000;
cell_footprint : "sky130_fd_sc_hd__inv";
cell_leakage_power : 0.0097863830;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0334420000;
clock : "false";
direction : "input";
fall_capacitance : 0.0318840000;
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0349990000;
}
pin ("Y") {
direction : "output";
function : "(!A)";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0019354060, 0.0074915960, 0.0289985600, 0.1122480000, 0.4344910000, 1.6818330000");
values("-0.030674500, -0.032467200, -0.039748000, -0.071664200, -0.204577500, -0.725957200, -2.746443500", \
"-0.034291900, -0.036135800, -0.043434800, -0.074455100, -0.205774200, -0.726450400, -2.746769000", \
"-0.037187700, -0.039198400, -0.047016800, -0.078200400, -0.207941200, -0.727231500, -2.747056700", \
"-0.038362800, -0.040592900, -0.049030100, -0.081728000, -0.211029500, -0.728448800, -2.747455000", \
"-0.033762100, -0.036185600, -0.045457900, -0.079643200, -0.213383200, -0.730580500, -2.748166300", \
"-0.022081300, -0.024792500, -0.035079300, -0.072970700, -0.210198800, -0.728775500, -2.748363600", \
"0.0097426000, 0.0063139000, -0.005425700, -0.046842300, -0.193580100, -0.723880000, -2.746717800");
}
related_pin : "A";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0019354060, 0.0074915960, 0.0289985600, 0.1122480000, 0.4344910000, 1.6818330000");
values("0.0967107000, 0.0997483000, 0.1109936000, 0.1501386000, 0.2871024000, 0.8057040000, 2.7963814000", \
"0.0944329000, 0.0971734000, 0.1076123000, 0.1461634000, 0.2850891000, 0.8039616000, 2.8025622000", \
"0.0936338000, 0.0960910000, 0.1057220000, 0.1422643000, 0.2814786000, 0.8028242000, 2.8037671000", \
"0.0941157000, 0.0965133000, 0.1071366000, 0.1409863000, 0.2776375000, 0.7989318000, 2.7958338000", \
"0.1002031000, 0.1024628000, 0.1110491000, 0.1432086000, 0.2768670000, 0.7948048000, 2.7899073000", \
"0.1095516000, 0.1114562000, 0.1191311000, 0.1511014000, 0.2812488000, 0.7933454000, 2.7910548000", \
"0.1374594000, 0.1389749000, 0.1452969000, 0.1735626000, 0.2969403000, 0.8050621000, 2.7883007000");
}
}
max_capacitance : 1.6818330000;
max_transition : 1.5007520000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0019354100, 0.0074916000, 0.0289986000, 0.1122480000, 0.4344910000, 1.6818300000");
values("0.0152930000, 0.0158333000, 0.0177892000, 0.0242292000, 0.0463429000, 0.1299108000, 0.4538259000", \
"0.0188253000, 0.0194521000, 0.0215532000, 0.0281749000, 0.0504815000, 0.1343457000, 0.4582893000", \
"0.0229632000, 0.0239117000, 0.0272025000, 0.0368235000, 0.0608603000, 0.1450231000, 0.4687391000", \
"0.0254070000, 0.0268786000, 0.0320302000, 0.0471170000, 0.0826403000, 0.1696619000, 0.4924210000", \
"0.0210408000, 0.0233437000, 0.0313762000, 0.0549018000, 0.1106650000, 0.2270754000, 0.5504865000", \
"-0.003563900, 1.840000e-05, 0.0123568000, 0.0487574000, 0.1365364000, 0.3142955000, 0.6832507000", \
"-0.080721300, -0.075057500, -0.056605800, -0.000913900, 0.1341887000, 0.4148222000, 0.9557204000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0019354100, 0.0074916000, 0.0289986000, 0.1122480000, 0.4344910000, 1.6818300000");
values("0.0244637000, 0.0256173000, 0.0298987000, 0.0444630000, 0.0949800000, 0.2856741000, 1.0256670000", \
"0.0293181000, 0.0304239000, 0.0344107000, 0.0487690000, 0.0998363000, 0.2905712000, 1.0239755000", \
"0.0418382000, 0.0431627000, 0.0475056000, 0.0612770000, 0.1121823000, 0.3035129000, 1.0346417000", \
"0.0616400000, 0.0637383000, 0.0709355000, 0.0910300000, 0.1431699000, 0.3341031000, 1.0729195000", \
"0.0944502000, 0.0976167000, 0.1086020000, 0.1408403000, 0.2159773000, 0.4070581000, 1.1408607000", \
"0.1531090000, 0.1576815000, 0.1737020000, 0.2227999000, 0.3401355000, 0.5801024000, 1.3090837000", \
"0.2686322000, 0.2748245000, 0.2970122000, 0.3678749000, 0.5477521000, 0.9217622000, 1.7041840000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0019354100, 0.0074916000, 0.0289986000, 0.1122480000, 0.4344910000, 1.6818300000");
values("0.0065605000, 0.0070460000, 0.0090156000, 0.0170993000, 0.0492442000, 0.1747627000, 0.6592694000", \
"0.0077545000, 0.0081687000, 0.0098562000, 0.0173326000, 0.0493403000, 0.1748288000, 0.6599624000", \
"0.0122431000, 0.0128359000, 0.0151293000, 0.0225164000, 0.0503217000, 0.1746397000, 0.6601223000", \
"0.0208532000, 0.0216998000, 0.0249784000, 0.0349794000, 0.0630429000, 0.1749580000, 0.6604945000", \
"0.0364075000, 0.0379101000, 0.0430022000, 0.0577685000, 0.0953186000, 0.1974999000, 0.6590105000", \
"0.0650616000, 0.0669402000, 0.0747888000, 0.0981152000, 0.1536593000, 0.2800197000, 0.6833182000", \
"0.1193746000, 0.1228139000, 0.1347092000, 0.1710026000, 0.2540403000, 0.4320174000, 0.8453999000");
}
related_pin : "A";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0019354100, 0.0074916000, 0.0289986000, 0.1122480000, 0.4344910000, 1.6818300000");
values("0.0138044000, 0.0150460000, 0.0198161000, 0.0386202000, 0.1116631000, 0.3939241000, 1.4836817000", \
"0.0140210000, 0.0152017000, 0.0199031000, 0.0385668000, 0.1113631000, 0.3935619000, 1.4872183000", \
"0.0188524000, 0.0196174000, 0.0230981000, 0.0391955000, 0.1115320000, 0.3936547000, 1.4838160000", \
"0.0307897000, 0.0320439000, 0.0370288000, 0.0515338000, 0.1128347000, 0.3925901000, 1.4850964000", \
"0.0495808000, 0.0517571000, 0.0593213000, 0.0811927000, 0.1383305000, 0.3924309000, 1.4797776000", \
"0.0802118000, 0.0836347000, 0.0956465000, 0.1303082000, 0.2111467000, 0.4273958000, 1.4814652000", \
"0.1361709000, 0.1405824000, 0.1566964000, 0.2082857000, 0.3366694000, 0.5981944000, 1.5007523000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
}
}
cell ("sky130_fd_sc_hd__inv_2") {
leakage_power () {
value : 0.0079423000;
when : "A";
}
leakage_power () {
value : 0.0005535000;
when : "!A";
}
area : 3.7536000000;
cell_footprint : "sky130_fd_sc_hd__inv";
cell_leakage_power : 0.0042479070;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0044590000;
clock : "false";
direction : "input";
fall_capacitance : 0.0042760000;
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0046420000;
}
pin ("Y") {
direction : "output";
function : "(!A)";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0014764110, 0.0043595770, 0.0128730500, 0.0380118100, 0.1122421000, 0.3314308000");
values("-0.004872900, -0.006180700, -0.010453400, -0.023931400, -0.064515700, -0.184718100, -0.539778600", \
"-0.005354600, -0.006681800, -0.010863900, -0.024165200, -0.064604400, -0.184753500, -0.539797800", \
"-0.005688900, -0.007092100, -0.011387800, -0.024547400, -0.064787200, -0.184817000, -0.539825700", \
"-0.005417200, -0.007053000, -0.011521900, -0.024937800, -0.065092600, -0.184962400, -0.539885700", \
"-0.004931500, -0.006566900, -0.011345100, -0.024948000, -0.065357200, -0.185164900, -0.539963400", \
"-0.002729700, -0.004576800, -0.009821000, -0.023764500, -0.064850300, -0.185216200, -0.540020800", \
"0.0026942000, 0.0005644000, -0.005112800, -0.020675300, -0.062857500, -0.184263200, -0.539774700");
}
related_pin : "A";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0014764110, 0.0043595770, 0.0128730500, 0.0380118100, 0.1122421000, 0.3314308000");
values("0.0129073000, 0.0147627000, 0.0198879000, 0.0340719000, 0.0747268000, 0.1938930000, 0.5478985000", \
"0.0126104000, 0.0143517000, 0.0194124000, 0.0336506000, 0.0744926000, 0.1940106000, 0.5436985000", \
"0.0125047000, 0.0143132000, 0.0190767000, 0.0331759000, 0.0742976000, 0.1924800000, 0.5446534000", \
"0.0126884000, 0.0144153000, 0.0189569000, 0.0328509000, 0.0734457000, 0.1937375000, 0.5453426000", \
"0.0135492000, 0.0149941000, 0.0194349000, 0.0329725000, 0.0731844000, 0.1931974000, 0.5464750000", \
"0.0149532000, 0.0163193000, 0.0205688000, 0.0337550000, 0.0737759000, 0.1921072000, 0.5425809000", \
"0.0206515000, 0.0217040000, 0.0253143000, 0.0377926000, 0.0767143000, 0.1949605000, 0.5439724000");
}
}
max_capacitance : 0.3314310000;
max_transition : 1.4978170000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014764100, 0.0043595800, 0.0128730000, 0.0380118000, 0.1122420000, 0.3314310000");
values("0.0119446000, 0.0137840000, 0.0188149000, 0.0327326000, 0.0729366000, 0.1922578000, 0.5454940000", \
"0.0157429000, 0.0180991000, 0.0233701000, 0.0374622000, 0.0781416000, 0.1961942000, 0.5456924000", \
"0.0203785000, 0.0240707000, 0.0324561000, 0.0487044000, 0.0892794000, 0.2076327000, 0.5571236000", \
"0.0248258000, 0.0307580000, 0.0439276000, 0.0689207000, 0.1156458000, 0.2359077000, 0.5860208000", \
"0.0262075000, 0.0354142000, 0.0559354000, 0.0961932000, 0.1673476000, 0.2955090000, 0.6455342000", \
"0.0157468000, 0.0301166000, 0.0619237000, 0.1246900000, 0.2371069000, 0.4263973000, 0.7871234000", \
"-0.027559700, -0.005392600, 0.0434940000, 0.1403033000, 0.3151930000, 0.6122458000, 1.1101468000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014764100, 0.0043595800, 0.0128730000, 0.0380118000, 0.1122420000, 0.3314310000");
values("0.0175587000, 0.0211484000, 0.0310262000, 0.0584472000, 0.1371815000, 0.3662591000, 1.0435811000", \
"0.0230691000, 0.0264576000, 0.0360719000, 0.0633862000, 0.1425054000, 0.3734522000, 1.0627602000", \
"0.0339028000, 0.0388338000, 0.0493056000, 0.0760130000, 0.1545360000, 0.3864530000, 1.0598706000", \
"0.0498930000, 0.0577606000, 0.0750599000, 0.1075488000, 0.1862726000, 0.4152755000, 1.0963705000", \
"0.0744968000, 0.0868541000, 0.1145327000, 0.1673453000, 0.2583118000, 0.4867961000, 1.1619753000", \
"0.1156072000, 0.1340389000, 0.1760385000, 0.2596266000, 0.4057179000, 0.6556388000, 1.3298844000", \
"0.1928740000, 0.2183706000, 0.2783548000, 0.4048308000, 0.6381117000, 1.0233501000, 1.7170592000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014764100, 0.0043595800, 0.0128730000, 0.0380118000, 0.1122420000, 0.3314310000");
values("0.0048909000, 0.0069497000, 0.0130525000, 0.0311683000, 0.0847074000, 0.2419201000, 0.7066229000", \
"0.0069554000, 0.0084546000, 0.0135709000, 0.0312350000, 0.0846748000, 0.2428864000, 0.7101457000", \
"0.0116927000, 0.0141965000, 0.0197198000, 0.0335758000, 0.0847194000, 0.2435099000, 0.7091378000", \
"0.0203289000, 0.0240677000, 0.0323348000, 0.0495335000, 0.0902360000, 0.2418217000, 0.7064893000", \
"0.0354595000, 0.0413981000, 0.0546071000, 0.0793592000, 0.1253289000, 0.2517686000, 0.7084765000", \
"0.0637251000, 0.0731900000, 0.0941919000, 0.1324136000, 0.2017282000, 0.3250714000, 0.7157009000", \
"0.1183897000, 0.1329738000, 0.1635937000, 0.2237756000, 0.3292207000, 0.5112171000, 0.8526758000");
}
related_pin : "A";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014764100, 0.0043595800, 0.0128730000, 0.0380118000, 0.1122420000, 0.3314310000");
values("0.0102030000, 0.0145665000, 0.0274391000, 0.0655113000, 0.1778623000, 0.5106880000, 1.4869649000", \
"0.0107758000, 0.0146772000, 0.0273936000, 0.0654823000, 0.1774442000, 0.5091666000, 1.4832895000", \
"0.0176433000, 0.0206470000, 0.0298357000, 0.0653682000, 0.1774688000, 0.5082211000, 1.4799179000", \
"0.0287666000, 0.0338285000, 0.0452178000, 0.0722948000, 0.1771081000, 0.5095251000, 1.4848178000", \
"0.0472331000, 0.0557641000, 0.0742180000, 0.1079812000, 0.1903953000, 0.5072639000, 1.4842672000", \
"0.0774171000, 0.0903774000, 0.1203311000, 0.1758978000, 0.2700296000, 0.5253227000, 1.4794522000", \
"0.1325493000, 0.1519492000, 0.1976181000, 0.2847110000, 0.4388531000, 0.6966839000, 1.4978170000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
}
}
cell ("sky130_fd_sc_hd__inv_4") {
leakage_power () {
value : 0.0074091000;
when : "A";
}
leakage_power () {
value : 0.0015164000;
when : "!A";
}
area : 6.2560000000;
cell_footprint : "sky130_fd_sc_hd__inv";
cell_leakage_power : 0.0044627330;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0090040000;
clock : "false";
direction : "input";
fall_capacitance : 0.0086000000;
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0094080000;
}
pin ("Y") {
direction : "output";
function : "(!A)";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0016127510, 0.0052019320, 0.0167788400, 0.0541201900, 0.1745648000, 0.5630591000");
values("-0.008451400, -0.009880900, -0.014971700, -0.032921400, -0.093053200, -0.288042800, -0.917349100", \
"-0.009451600, -0.010960200, -0.015935200, -0.033523000, -0.093247600, -0.288115500, -0.917391700", \
"-0.010269900, -0.011829900, -0.016978200, -0.034467100, -0.093737800, -0.288264700, -0.917419800", \
"-0.010436900, -0.012138800, -0.017483800, -0.035422700, -0.094399700, -0.288565100, -0.917547500", \
"-0.009055600, -0.010931200, -0.016856100, -0.035390000, -0.095277800, -0.289054500, -0.917716900", \
"-0.005590600, -0.006977500, -0.013778700, -0.032831400, -0.094059800, -0.289007700, -0.917863100", \
"0.0043734000, 0.0017246000, -0.005377400, -0.027012600, -0.090442300, -0.284430300, -0.916375900");
}
related_pin : "A";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0016127510, 0.0052019320, 0.0167788400, 0.0541201900, 0.1745648000, 0.5630591000");
values("0.0256205000, 0.0278349000, 0.0345681000, 0.0543527000, 0.1148598000, 0.3082690000, 0.9322412000", \
"0.0250545000, 0.0271237000, 0.0334835000, 0.0534180000, 0.1143068000, 0.3084980000, 0.9313100000", \
"0.0247994000, 0.0267260000, 0.0328323000, 0.0520222000, 0.1131029000, 0.3078423000, 0.9258184000", \
"0.0250401000, 0.0268387000, 0.0326378000, 0.0519108000, 0.1121986000, 0.3066267000, 0.9276555000", \
"0.0263479000, 0.0280637000, 0.0335432000, 0.0519775000, 0.1113991000, 0.3069891000, 0.9282885000", \
"0.0290579000, 0.0307895000, 0.0351982000, 0.0532784000, 0.1129382000, 0.3043172000, 0.9301420000", \
"0.0402571000, 0.0406549000, 0.0445595000, 0.0624209000, 0.1186249000, 0.3124213000, 0.9257100000");
}
}
max_capacitance : 0.5630590000;
max_transition : 1.5002550000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016127500, 0.0052019300, 0.0167788000, 0.0541202000, 0.1745650000, 0.5630590000");
values("0.0119441000, 0.0131118000, 0.0165791000, 0.0269376000, 0.0588054000, 0.1629306000, 0.4909586000", \
"0.0156473000, 0.0171343000, 0.0209993000, 0.0315480000, 0.0634309000, 0.1663003000, 0.4954380000", \
"0.0198136000, 0.0221854000, 0.0283758000, 0.0422551000, 0.0746385000, 0.1770034000, 0.5087731000", \
"0.0232107000, 0.0269733000, 0.0367368000, 0.0585419000, 0.1002393000, 0.2026346000, 0.5338917000", \
"0.0216104000, 0.0276301000, 0.0429641000, 0.0770100000, 0.1427411000, 0.2629926000, 0.5917846000", \
"0.0048442000, 0.0139726000, 0.0377789000, 0.0904055000, 0.1929853000, 0.3781642000, 0.7304123000", \
"-0.053742200, -0.039839200, -0.003689300, 0.0783581000, 0.2392779000, 0.5265917000, 1.0342573000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016127500, 0.0052019300, 0.0167788000, 0.0541202000, 0.1745650000, 0.5630590000");
values("0.0190114000, 0.0215393000, 0.0291288000, 0.0516131000, 0.1202000000, 0.3388957000, 1.0502127000", \
"0.0245228000, 0.0268413000, 0.0340168000, 0.0565974000, 0.1254323000, 0.3475673000, 1.0493975000", \
"0.0363076000, 0.0395220000, 0.0475006000, 0.0689426000, 0.1381457000, 0.3582837000, 1.0632979000", \
"0.0540201000, 0.0592453000, 0.0722161000, 0.1008018000, 0.1697215000, 0.3898457000, 1.1047234000", \
"0.0821914000, 0.0901583000, 0.1112681000, 0.1572504000, 0.2433978000, 0.4624623000, 1.1637457000", \
"0.1312726000, 0.1430227000, 0.1746365000, 0.2465241000, 0.3849189000, 0.6321969000, 1.3318200000", \
"0.2255666000, 0.2420417000, 0.2873321000, 0.3934108000, 0.6110205000, 1.0017863000, 1.7277610000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016127500, 0.0052019300, 0.0167788000, 0.0541202000, 0.1745650000, 0.5630590000");
values("0.0049571000, 0.0062375000, 0.0103719000, 0.0238937000, 0.0668871000, 0.2087285000, 0.6548602000", \
"0.0070977000, 0.0080130000, 0.0112236000, 0.0238611000, 0.0670169000, 0.2058758000, 0.6553406000", \
"0.0116617000, 0.0131789000, 0.0173638000, 0.0276296000, 0.0669909000, 0.2060657000, 0.6575888000", \
"0.0202925000, 0.0226678000, 0.0287989000, 0.0429853000, 0.0756389000, 0.2062924000, 0.6550083000", \
"0.0354624000, 0.0390051000, 0.0489234000, 0.0703691000, 0.1125576000, 0.2207891000, 0.6543583000", \
"0.0636220000, 0.0696130000, 0.0849741000, 0.1179729000, 0.1810032000, 0.3041805000, 0.6730234000", \
"0.1177379000, 0.1271844000, 0.1500653000, 0.2014630000, 0.2996650000, 0.4817202000, 0.8318283000");
}
related_pin : "A";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016127500, 0.0052019300, 0.0167788000, 0.0541202000, 0.1745650000, 0.5630590000");
values("0.0114302000, 0.0142771000, 0.0237116000, 0.0540961000, 0.1514573000, 0.4651357000, 1.4865850000", \
"0.0115673000, 0.0143379000, 0.0237317000, 0.0540688000, 0.1513773000, 0.4700103000, 1.4776085000", \
"0.0182523000, 0.0199466000, 0.0265154000, 0.0540666000, 0.1514956000, 0.4667554000, 1.4746315000", \
"0.0294702000, 0.0329037000, 0.0417888000, 0.0631231000, 0.1514760000, 0.4667907000, 1.4800738000", \
"0.0482627000, 0.0545717000, 0.0680175000, 0.0974604000, 0.1686737000, 0.4681324000, 1.4801701000", \
"0.0791388000, 0.0869239000, 0.1099131000, 0.1596422000, 0.2484881000, 0.4876861000, 1.4865859000", \
"0.1335121000, 0.1448872000, 0.1779738000, 0.2570885000, 0.4026016000, 0.6618689000, 1.5002554000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
}
}
/* removed sky130_fd_sc_hd__inv_6 */
cell ("sky130_fd_sc_hd__inv_8") {
leakage_power () {
value : 0.0082791000;
when : "A";
}
leakage_power () {
value : 0.0038210000;
when : "!A";
}
area : 11.260800000;
cell_footprint : "sky130_fd_sc_hd__inv";
cell_leakage_power : 0.0060500540;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0176530000;
clock : "false";
direction : "input";
fall_capacitance : 0.0168400000;
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0184670000;
}
pin ("Y") {
direction : "output";
function : "(!A)";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0017851090, 0.0063732260, 0.0227538000, 0.0812360200, 0.2900303000, 1.0354710000");
values("-0.015426100, -0.017031700, -0.023203100, -0.048025700, -0.141756500, -0.479709900, -1.687156600", \
"-0.017287400, -0.019023500, -0.025157200, -0.049371900, -0.142235500, -0.479822600, -1.687276100", \
"-0.018889200, -0.020716100, -0.027260400, -0.051365100, -0.143363300, -0.480162500, -1.687365700", \
"-0.019349100, -0.021354700, -0.028201800, -0.053315000, -0.145097800, -0.480914900, -1.687397500", \
"-0.016866600, -0.019047500, -0.026670600, -0.052967000, -0.146290000, -0.481858200, -1.687955100", \
"-0.009756300, -0.012544400, -0.021248200, -0.049267200, -0.143573400, -0.482199100, -1.688358100", \
"0.0074301000, 0.0042855000, -0.005131200, -0.036199500, -0.136855400, -0.478916000, -1.688094700");
}
related_pin : "A";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0017851090, 0.0063732260, 0.0227538000, 0.0812360200, 0.2900303000, 1.0354710000");
values("0.0500517000, 0.0526781000, 0.0616626000, 0.0907907000, 0.1858872000, 0.5229385000, 1.7159675000", \
"0.0489046000, 0.0513336000, 0.0597713000, 0.0884790000, 0.1843756000, 0.5199276000, 1.7200452000", \
"0.0488000000, 0.0510048000, 0.0586787000, 0.0865749000, 0.1839729000, 0.5171257000, 1.7152928000", \
"0.0488373000, 0.0510046000, 0.0583381000, 0.0856850000, 0.1809917000, 0.5195810000, 1.7148138000", \
"0.0509897000, 0.0529823000, 0.0600315000, 0.0859068000, 0.1795073000, 0.5171847000, 1.7103024000", \
"0.0552576000, 0.0570631000, 0.0636992000, 0.0889754000, 0.1826954000, 0.5123181000, 1.7093391000", \
"0.0728096000, 0.0741087000, 0.0795625000, 0.1022556000, 0.1917460000, 0.5219979000, 1.7044405000");
}
}
max_capacitance : 1.0354710000;
max_transition : 1.4996250000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0017851100, 0.0063732300, 0.0227538000, 0.0812360000, 0.2900300000, 1.0354700000");
values("0.0123297000, 0.0130319000, 0.0154135000, 0.0230701000, 0.0489012000, 0.1402316000, 0.4666703000", \
"0.0159471000, 0.0168723000, 0.0196942000, 0.0275204000, 0.0536900000, 0.1451606000, 0.4703811000", \
"0.0197728000, 0.0212245000, 0.0258394000, 0.0373346000, 0.0644274000, 0.1556234000, 0.4815072000", \
"0.0224926000, 0.0248146000, 0.0318879000, 0.0500402000, 0.0889616000, 0.1814948000, 0.5052737000", \
"0.0191159000, 0.0227360000, 0.0337779000, 0.0625044000, 0.1231077000, 0.2404573000, 0.5653590000", \
"-0.002589400, 0.0030309000, 0.0201839000, 0.0651178000, 0.1601613000, 0.3434292000, 0.7014345000", \
"-0.072666000, -0.063999000, -0.037823900, 0.0310945000, 0.1805251000, 0.4688840000, 0.9955849000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0017851100, 0.0063732300, 0.0227538000, 0.0812360000, 0.2900300000, 1.0354700000");
values("0.0202742000, 0.0219295000, 0.0275017000, 0.0455936000, 0.1049458000, 0.3147736000, 1.0550638000", \
"0.0257929000, 0.0273028000, 0.0325167000, 0.0502622000, 0.1101536000, 0.3218706000, 1.0694470000", \
"0.0382296000, 0.0403048000, 0.0461477000, 0.0631958000, 0.1234292000, 0.3310772000, 1.0747949000", \
"0.0571632000, 0.0604406000, 0.0699357000, 0.0942658000, 0.1538116000, 0.3634873000, 1.1030601000", \
"0.0880401000, 0.0931328000, 0.1086796000, 0.1474176000, 0.2291143000, 0.4343165000, 1.1747938000", \
"0.1428338000, 0.1501958000, 0.1732611000, 0.2337166000, 0.3640337000, 0.6095962000, 1.3501105000", \
"0.2501082000, 0.2603140000, 0.2927534000, 0.3813830000, 0.5841018000, 0.9721643000, 1.7479136000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0017851100, 0.0063732300, 0.0227538000, 0.0812360000, 0.2900300000, 1.0354700000");
values("0.0050158000, 0.0057564000, 0.0084430000, 0.0181318000, 0.0525400000, 0.1754386000, 0.6195076000", \
"0.0070648000, 0.0076214000, 0.0095686000, 0.0181883000, 0.0527483000, 0.1765888000, 0.6151475000", \
"0.0116018000, 0.0125604000, 0.0153961000, 0.0233952000, 0.0533055000, 0.1758861000, 0.6146265000", \
"0.0200380000, 0.0216953000, 0.0260132000, 0.0374396000, 0.0647711000, 0.1753940000, 0.6144052000", \
"0.0352642000, 0.0377053000, 0.0447282000, 0.0622156000, 0.1008997000, 0.1941964000, 0.6150727000", \
"0.0632523000, 0.0669490000, 0.0782894000, 0.1059187000, 0.1652254000, 0.2829769000, 0.6345332000", \
"0.1174102000, 0.1230575000, 0.1396005000, 0.1834808000, 0.2740662000, 0.4483394000, 0.8027686000");
}
related_pin : "A";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0017851100, 0.0063732300, 0.0227538000, 0.0812360000, 0.2900300000, 1.0354700000");
values("0.0119599000, 0.0138121000, 0.0203450000, 0.0438593000, 0.1268351000, 0.4257829000, 1.4839129000", \
"0.0120751000, 0.0138756000, 0.0203696000, 0.0437900000, 0.1265724000, 0.4254328000, 1.4898222000", \
"0.0180851000, 0.0192025000, 0.0235640000, 0.0438997000, 0.1280050000, 0.4225611000, 1.4858990000", \
"0.0296468000, 0.0318590000, 0.0383461000, 0.0549473000, 0.1276238000, 0.4272980000, 1.4821471000", \
"0.0485468000, 0.0519465000, 0.0622100000, 0.0877744000, 0.1483328000, 0.4255445000, 1.4844611000", \
"0.0794384000, 0.0848726000, 0.1020007000, 0.1425726000, 0.2278606000, 0.4510004000, 1.4871820000", \
"0.1345213000, 0.1419485000, 0.1656099000, 0.2296689000, 0.3676179000, 0.6189584000, 1.4996250000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
}
}
/* removed sky130_fd_sc_hd__lpflow_bleeder_1 */
/* removed sky130_fd_sc_hd__lpflow_clkbufkapwr_1 */
/* removed sky130_fd_sc_hd__lpflow_clkbufkapwr_16 */
/* removed sky130_fd_sc_hd__lpflow_clkbufkapwr_2 */
/* removed sky130_fd_sc_hd__lpflow_clkbufkapwr_4 */
/* removed sky130_fd_sc_hd__lpflow_clkbufkapwr_8 */
/* removed sky130_fd_sc_hd__lpflow_clkinvkapwr_1 */
/* removed sky130_fd_sc_hd__lpflow_clkinvkapwr_16 */
/* removed sky130_fd_sc_hd__lpflow_clkinvkapwr_2 */
/* removed sky130_fd_sc_hd__lpflow_clkinvkapwr_4 */
/* removed sky130_fd_sc_hd__lpflow_clkinvkapwr_8 */
/* removed sky130_fd_sc_hd__lpflow_decapkapwr_12 */
/* removed sky130_fd_sc_hd__lpflow_decapkapwr_3 */
/* removed sky130_fd_sc_hd__lpflow_decapkapwr_4 */
/* removed sky130_fd_sc_hd__lpflow_decapkapwr_6 */
/* removed sky130_fd_sc_hd__lpflow_decapkapwr_8 */
/* removed sky130_fd_sc_hd__lpflow_inputiso0n_1 */
/* removed sky130_fd_sc_hd__lpflow_inputiso0p_1 */
/* removed sky130_fd_sc_hd__lpflow_inputiso1n_1 */
/* removed sky130_fd_sc_hd__lpflow_inputiso1p_1 */
/* removed sky130_fd_sc_hd__lpflow_inputisolatch_1 */
/* removed sky130_fd_sc_hd__lpflow_isobufsrc_1 */
/* removed sky130_fd_sc_hd__lpflow_isobufsrc_16 */
/* removed sky130_fd_sc_hd__lpflow_isobufsrc_2 */
/* removed sky130_fd_sc_hd__lpflow_isobufsrc_4 */
/* removed sky130_fd_sc_hd__lpflow_isobufsrc_8 */
/* removed sky130_fd_sc_hd__lpflow_isobufsrckapwr_16 */
/* removed sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_1 */
/* removed sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_2 */
/* removed sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_4 */
/* removed sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_4 */
/* removed sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_1 */
/* removed sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_2 */
/* removed sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_4 */
/* removed sky130_fd_sc_hd__macro_sparecell */
/* removed sky130_fd_sc_hd__maj3_1 */
/* removed sky130_fd_sc_hd__maj3_2 */
/* removed sky130_fd_sc_hd__maj3_4 */
/* removed sky130_fd_sc_hd__mux2_1 */
/* removed sky130_fd_sc_hd__mux2_2 */
/* removed sky130_fd_sc_hd__mux2_4 */
/* removed sky130_fd_sc_hd__mux2_8 */
/* removed sky130_fd_sc_hd__mux2i_1 */
/* removed sky130_fd_sc_hd__mux2i_2 */
/* removed sky130_fd_sc_hd__mux2i_4 */
/* removed sky130_fd_sc_hd__mux4_1 */
/* removed sky130_fd_sc_hd__mux4_2 */
/* removed sky130_fd_sc_hd__mux4_4 */
/* removed sky130_fd_sc_hd__nand2_1 */
/* removed sky130_fd_sc_hd__nand2_2 */
cell ("sky130_fd_sc_hd__nand2_4") {
leakage_power () {
value : 0.0019122000;
when : "!A&B";
}
leakage_power () {
value : 0.0168098000;
when : "!A&!B";
}
leakage_power () {
value : 0.0082791000;
when : "A&B";
}
leakage_power () {
value : 0.0014905000;
when : "A&!B";
}
area : 11.260800000;
cell_footprint : "sky130_fd_sc_hd__nand2";
cell_leakage_power : 0.0071228910;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0085370000;
clock : "false";
direction : "input";
fall_capacitance : 0.0082790000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0181423000, 0.0181690000, 0.0182305000, 0.0182212000, 0.0181998000, 0.0181504000, 0.0180365000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.012965000, -0.012981700, -0.013020300, -0.012986000, -0.012906800, -0.012724100, -0.012303100");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0087960000;
}
pin ("B") {
capacitance : 0.0088300000;
clock : "false";
direction : "input";
fall_capacitance : 0.0083880000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0158302000, 0.0158272000, 0.0158201000, 0.0158218000, 0.0158258000, 0.0158349000, 0.0158559000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.015780500, -0.015776400, -0.015766800, -0.015765400, -0.015761800, -0.015753500, -0.015734500");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0092720000;
}
pin ("Y") {
direction : "output";
function : "(!A) | (!B)";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015966190, 0.0050983850, 0.0162803600, 0.0519870600, 0.1660071000, 0.5301002000");
values("0.0092709000, 0.0077009000, 0.0025027000, -0.015025000, -0.072574000, -0.257171200, -0.847001100", \
"0.0082969000, 0.0067735000, 0.0016752000, -0.015512900, -0.072721600, -0.257184300, -0.846950300", \
"0.0074126000, 0.0057640000, 0.0006926000, -0.016316200, -0.073149300, -0.257310100, -0.846966500", \
"0.0068373000, 0.0053001000, -0.000165400, -0.017304700, -0.073918100, -0.257701000, -0.847107100", \
"0.0074511000, 0.0053845000, -0.000227900, -0.018013700, -0.074543500, -0.258244900, -0.847347200", \
"0.0100396000, 0.0088558000, 0.0026606000, -0.016283000, -0.074757700, -0.258933800, -0.847823400", \
"0.0173091000, 0.0151494000, 0.0083075000, -0.011870700, -0.071891100, -0.258441100, -0.848122700");
}
related_pin : "A";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015966190, 0.0050983850, 0.0162803600, 0.0519870600, 0.1660071000, 0.5301002000");
values("0.0091146000, 0.0112783000, 0.0178392000, 0.0369367000, 0.0950041000, 0.2763970000, 0.8621528000", \
"0.0081918000, 0.0102920000, 0.0166638000, 0.0360023000, 0.0947208000, 0.2788672000, 0.8564815000", \
"0.0074836000, 0.0094487000, 0.0154921000, 0.0348579000, 0.0936324000, 0.2760390000, 0.8564804000", \
"0.0075544000, 0.0093879000, 0.0151739000, 0.0334676000, 0.0924796000, 0.2767033000, 0.8606083000", \
"0.0082828000, 0.0100198000, 0.0155066000, 0.0342195000, 0.0906974000, 0.2755006000, 0.8596083000", \
"0.0100462000, 0.0115774000, 0.0168437000, 0.0348121000, 0.0918673000, 0.2721794000, 0.8578122000", \
"0.0211584000, 0.0192862000, 0.0241122000, 0.0402916000, 0.0954585000, 0.2754714000, 0.8561925000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015966190, 0.0050983850, 0.0162803600, 0.0519870600, 0.1660071000, 0.5301002000");
values("0.0124667000, 0.0108860000, 0.0055330000, -0.012192100, -0.069814400, -0.254450100, -0.844262700", \
"0.0115513000, 0.0099962000, 0.0048302000, -0.012614800, -0.070006000, -0.254502500, -0.844283200", \
"0.0105588000, 0.0089911000, 0.0038382000, -0.013366100, -0.070359800, -0.254624800, -0.844307900", \
"0.0098371000, 0.0082435000, 0.0028662000, -0.014336500, -0.071013900, -0.254935600, -0.844440300", \
"0.0103310000, 0.0086112000, 0.0031815000, -0.014982500, -0.071688200, -0.255262900, -0.844565600", \
"0.0110791000, 0.0092420000, 0.0035066000, -0.014649000, -0.072463200, -0.256062100, -0.844943400", \
"0.0162366000, 0.0142006000, 0.0078286000, -0.011624200, -0.070533000, -0.255904100, -0.845158800");
}
related_pin : "B";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015966190, 0.0050983850, 0.0162803600, 0.0519870600, 0.1660071000, 0.5301002000");
values("0.0246982000, 0.0265806000, 0.0324368000, 0.0507196000, 0.1082635000, 0.2906759000, 0.8724890000", \
"0.0236517000, 0.0255482000, 0.0315826000, 0.0501034000, 0.1077713000, 0.2905790000, 0.8720048000", \
"0.0226210000, 0.0245063000, 0.0306335000, 0.0491354000, 0.1071174000, 0.2901346000, 0.8721392000", \
"0.0222230000, 0.0240774000, 0.0298671000, 0.0482436000, 0.1062879000, 0.2891320000, 0.8720190000", \
"0.0233464000, 0.0251660000, 0.0310043000, 0.0490305000, 0.1064789000, 0.2890935000, 0.8712707000", \
"0.0248859000, 0.0265116000, 0.0319589000, 0.0509890000, 0.1085646000, 0.2896306000, 0.8713115000", \
"0.0315546000, 0.0333030000, 0.0386418000, 0.0553548000, 0.1107202000, 0.2930465000, 0.8722406000");
}
}
max_capacitance : 0.5301000000;
max_transition : 1.4986590000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
values("0.0196846000, 0.0214894000, 0.0270948000, 0.0441384000, 0.0963893000, 0.2626743000, 0.7983328000", \
"0.0232463000, 0.0250872000, 0.0307584000, 0.0478779000, 0.1014861000, 0.2674229000, 0.7975810000", \
"0.0301511000, 0.0327263000, 0.0399473000, 0.0575092000, 0.1106251000, 0.2770611000, 0.8073930000", \
"0.0372339000, 0.0410862000, 0.0521713000, 0.0781962000, 0.1340250000, 0.3011591000, 0.8350764000", \
"0.0401552000, 0.0463746000, 0.0631236000, 0.1029376000, 0.1836212000, 0.3545091000, 0.8851356000", \
"0.0282955000, 0.0379621000, 0.0634936000, 0.1240876000, 0.2477358000, 0.4819245000, 1.0147604000", \
"-0.025064200, -0.011335100, 0.0263611000, 0.1176377000, 0.3079844000, 0.6639810000, 1.3116122000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
values("0.0242340000, 0.0269078000, 0.0348598000, 0.0579881000, 0.1288697000, 0.3500559000, 1.0564722000", \
"0.0293365000, 0.0318920000, 0.0395086000, 0.0629239000, 0.1342490000, 0.3580886000, 1.0637792000", \
"0.0424911000, 0.0452213000, 0.0526283000, 0.0759010000, 0.1465874000, 0.3692190000, 1.0762998000", \
"0.0641670000, 0.0686250000, 0.0805502000, 0.1072780000, 0.1773256000, 0.3990797000, 1.1052306000", \
"0.0987517000, 0.1059299000, 0.1248592000, 0.1683010000, 0.2522434000, 0.4750554000, 1.1799575000", \
"0.1581990000, 0.1687093000, 0.1984489000, 0.2672093000, 0.4015263000, 0.6471254000, 1.3525815000", \
"0.2686611000, 0.2839625000, 0.3268878000, 0.4302959000, 0.6430589000, 1.0218250000, 1.7544647000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
values("0.0130327000, 0.0151905000, 0.0223079000, 0.0450649000, 0.1167571000, 0.3458200000, 1.0805018000", \
"0.0131986000, 0.0152698000, 0.0223447000, 0.0449553000, 0.1176859000, 0.3468408000, 1.0782312000", \
"0.0184825000, 0.0208300000, 0.0262382000, 0.0458781000, 0.1173606000, 0.3456738000, 1.0795913000", \
"0.0283107000, 0.0312156000, 0.0391559000, 0.0595476000, 0.1196149000, 0.3465407000, 1.0788846000", \
"0.0473497000, 0.0512064000, 0.0625856000, 0.0893939000, 0.1500424000, 0.3495459000, 1.0761634000", \
"0.0797161000, 0.0861683000, 0.1031389000, 0.1433381000, 0.2262718000, 0.4096607000, 1.0780071000", \
"0.1394519000, 0.1490594000, 0.1757089000, 0.2373024000, 0.3605228000, 0.5942562000, 1.1732155000");
}
related_pin : "A";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
values("0.0163728000, 0.0193365000, 0.0291154000, 0.0602568000, 0.1598662000, 0.4740094000, 1.4826818000", \
"0.0163340000, 0.0193897000, 0.0290425000, 0.0600941000, 0.1596554000, 0.4764955000, 1.4817469000", \
"0.0207267000, 0.0227527000, 0.0305370000, 0.0600965000, 0.1596094000, 0.4769091000, 1.4796694000", \
"0.0344062000, 0.0372092000, 0.0452310000, 0.0666464000, 0.1593882000, 0.4757272000, 1.4806347000", \
"0.0570896000, 0.0618423000, 0.0737096000, 0.1015869000, 0.1730242000, 0.4760021000, 1.4867120000", \
"0.0930665000, 0.1007368000, 0.1208184000, 0.1653146000, 0.2510803000, 0.4943750000, 1.4874799000", \
"0.1562131000, 0.1687451000, 0.1971350000, 0.2695675000, 0.4079915000, 0.6520386000, 1.4986586000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
values("0.0253961000, 0.0272441000, 0.0327091000, 0.0495859000, 0.1016656000, 0.2691097000, 0.7995458000", \
"0.0292059000, 0.0310275000, 0.0367110000, 0.0535885000, 0.1069765000, 0.2727188000, 0.8032400000", \
"0.0360932000, 0.0383465000, 0.0446087000, 0.0620557000, 0.1152077000, 0.2825578000, 0.8124311000", \
"0.0452887000, 0.0484803000, 0.0569603000, 0.0789576000, 0.1344180000, 0.3014440000, 0.8323233000", \
"0.0523876000, 0.0572227000, 0.0711605000, 0.1033989000, 0.1756529000, 0.3473160000, 0.8786184000", \
"0.0445039000, 0.0524351000, 0.0752641000, 0.1267944000, 0.2336107000, 0.4488819000, 0.9877016000", \
"-0.007831800, 0.0051118000, 0.0406924000, 0.1246323000, 0.2935974000, 0.6075307000, 1.2241136000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
values("0.0350992000, 0.0375969000, 0.0449204000, 0.0672063000, 0.1365061000, 0.3554612000, 1.0523779000", \
"0.0401893000, 0.0425308000, 0.0500041000, 0.0725969000, 0.1418545000, 0.3608226000, 1.0587001000", \
"0.0533601000, 0.0556450000, 0.0631076000, 0.0852729000, 0.1554152000, 0.3748254000, 1.0715463000", \
"0.0825839000, 0.0855946000, 0.0945014000, 0.1176278000, 0.1873090000, 0.4060128000, 1.1023487000", \
"0.1305368000, 0.1354267000, 0.1493281000, 0.1848286000, 0.2619334000, 0.4802199000, 1.1769874000", \
"0.2086142000, 0.2163482000, 0.2385785000, 0.2956733000, 0.4165240000, 0.6538708000, 1.3473886000", \
"0.3422315000, 0.3554846000, 0.3890883000, 0.4763761000, 0.6703939000, 1.0324812000, 1.7501620000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
values("0.0130486000, 0.0153045000, 0.0223979000, 0.0449948000, 0.1170474000, 0.3462942000, 1.0776381000", \
"0.0131341000, 0.0153396000, 0.0224098000, 0.0450121000, 0.1170983000, 0.3457965000, 1.0763236000", \
"0.0160618000, 0.0179452000, 0.0240640000, 0.0454251000, 0.1169452000, 0.3460591000, 1.0776199000", \
"0.0239101000, 0.0261751000, 0.0328030000, 0.0527195000, 0.1186293000, 0.3455221000, 1.0775539000", \
"0.0408777000, 0.0438993000, 0.0524337000, 0.0746259000, 0.1377432000, 0.3488929000, 1.0765813000", \
"0.0737383000, 0.0778088000, 0.0899509000, 0.1204960000, 0.1915944000, 0.3861498000, 1.0824506000", \
"0.1393429000, 0.1447637000, 0.1602991000, 0.2029102000, 0.2995143000, 0.5164569000, 1.1353274000");
}
related_pin : "B";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
values("0.0262074000, 0.0290839000, 0.0385814000, 0.0688890000, 0.1665689000, 0.4785676000, 1.4708065000", \
"0.0260423000, 0.0290809000, 0.0385178000, 0.0691440000, 0.1666881000, 0.4777270000, 1.4763981000", \
"0.0268695000, 0.0294992000, 0.0384833000, 0.0690093000, 0.1665566000, 0.4775256000, 1.4712840000", \
"0.0392180000, 0.0418221000, 0.0484628000, 0.0729160000, 0.1667060000, 0.4799063000, 1.4715091000", \
"0.0636660000, 0.0676837000, 0.0785134000, 0.1047819000, 0.1790759000, 0.4781919000, 1.4725076000", \
"0.1038778000, 0.1105205000, 0.1281283000, 0.1701179000, 0.2544870000, 0.4989449000, 1.4719324000", \
"0.1682099000, 0.1790331000, 0.2076618000, 0.2767220000, 0.4093141000, 0.6541236000, 1.4922104000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
}
}
/* removed sky130_fd_sc_hd__nand2_8 */
/* removed sky130_fd_sc_hd__nand2b_1 */
/* removed sky130_fd_sc_hd__nand2b_2 */
/* removed sky130_fd_sc_hd__nand2b_4 */
/* removed sky130_fd_sc_hd__nand3_1 */
/* removed sky130_fd_sc_hd__nand3_2 */
cell ("sky130_fd_sc_hd__nand3_4") {
leakage_power () {
value : 0.0001713000;
when : "!A&!B&C";
}
leakage_power () {
value : 9.9474228e-05;
when : "!A&!B&!C";
}
leakage_power () {
value : 0.0015251000;
when : "!A&B&C";
}
leakage_power () {
value : 0.0001757000;
when : "!A&B&!C";
}
leakage_power () {
value : 0.0014820000;
when : "A&!B&C";
}
leakage_power () {
value : 0.0001986000;
when : "A&!B&!C";
}
leakage_power () {
value : 0.0155295000;
when : "A&B&C";
}
leakage_power () {
value : 0.0014760000;
when : "A&B&!C";
}
area : 17.516800000;
cell_footprint : "sky130_fd_sc_hd__nand3";
cell_leakage_power : 0.0025822140;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0086630000;
clock : "false";
direction : "input";
fall_capacitance : 0.0084820000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0186038000, 0.0186415000, 0.0187282000, 0.0187153000, 0.0186854000, 0.0186164000, 0.0184575000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.013647500, -0.013592800, -0.013466800, -0.013441300, -0.013382400, -0.013246700, -0.012933900");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0088430000;
}
pin ("B") {
capacitance : 0.0085870000;
clock : "false";
direction : "input";
fall_capacitance : 0.0082850000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0171354000, 0.0171216000, 0.0170895000, 0.0171503000, 0.0172903000, 0.0176130000, 0.0183568000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.015922200, -0.015897700, -0.015841300, -0.015836700, -0.015826200, -0.015802000, -0.015746300");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0088890000;
}
pin ("C") {
capacitance : 0.0087760000;
clock : "false";
direction : "input";
fall_capacitance : 0.0083260000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0157436000, 0.0157321000, 0.0157057000, 0.0157098000, 0.0157192000, 0.0157409000, 0.0157910000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.015691500, -0.015690900, -0.015689700, -0.015690600, -0.015692700, -0.015697700, -0.015709000");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0092250000;
}
pin ("Y") {
direction : "output";
function : "(!A) | (!B) | (!C)";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015647370, 0.0048968030, 0.0153244200, 0.0479573500, 0.1500813000, 0.4696754000");
values("0.0148238000, 0.0132860000, 0.0082393000, -0.008183700, -0.060750800, -0.226094800, -0.743746200", \
"0.0138061000, 0.0122942000, 0.0073528000, -0.008774400, -0.061012000, -0.226236100, -0.743880400", \
"0.0125288000, 0.0109903000, 0.0060999000, -0.009828900, -0.061658300, -0.226563600, -0.744066700", \
"0.0119390000, 0.0102876000, 0.0050808000, -0.010900500, -0.062536400, -0.226944100, -0.744244600", \
"0.0118880000, 0.0101811000, 0.0048744000, -0.011581300, -0.063419000, -0.227617300, -0.744549000", \
"0.0141030000, 0.0123688000, 0.0068597000, -0.010015400, -0.062998100, -0.228236400, -0.745082400", \
"0.0212559000, 0.0196006000, 0.0135686000, -0.005512500, -0.060148100, -0.226065800, -0.744652200");
}
related_pin : "A";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015647370, 0.0048968030, 0.0153244200, 0.0479573500, 0.1500813000, 0.4696754000");
values("0.0123830000, 0.0144335000, 0.0204769000, 0.0380743000, 0.0910411000, 0.2553705000, 0.7636961000", \
"0.0114321000, 0.0134404000, 0.0195086000, 0.0374665000, 0.0908859000, 0.2550968000, 0.7633567000", \
"0.0105647000, 0.0124402000, 0.0183613000, 0.0362378000, 0.0896426000, 0.2563069000, 0.7686824000", \
"0.0101080000, 0.0119612000, 0.0175856000, 0.0350887000, 0.0887259000, 0.2552921000, 0.7722336000", \
"0.0111988000, 0.0128972000, 0.0180674000, 0.0346287000, 0.0869915000, 0.2538234000, 0.7661253000", \
"0.0124349000, 0.0140626000, 0.0192018000, 0.0365230000, 0.0890295000, 0.2517047000, 0.7659576000", \
"0.0205954000, 0.0213936000, 0.0260153000, 0.0412429000, 0.0911729000, 0.2562551000, 0.7657882000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015647370, 0.0048968030, 0.0153244200, 0.0479573500, 0.1500813000, 0.4696754000");
values("0.0177715000, 0.0161247000, 0.0108678000, -0.005797000, -0.058521300, -0.223936400, -0.741606900", \
"0.0169147000, 0.0153343000, 0.0102336000, -0.006199000, -0.058716800, -0.223995800, -0.741633900", \
"0.0157981000, 0.0142461000, 0.0092353000, -0.006948100, -0.059119600, -0.224190200, -0.741785400", \
"0.0148902000, 0.0132826000, 0.0082118000, -0.007933100, -0.059765500, -0.224464700, -0.741899100", \
"0.0146943000, 0.0130180000, 0.0077961000, -0.008573000, -0.060486000, -0.224947500, -0.742052700", \
"0.0154620000, 0.0137562000, 0.0082897000, -0.008485400, -0.061302400, -0.225651500, -0.742607700", \
"0.0196160000, 0.0176976000, 0.0117613000, -0.005998100, -0.059636600, -0.225641500, -0.742782400");
}
related_pin : "B";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015647370, 0.0048968030, 0.0153244200, 0.0479573500, 0.1500813000, 0.4696754000");
values("0.0261560000, 0.0279487000, 0.0334644000, 0.0504479000, 0.1029416000, 0.2663360000, 0.7774018000", \
"0.0252622000, 0.0270793000, 0.0326903000, 0.0499507000, 0.1027871000, 0.2662114000, 0.7770667000", \
"0.0241001000, 0.0259593000, 0.0317359000, 0.0491697000, 0.1022096000, 0.2662915000, 0.7774053000", \
"0.0233544000, 0.0252897000, 0.0308375000, 0.0480021000, 0.1011163000, 0.2652256000, 0.7762032000", \
"0.0232486000, 0.0249626000, 0.0303128000, 0.0471554000, 0.0998599000, 0.2642862000, 0.7756777000", \
"0.0249198000, 0.0264727000, 0.0320165000, 0.0485873000, 0.1013283000, 0.2645859000, 0.7747176000", \
"0.0297222000, 0.0311918000, 0.0361543000, 0.0519407000, 0.1030897000, 0.2652951000, 0.7737678000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015647370, 0.0048968030, 0.0153244200, 0.0479573500, 0.1500813000, 0.4696754000");
values("0.0172616000, 0.0156488000, 0.0103614000, -0.006312100, -0.059099300, -0.224514100, -0.742150900", \
"0.0164811000, 0.0148750000, 0.0097771000, -0.006712200, -0.059248200, -0.224574200, -0.742268800", \
"0.0155140000, 0.0139388000, 0.0089018000, -0.007351100, -0.059571400, -0.224705500, -0.742320500", \
"0.0146571000, 0.0130339000, 0.0079425000, -0.008291900, -0.060179900, -0.224957000, -0.742394500", \
"0.0146066000, 0.0127506000, 0.0074676000, -0.008939300, -0.060870000, -0.225245300, -0.742517000", \
"0.0147590000, 0.0131024000, 0.0077270000, -0.008992100, -0.061685800, -0.226126000, -0.743031600", \
"0.0179792000, 0.0160696000, 0.0103607000, -0.007113100, -0.060446700, -0.226088900, -0.743204600");
}
related_pin : "C";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015647370, 0.0048968030, 0.0153244200, 0.0479573500, 0.1500813000, 0.4696754000");
values("0.0385330000, 0.0402708000, 0.0457332000, 0.0627508000, 0.1156065000, 0.2791567000, 0.7904297000", \
"0.0377395000, 0.0395026000, 0.0449968000, 0.0621528000, 0.1151536000, 0.2789635000, 0.7896619000", \
"0.0368356000, 0.0386319000, 0.0441755000, 0.0614560000, 0.1146391000, 0.2788045000, 0.7897168000", \
"0.0360106000, 0.0377415000, 0.0433576000, 0.0606083000, 0.1139195000, 0.2778599000, 0.7891288000", \
"0.0358799000, 0.0376210000, 0.0431625000, 0.0599462000, 0.1132483000, 0.2771696000, 0.7896121000", \
"0.0397742000, 0.0415249000, 0.0468238000, 0.0612678000, 0.1136505000, 0.2773930000, 0.7889463000", \
"0.0441251000, 0.0454404000, 0.0504740000, 0.0665281000, 0.1181064000, 0.2806854000, 0.7891014000");
}
}
max_capacitance : 0.4696750000;
max_transition : 1.5000220000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015647400, 0.0048968000, 0.0153244000, 0.0479573000, 0.1500810000, 0.4696750000");
values("0.0302676000, 0.0329060000, 0.0406888000, 0.0645687000, 0.1361186000, 0.3590482000, 1.0648394000", \
"0.0331539000, 0.0358655000, 0.0438181000, 0.0677186000, 0.1406878000, 0.3630337000, 1.0602202000", \
"0.0421428000, 0.0447874000, 0.0524350000, 0.0765361000, 0.1491480000, 0.3731184000, 1.0701783000", \
"0.0555814000, 0.0595294000, 0.0703919000, 0.0987270000, 0.1712134000, 0.3956915000, 1.0931490000", \
"0.0685256000, 0.0747302000, 0.0915916000, 0.1342628000, 0.2242455000, 0.4477924000, 1.1509889000", \
"0.0735063000, 0.0824164000, 0.1078502000, 0.1712698000, 0.3066237000, 0.5700685000, 1.2707281000", \
"0.0506534000, 0.0640933000, 0.1009098000, 0.1936399000, 0.3981120000, 0.7923255000, 1.5549986000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015647400, 0.0048968000, 0.0153244000, 0.0479573000, 0.1500810000, 0.4696750000");
values("0.0277117000, 0.0300593000, 0.0371650000, 0.0576961000, 0.1188868000, 0.3099523000, 0.9046163000", \
"0.0327574000, 0.0350679000, 0.0420725000, 0.0628037000, 0.1248805000, 0.3176835000, 0.9079208000", \
"0.0459637000, 0.0481965000, 0.0550020000, 0.0757706000, 0.1377625000, 0.3288765000, 0.9247297000", \
"0.0692441000, 0.0728561000, 0.0828008000, 0.1065208000, 0.1685722000, 0.3593920000, 0.9526359000", \
"0.1052746000, 0.1112052000, 0.1270643000, 0.1652565000, 0.2414686000, 0.4322622000, 1.0224208000", \
"0.1632590000, 0.1725183000, 0.1978565000, 0.2580154000, 0.3798337000, 0.6000623000, 1.1929892000", \
"0.2647666000, 0.2775693000, 0.3151261000, 0.4065552000, 0.5990414000, 0.9541149000, 1.5856080000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015647400, 0.0048968000, 0.0153244000, 0.0479573000, 0.1500810000, 0.4696750000");
values("0.0285984000, 0.0319071000, 0.0420519000, 0.0740418000, 0.1729742000, 0.4821433000, 1.4601245000", \
"0.0282909000, 0.0316011000, 0.0418894000, 0.0736938000, 0.1729777000, 0.4813023000, 1.4474754000", \
"0.0305539000, 0.0333223000, 0.0424051000, 0.0733760000, 0.1727166000, 0.4813105000, 1.4491495000", \
"0.0417622000, 0.0456030000, 0.0555326000, 0.0808436000, 0.1729255000, 0.4829409000, 1.4512634000", \
"0.0635358000, 0.0678036000, 0.0803162000, 0.1129683000, 0.1935836000, 0.4844428000, 1.4500522000", \
"0.1023974000, 0.1095058000, 0.1265735000, 0.1717025000, 0.2714832000, 0.5192799000, 1.4531373000", \
"0.1703103000, 0.1806344000, 0.2053011000, 0.2720454000, 0.4114367000, 0.7010760000, 1.5000218000");
}
related_pin : "A";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015647400, 0.0048968000, 0.0153244000, 0.0479573000, 0.1500810000, 0.4696750000");
values("0.0213387000, 0.0241156000, 0.0329913000, 0.0606726000, 0.1476590000, 0.4216956000, 1.2751485000", \
"0.0212796000, 0.0241537000, 0.0329463000, 0.0605811000, 0.1478673000, 0.4221709000, 1.2675441000", \
"0.0242274000, 0.0263747000, 0.0338502000, 0.0606846000, 0.1475153000, 0.4226350000, 1.2765687000", \
"0.0394323000, 0.0414751000, 0.0480517000, 0.0675341000, 0.1478872000, 0.4210181000, 1.2754989000", \
"0.0650113000, 0.0687163000, 0.0788491000, 0.1029325000, 0.1648665000, 0.4203217000, 1.2739746000", \
"0.1072404000, 0.1129065000, 0.1293168000, 0.1693689000, 0.2466275000, 0.4499186000, 1.2714556000", \
"0.1777549000, 0.1893831000, 0.2125928000, 0.2748110000, 0.4005875000, 0.6352814000, 1.3081766000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015647400, 0.0048968000, 0.0153244000, 0.0479573000, 0.1500810000, 0.4696750000");
values("0.0406368000, 0.0431720000, 0.0506949000, 0.0741405000, 0.1454709000, 0.3709738000, 1.0666669000", \
"0.0439725000, 0.0465655000, 0.0543576000, 0.0779216000, 0.1496468000, 0.3745434000, 1.0723233000", \
"0.0511655000, 0.0538625000, 0.0618621000, 0.0859823000, 0.1579888000, 0.3812181000, 1.0785375000", \
"0.0632241000, 0.0665652000, 0.0763222000, 0.1031718000, 0.1763061000, 0.4002172000, 1.0983492000", \
"0.0774984000, 0.0825068000, 0.0967978000, 0.1330572000, 0.2182724000, 0.4449335000, 1.1430519000", \
"0.0807745000, 0.0898954000, 0.1112197000, 0.1669533000, 0.2868765000, 0.5446084000, 1.2481962000", \
"0.0468086000, 0.0601038000, 0.0938935000, 0.1805796000, 0.3657763000, 0.7207090000, 1.4780133000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015647400, 0.0048968000, 0.0153244000, 0.0479573000, 0.1500810000, 0.4696750000");
values("0.0422749000, 0.0446074000, 0.0518243000, 0.0739549000, 0.1410707000, 0.3489302000, 0.9978199000", \
"0.0472646000, 0.0496986000, 0.0569113000, 0.0792699000, 0.1466850000, 0.3546542000, 1.0039474000", \
"0.0599214000, 0.0623179000, 0.0698152000, 0.0922496000, 0.1600875000, 0.3680974000, 1.0183124000", \
"0.0904054000, 0.0931291000, 0.1013718000, 0.1236222000, 0.1915141000, 0.3998429000, 1.0505085000", \
"0.1409214000, 0.1454535000, 0.1583781000, 0.1916118000, 0.2653373000, 0.4735076000, 1.1226788000", \
"0.2242766000, 0.2312963000, 0.2514369000, 0.3046199000, 0.4190462000, 0.6453268000, 1.2916576000", \
"0.3651656000, 0.3753554000, 0.4060830000, 0.4875207000, 0.6706186000, 1.0182574000, 1.6909090000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015647400, 0.0048968000, 0.0153244000, 0.0479573000, 0.1500810000, 0.4696750000");
values("0.0288416000, 0.0320583000, 0.0420794000, 0.0737625000, 0.1727410000, 0.4838017000, 1.4491321000", \
"0.0288789000, 0.0320855000, 0.0421504000, 0.0737191000, 0.1725986000, 0.4828791000, 1.4525401000", \
"0.0302127000, 0.0331529000, 0.0426858000, 0.0739383000, 0.1727034000, 0.4815253000, 1.4484696000", \
"0.0383599000, 0.0413884000, 0.0507352000, 0.0782470000, 0.1731502000, 0.4817615000, 1.4483872000", \
"0.0574879000, 0.0608620000, 0.0710450000, 0.1002170000, 0.1856801000, 0.4835302000, 1.4514718000", \
"0.0961440000, 0.1007437000, 0.1135630000, 0.1495910000, 0.2395392000, 0.5065027000, 1.4539727000", \
"0.1683338000, 0.1748161000, 0.1930253000, 0.2419098000, 0.3571268000, 0.6298424000, 1.4838255000");
}
related_pin : "B";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015647400, 0.0048968000, 0.0153244000, 0.0479573000, 0.1500810000, 0.4696750000");
values("0.0332386000, 0.0364586000, 0.0461934000, 0.0767189000, 0.1723928000, 0.4718840000, 1.4075198000", \
"0.0332320000, 0.0363533000, 0.0461717000, 0.0766876000, 0.1724896000, 0.4714933000, 1.4072856000", \
"0.0333722000, 0.0363228000, 0.0460782000, 0.0767273000, 0.1724071000, 0.4716995000, 1.4144720000", \
"0.0443688000, 0.0468638000, 0.0539828000, 0.0797556000, 0.1725886000, 0.4716662000, 1.4135408000", \
"0.0723089000, 0.0756689000, 0.0849731000, 0.1099021000, 0.1839327000, 0.4723677000, 1.4092869000", \
"0.1184961000, 0.1240928000, 0.1395747000, 0.1766017000, 0.2582480000, 0.4934469000, 1.4093442000", \
"0.1930836000, 0.2023822000, 0.2282166000, 0.2899719000, 0.4150365000, 0.6521169000, 1.4317170000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015647400, 0.0048968000, 0.0153244000, 0.0479573000, 0.1500810000, 0.4696750000");
values("0.0456467000, 0.0482875000, 0.0557768000, 0.0793095000, 0.1504686000, 0.3768995000, 1.0715164000", \
"0.0491742000, 0.0517222000, 0.0595632000, 0.0830003000, 0.1550859000, 0.3779358000, 1.0754178000", \
"0.0561914000, 0.0587681000, 0.0668162000, 0.0904905000, 0.1640248000, 0.3863628000, 1.0832191000", \
"0.0676077000, 0.0705731000, 0.0792880000, 0.1046303000, 0.1772709000, 0.4019325000, 1.0986809000", \
"0.0816723000, 0.0858016000, 0.0969860000, 0.1278579000, 0.2079219000, 0.4336209000, 1.1320377000", \
"0.0861761000, 0.0930543000, 0.1105985000, 0.1562593000, 0.2606250000, 0.5056250000, 1.2075890000", \
"0.0490743000, 0.0594548000, 0.0894226000, 0.1629416000, 0.3195011000, 0.6354369000, 1.3741289000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015647400, 0.0048968000, 0.0153244000, 0.0479573000, 0.1500810000, 0.4696750000");
values("0.0485061000, 0.0509094000, 0.0582133000, 0.0801880000, 0.1462676000, 0.3476912000, 0.9748141000", \
"0.0535530000, 0.0560682000, 0.0634748000, 0.0855859000, 0.1514781000, 0.3530546000, 0.9801169000", \
"0.0664559000, 0.0689102000, 0.0762979000, 0.0986043000, 0.1647680000, 0.3664512000, 0.9931819000", \
"0.0983284000, 0.1007228000, 0.1087613000, 0.1305863000, 0.1967528000, 0.3985919000, 1.0261660000", \
"0.1575121000, 0.1613745000, 0.1724018000, 0.2017820000, 0.2712915000, 0.4733411000, 1.0998743000", \
"0.2533974000, 0.2592144000, 0.2765941000, 0.3219479000, 0.4292643000, 0.6438756000, 1.2687630000", \
"0.4125420000, 0.4211259000, 0.4478486000, 0.5200061000, 0.6877767000, 1.0171779000, 1.6710165000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015647400, 0.0048968000, 0.0153244000, 0.0479573000, 0.1500810000, 0.4696750000");
values("0.0287981000, 0.0321048000, 0.0420776000, 0.0739446000, 0.1734321000, 0.4838959000, 1.4490191000", \
"0.0288680000, 0.0320621000, 0.0421544000, 0.0737938000, 0.1727225000, 0.4818771000, 1.4481408000", \
"0.0293318000, 0.0324409000, 0.0423117000, 0.0737508000, 0.1735110000, 0.4816545000, 1.4492808000", \
"0.0345681000, 0.0375915000, 0.0471108000, 0.0762035000, 0.1729069000, 0.4822058000, 1.4488956000", \
"0.0486582000, 0.0515914000, 0.0609690000, 0.0905885000, 0.1808126000, 0.4817513000, 1.4471573000", \
"0.0825321000, 0.0862074000, 0.0971497000, 0.1286256000, 0.2207680000, 0.4984441000, 1.4581208000", \
"0.1533309000, 0.1577750000, 0.1721941000, 0.2121399000, 0.3121181000, 0.5905266000, 1.4756406000");
}
related_pin : "C";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015647400, 0.0048968000, 0.0153244000, 0.0479573000, 0.1500810000, 0.4696750000");
values("0.0426683000, 0.0458258000, 0.0552861000, 0.0849477000, 0.1786247000, 0.4712017000, 1.3888447000", \
"0.0425819000, 0.0456585000, 0.0552628000, 0.0850394000, 0.1786357000, 0.4719144000, 1.3900809000", \
"0.0418626000, 0.0450100000, 0.0548506000, 0.0849683000, 0.1783886000, 0.4715334000, 1.3899726000", \
"0.0491979000, 0.0520409000, 0.0597491000, 0.0864532000, 0.1784078000, 0.4715643000, 1.3899165000", \
"0.0767094000, 0.0799735000, 0.0894328000, 0.1127320000, 0.1877672000, 0.4717598000, 1.3938735000", \
"0.1253093000, 0.1300486000, 0.1444019000, 0.1797871000, 0.2590445000, 0.4929216000, 1.3900300000", \
"0.2031041000, 0.2120537000, 0.2351861000, 0.2920672000, 0.4152522000, 0.6466940000, 1.4127383000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
}
}
/* removed sky130_fd_sc_hd__nand3b_1 */
/* removed sky130_fd_sc_hd__nand3b_2 */
/* removed sky130_fd_sc_hd__nand3b_4 */
/* removed sky130_fd_sc_hd__nand4_1 */
/* removed sky130_fd_sc_hd__nand4_2 */
cell ("sky130_fd_sc_hd__nand4_4") {
leakage_power () {
value : 0.0167977000;
when : "!A&!B&!C&D";
}
leakage_power () {
value : 0.0167972000;
when : "!A&!B&!C&!D";
}
leakage_power () {
value : 0.0001765000;
when : "!A&!B&C&D";
}
leakage_power () {
value : 0.0001012000;
when : "!A&!B&C&!D";
}
leakage_power () {
value : 0.0001796000;
when : "!A&B&!C&D";
}
leakage_power () {
value : 0.0001051000;
when : "!A&B&!C&!D";
}
leakage_power () {
value : 0.0019163000;
when : "!A&B&C&D";
}
leakage_power () {
value : 0.0001843000;
when : "!A&B&C&!D";
}
leakage_power () {
value : 0.0001981000;
when : "A&!B&!C&D";
}
leakage_power () {
value : 0.0001091000;
when : "A&!B&!C&!D";
}
leakage_power () {
value : 0.0014939000;
when : "A&!B&C&D";
}
leakage_power () {
value : 0.0002020000;
when : "A&!B&C&!D";
}
leakage_power () {
value : 0.0014645000;
when : "A&B&!C&D";
}
leakage_power () {
value : 0.0002237000;
when : "A&B&!C&!D";
}
leakage_power () {
value : 0.0105515000;
when : "A&B&C&D";
}
leakage_power () {
value : 0.0014670000;
when : "A&B&C&!D";
}
area : 21.270400000;
cell_footprint : "sky130_fd_sc_hd__nand4";
cell_leakage_power : 0.0032479900;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0084590000;
clock : "false";
direction : "input";
fall_capacitance : 0.0083150000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0182239000, 0.0182852000, 0.0184264000, 0.0184119000, 0.0183786000, 0.0183016000, 0.0181242000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.012986800, -0.012976000, -0.012951000, -0.012918800, -0.012844700, -0.012673700, -0.012279600");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0086040000;
}
pin ("B") {
capacitance : 0.0084720000;
clock : "false";
direction : "input";
fall_capacitance : 0.0082470000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0173805000, 0.0173653000, 0.0173305000, 0.0173935000, 0.0175389000, 0.0178738000, 0.0186459000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.015845200, -0.015836000, -0.015815000, -0.015810800, -0.015801200, -0.015778900, -0.015727600");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0086960000;
}
pin ("C") {
capacitance : 0.0085330000;
clock : "false";
direction : "input";
fall_capacitance : 0.0081880000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0156250000, 0.0156266000, 0.0156301000, 0.0156303000, 0.0156307000, 0.0156316000, 0.0156337000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.015610200, -0.015606800, -0.015598800, -0.015594500, -0.015584600, -0.015561900, -0.015509500");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0088780000;
}
pin ("D") {
capacitance : 0.0087390000;
clock : "false";
direction : "input";
fall_capacitance : 0.0082760000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0157077000, 0.0156991000, 0.0156792000, 0.0156825000, 0.0156903000, 0.0157082000, 0.0157494000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.015654100, -0.015659700, -0.015672500, -0.015670500, -0.015665900, -0.015655500, -0.015631300");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0092020000;
}
pin ("Y") {
direction : "output";
function : "(!A) | (!B) | (!C) | (!D)";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0014954950, 0.0044730120, 0.0133787300, 0.0400156700, 0.1196865000, 0.3579811000");
values("0.0186030000, 0.0170370000, 0.0124358000, -0.001633200, -0.044553200, -0.173494900, -0.559542800", \
"0.0177491000, 0.0162761000, 0.0117649000, -0.002091900, -0.044761300, -0.173593800, -0.559543000", \
"0.0165783000, 0.0151106000, 0.0107011000, -0.002933900, -0.045243900, -0.173788700, -0.559582200", \
"0.0156784000, 0.0141756000, 0.0097288000, -0.004058600, -0.046174400, -0.174300200, -0.559803200", \
"0.0155492000, 0.0139650000, 0.0092610000, -0.004754400, -0.047018300, -0.175035600, -0.560206700", \
"0.0171759000, 0.0154705000, 0.0106172000, -0.003960600, -0.047219800, -0.175686300, -0.560886300", \
"0.0229424000, 0.0210998000, 0.0155070000, -9.55000e-05, -0.044499700, -0.174742500, -0.560929800");
}
related_pin : "A";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0014954950, 0.0044730120, 0.0133787300, 0.0400156700, 0.1196865000, 0.3579811000");
values("0.0140159000, 0.0158510000, 0.0211570000, 0.0362073000, 0.0792957000, 0.2076847000, 0.5882907000", \
"0.0132190000, 0.0150592000, 0.0204067000, 0.0356714000, 0.0792600000, 0.2077523000, 0.5863967000", \
"0.0123073000, 0.0141224000, 0.0193596000, 0.0346594000, 0.0786105000, 0.2062564000, 0.5889083000", \
"0.0118642000, 0.0136303000, 0.0186093000, 0.0334509000, 0.0778607000, 0.2066729000, 0.5903794000", \
"0.0119212000, 0.0135386000, 0.0183647000, 0.0330424000, 0.0761926000, 0.2066711000, 0.5851748000", \
"0.0127122000, 0.0142293000, 0.0188276000, 0.0339861000, 0.0766944000, 0.2032347000, 0.5902992000", \
"0.0219010000, 0.0206307000, 0.0248099000, 0.0402238000, 0.0817538000, 0.2065960000, 0.5862779000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0014954950, 0.0044730120, 0.0133787300, 0.0400156700, 0.1196865000, 0.3579811000");
values("0.0220777000, 0.0204876000, 0.0157842000, 0.0015510000, -0.041459600, -0.170487500, -0.556516600", \
"0.0213333000, 0.0198920000, 0.0152493000, 0.0011976000, -0.041636400, -0.170541700, -0.556488100", \
"0.0203947000, 0.0188965000, 0.0143745000, 0.0004848000, -0.041993100, -0.170656900, -0.556556300", \
"0.0193535000, 0.0178673000, 0.0132940000, -0.000469500, -0.042687900, -0.171021200, -0.556673600", \
"0.0189795000, 0.0173926000, 0.0127677000, -0.001221800, -0.043496700, -0.171489000, -0.556764300", \
"0.0187839000, 0.0171246000, 0.0123499000, -0.001829600, -0.044503900, -0.172448200, -0.557404000", \
"0.0215435000, 0.0197755000, 0.0147902000, -3.00000e-06, -0.043467700, -0.172771900, -0.558006500");
}
related_pin : "B";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0014954950, 0.0044730120, 0.0133787300, 0.0400156700, 0.1196865000, 0.3579811000");
values("0.0281140000, 0.0297558000, 0.0347118000, 0.0492496000, 0.0921554000, 0.2199161000, 0.6001347000", \
"0.0274511000, 0.0291415000, 0.0341624000, 0.0489121000, 0.0921846000, 0.2199866000, 0.6003756000", \
"0.0263587000, 0.0281735000, 0.0331630000, 0.0481618000, 0.0915770000, 0.2196078000, 0.6011851000", \
"0.0252572000, 0.0270670000, 0.0319664000, 0.0469176000, 0.0904634000, 0.2183960000, 0.6010176000", \
"0.0246571000, 0.0263426000, 0.0313667000, 0.0460568000, 0.0897376000, 0.2173856000, 0.6008098000", \
"0.0254584000, 0.0270093000, 0.0316935000, 0.0458470000, 0.0893974000, 0.2168787000, 0.5987188000", \
"0.0304787000, 0.0331522000, 0.0343500000, 0.0501817000, 0.0926933000, 0.2155185000, 0.5959053000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0014954950, 0.0044730120, 0.0133787300, 0.0400156700, 0.1196865000, 0.3579811000");
values("0.0218047000, 0.0202398000, 0.0155529000, 0.0012084000, -0.041831800, -0.170855600, -0.556788400", \
"0.0211840000, 0.0196713000, 0.0149985000, 0.0008696000, -0.041999000, -0.170923000, -0.556909700", \
"0.0201740000, 0.0186807000, 0.0141241000, 0.0002420000, -0.042361700, -0.171102200, -0.556985100", \
"0.0192233000, 0.0176839000, 0.0130869000, -0.000716400, -0.043008400, -0.171382800, -0.557166400", \
"0.0186758000, 0.0171753000, 0.0124886000, -0.001448100, -0.043778400, -0.171794500, -0.557230800", \
"0.0187194000, 0.0171936000, 0.0124139000, -0.002236900, -0.044775500, -0.172748500, -0.557733000", \
"0.0203069000, 0.0186431000, 0.0136697000, -0.000916300, -0.044122200, -0.173260100, -0.558327800");
}
related_pin : "C";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0014954950, 0.0044730120, 0.0133787300, 0.0400156700, 0.1196865000, 0.3579811000");
values("0.0403066000, 0.0419327000, 0.0468289000, 0.0613239000, 0.1044702000, 0.2322445000, 0.6138008000", \
"0.0397094000, 0.0413489000, 0.0462730000, 0.0609067000, 0.1040309000, 0.2319618000, 0.6134357000", \
"0.0387135000, 0.0403693000, 0.0453671000, 0.0601428000, 0.1035639000, 0.2315899000, 0.6129827000", \
"0.0376617000, 0.0395313000, 0.0443535000, 0.0590728000, 0.1026803000, 0.2307591000, 0.6126902000", \
"0.0376329000, 0.0392940000, 0.0442787000, 0.0588390000, 0.1023200000, 0.2307906000, 0.6122943000", \
"0.0385033000, 0.0400442000, 0.0447379000, 0.0592341000, 0.1023321000, 0.2301129000, 0.6092007000", \
"0.0420935000, 0.0437422000, 0.0482471000, 0.0617512000, 0.1038994000, 0.2306266000, 0.6105945000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0014954950, 0.0044730120, 0.0133787300, 0.0400156700, 0.1196865000, 0.3579811000");
values("0.0215637000, 0.0199817000, 0.0151932000, 0.0009185000, -0.042162100, -0.171155300, -0.557244900", \
"0.0208993000, 0.0193653000, 0.0146752000, 0.0005654000, -0.042346800, -0.171279500, -0.557253000", \
"0.0199021000, 0.0184326000, 0.0138601000, -7.71000e-05, -0.042658900, -0.171425600, -0.557322700", \
"0.0189905000, 0.0174441000, 0.0128708000, -0.000981100, -0.043300400, -0.171716200, -0.557491100", \
"0.0185800000, 0.0170227000, 0.0123749000, -0.001659700, -0.044011500, -0.172131500, -0.557625800", \
"0.0186345000, 0.0170465000, 0.0122777000, -0.002104700, -0.044927100, -0.173096400, -0.558217600", \
"0.0204040000, 0.0187338000, 0.0137973000, -0.000897300, -0.044114000, -0.173317200, -0.558573000");
}
related_pin : "D";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0014954950, 0.0044730120, 0.0133787300, 0.0400156700, 0.1196865000, 0.3579811000");
values("0.0505226000, 0.0521053000, 0.0569047000, 0.0713579000, 0.1148573000, 0.2429654000, 0.6249235000", \
"0.0498700000, 0.0515053000, 0.0562804000, 0.0709126000, 0.1143761000, 0.2425654000, 0.6239257000", \
"0.0491379000, 0.0507624000, 0.0556031000, 0.0701663000, 0.1138153000, 0.2423749000, 0.6237191000", \
"0.0482390000, 0.0498540000, 0.0547318000, 0.0694301000, 0.1131392000, 0.2415523000, 0.6234690000", \
"0.0486304000, 0.0502364000, 0.0550297000, 0.0696924000, 0.1124403000, 0.2412978000, 0.6230694000", \
"0.0502503000, 0.0518118000, 0.0565862000, 0.0707688000, 0.1137540000, 0.2416436000, 0.6219960000", \
"0.0547124000, 0.0562051000, 0.0606972000, 0.0742861000, 0.1165083000, 0.2442691000, 0.6236484000");
}
}
max_capacitance : 0.3579810000;
max_transition : 1.4971000000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014955000, 0.0044730100, 0.0133787000, 0.0400157000, 0.1196860000, 0.3579810000");
values("0.0383248000, 0.0412170000, 0.0502259000, 0.0763721000, 0.1521192000, 0.3783246000, 1.0518199000", \
"0.0409280000, 0.0439713000, 0.0530262000, 0.0795689000, 0.1562497000, 0.3839961000, 1.0562029000", \
"0.0491333000, 0.0519686000, 0.0608142000, 0.0871435000, 0.1640941000, 0.3909202000, 1.0647678000", \
"0.0661648000, 0.0699966000, 0.0809398000, 0.1074941000, 0.1847658000, 0.4131731000, 1.0869045000", \
"0.0846683000, 0.0904326000, 0.1063797000, 0.1465356000, 0.2344251000, 0.4609907000, 1.1395664000", \
"0.0951928000, 0.1038334000, 0.1274654000, 0.1867923000, 0.3172921000, 0.5769888000, 1.2556032000", \
"0.0751527000, 0.0874035000, 0.1220142000, 0.2096603000, 0.4040361000, 0.7831524000, 1.5182311000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014955000, 0.0044730100, 0.0133787000, 0.0400157000, 0.1196860000, 0.3579810000");
values("0.0320231000, 0.0342172000, 0.0407722000, 0.0591831000, 0.1119233000, 0.2688587000, 0.7346339000", \
"0.0372808000, 0.0395164000, 0.0460518000, 0.0646804000, 0.1177196000, 0.2744474000, 0.7397638000", \
"0.0506795000, 0.0528485000, 0.0592148000, 0.0780178000, 0.1307937000, 0.2878198000, 0.7528559000", \
"0.0778445000, 0.0810338000, 0.0894054000, 0.1095882000, 0.1629745000, 0.3209841000, 0.7890347000", \
"0.1208821000, 0.1260411000, 0.1395053000, 0.1723104000, 0.2377375000, 0.3946107000, 0.8587291000", \
"0.1908684000, 0.1989702000, 0.2205514000, 0.2729200000, 0.3786590000, 0.5678089000, 1.0323331000", \
"0.3128083000, 0.3246755000, 0.3573060000, 0.4376828000, 0.6045421000, 0.9110664000, 1.4357773000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014955000, 0.0044730100, 0.0133787000, 0.0400157000, 0.1196860000, 0.3579810000");
values("0.0465908000, 0.0506948000, 0.0627696000, 0.0980039000, 0.2022073000, 0.5150299000, 1.4446551000", \
"0.0459403000, 0.0501147000, 0.0622082000, 0.0978410000, 0.2030880000, 0.5152956000, 1.4435187000", \
"0.0456785000, 0.0494798000, 0.0610608000, 0.0971547000, 0.2022802000, 0.5142787000, 1.4446755000", \
"0.0568068000, 0.0608516000, 0.0705341000, 0.1013083000, 0.2014810000, 0.5143643000, 1.4456937000", \
"0.0779018000, 0.0823986000, 0.0953350000, 0.1304455000, 0.2177257000, 0.5145317000, 1.4513617000", \
"0.1224332000, 0.1290262000, 0.1454763000, 0.1893772000, 0.2904866000, 0.5497775000, 1.4490301000", \
"0.1992094000, 0.2078732000, 0.2329899000, 0.2954795000, 0.4333107000, 0.7241588000, 1.4971004000");
}
related_pin : "A";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014955000, 0.0044730100, 0.0133787000, 0.0400157000, 0.1196860000, 0.3579810000");
values("0.0269890000, 0.0298184000, 0.0379982000, 0.0627903000, 0.1366193000, 0.3594950000, 1.0246688000", \
"0.0270209000, 0.0297435000, 0.0380144000, 0.0626669000, 0.1371527000, 0.3604161000, 1.0210832000", \
"0.0285000000, 0.0308154000, 0.0382813000, 0.0627584000, 0.1367493000, 0.3578145000, 1.0233289000", \
"0.0430854000, 0.0450419000, 0.0501162000, 0.0683148000, 0.1371154000, 0.3615489000, 1.0326445000", \
"0.0712801000, 0.0744411000, 0.0825470000, 0.1025241000, 0.1540045000, 0.3595024000, 1.0200362000", \
"0.1191396000, 0.1240185000, 0.1372889000, 0.1691132000, 0.2346934000, 0.3939952000, 1.0271434000", \
"0.1972724000, 0.2056550000, 0.2273586000, 0.2791839000, 0.3862603000, 0.5751788000, 1.0792415000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014955000, 0.0044730100, 0.0133787000, 0.0400157000, 0.1196860000, 0.3579810000");
values("0.0564232000, 0.0592972000, 0.0679257000, 0.0936411000, 0.1695033000, 0.3950422000, 1.0733350000", \
"0.0592226000, 0.0626147000, 0.0714253000, 0.0975395000, 0.1736428000, 0.3996748000, 1.0733352000", \
"0.0667824000, 0.0698247000, 0.0789806000, 0.1053322000, 0.1832331000, 0.4086647000, 1.0828047000", \
"0.0820233000, 0.0856965000, 0.0961493000, 0.1236358000, 0.2014552000, 0.4279437000, 1.1095331000", \
"0.1040514000, 0.1089479000, 0.1230334000, 0.1589021000, 0.2456726000, 0.4741236000, 1.1590279000", \
"0.1180670000, 0.1260621000, 0.1478439000, 0.2029441000, 0.3225964000, 0.5792105000, 1.2568759000", \
"0.0943839000, 0.1068383000, 0.1412613000, 0.2268518000, 0.4119000000, 0.7686818000, 1.5029664000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014955000, 0.0044730100, 0.0133787000, 0.0400157000, 0.1196860000, 0.3579810000");
values("0.0494571000, 0.0518314000, 0.0586071000, 0.0788354000, 0.1377354000, 0.3117282000, 0.8302024000", \
"0.0545690000, 0.0571105000, 0.0640539000, 0.0845347000, 0.1435140000, 0.3176506000, 0.8364252000", \
"0.0676315000, 0.0700706000, 0.0769611000, 0.0976507000, 0.1572765000, 0.3315875000, 0.8500119000", \
"0.0994617000, 0.1021278000, 0.1090072000, 0.1290798000, 0.1892663000, 0.3622175000, 0.8810899000", \
"0.1574275000, 0.1615592000, 0.1726635000, 0.2008218000, 0.2643418000, 0.4382104000, 0.9590705000", \
"0.2526361000, 0.2590287000, 0.2769087000, 0.3226133000, 0.4221757000, 0.6146514000, 1.1324321000", \
"0.4146563000, 0.4234545000, 0.4515470000, 0.5239902000, 0.6816458000, 0.9840294000, 1.5378615000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014955000, 0.0044730100, 0.0133787000, 0.0400157000, 0.1196860000, 0.3579810000");
values("0.0475955000, 0.0516306000, 0.0632936000, 0.0980462000, 0.2022046000, 0.5136244000, 1.4484471000", \
"0.0474994000, 0.0514681000, 0.0632948000, 0.0982687000, 0.2024012000, 0.5151591000, 1.4444035000", \
"0.0479012000, 0.0515960000, 0.0631903000, 0.0981167000, 0.2031312000, 0.5145391000, 1.4455008000", \
"0.0551396000, 0.0584668000, 0.0686356000, 0.1008030000, 0.2027597000, 0.5140930000, 1.4492454000", \
"0.0753073000, 0.0792231000, 0.0906304000, 0.1223639000, 0.2134811000, 0.5137601000, 1.4541939000", \
"0.1193523000, 0.1244552000, 0.1384168000, 0.1754402000, 0.2689590000, 0.5384117000, 1.4453108000", \
"0.2014291000, 0.2082447000, 0.2274552000, 0.2781693000, 0.3969077000, 0.6706690000, 1.4817925000");
}
related_pin : "B";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014955000, 0.0044730100, 0.0133787000, 0.0400157000, 0.1196860000, 0.3579810000");
values("0.0405677000, 0.0436433000, 0.0528979000, 0.0803751000, 0.1630906000, 0.4097949000, 1.1458070000", \
"0.0405957000, 0.0436456000, 0.0529711000, 0.0803546000, 0.1627089000, 0.4085547000, 1.1455072000", \
"0.0405017000, 0.0436525000, 0.0528305000, 0.0804912000, 0.1626279000, 0.4088739000, 1.1471558000", \
"0.0482658000, 0.0507814000, 0.0580445000, 0.0822674000, 0.1627850000, 0.4090896000, 1.1473397000", \
"0.0777250000, 0.0806908000, 0.0891086000, 0.1091726000, 0.1739770000, 0.4085788000, 1.1492137000", \
"0.1305172000, 0.1351136000, 0.1476630000, 0.1791698000, 0.2468423000, 0.4343444000, 1.1456480000", \
"0.2149340000, 0.2227109000, 0.2452325000, 0.2961059000, 0.4021536000, 0.5994781000, 1.1820025000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014955000, 0.0044730100, 0.0133787000, 0.0400157000, 0.1196860000, 0.3579810000");
values("0.0652890000, 0.0681994000, 0.0772449000, 0.1028491000, 0.1783361000, 0.4080239000, 1.0798369000", \
"0.0686755000, 0.0717234000, 0.0804349000, 0.1063814000, 0.1827352000, 0.4087265000, 1.0826818000", \
"0.0752996000, 0.0784901000, 0.0873877000, 0.1140676000, 0.1903404000, 0.4162143000, 1.0993739000", \
"0.0879464000, 0.0913843000, 0.1012223000, 0.1283717000, 0.2055718000, 0.4318974000, 1.1080041000", \
"0.1065578000, 0.1113923000, 0.1230420000, 0.1549371000, 0.2378476000, 0.4671782000, 1.1407296000", \
"0.1208487000, 0.1281018000, 0.1453682000, 0.1913714000, 0.2955071000, 0.5427521000, 1.2253755000", \
"0.0949631000, 0.1053537000, 0.1352848000, 0.2077879000, 0.3666922000, 0.6823452000, 1.3972768000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014955000, 0.0044730100, 0.0133787000, 0.0400157000, 0.1196860000, 0.3579810000");
values("0.0588805000, 0.0613960000, 0.0687566000, 0.0893115000, 0.1496031000, 0.3258106000, 0.8489254000", \
"0.0644227000, 0.0668696000, 0.0740525000, 0.0948002000, 0.1552635000, 0.3314635000, 0.8554013000", \
"0.0773820000, 0.0799932000, 0.0873357000, 0.1083606000, 0.1688762000, 0.3453456000, 0.8694455000", \
"0.1093876000, 0.1119298000, 0.1189918000, 0.1402438000, 0.1994954000, 0.3761177000, 0.8997130000", \
"0.1746809000, 0.1776163000, 0.1881863000, 0.2137537000, 0.2760516000, 0.4523831000, 0.9754229000", \
"0.2830987000, 0.2887965000, 0.3047029000, 0.3454793000, 0.4382564000, 0.6284473000, 1.1483676000", \
"0.4641965000, 0.4722497000, 0.4968665000, 0.5613176000, 0.7101667000, 1.0020798000, 1.5569466000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014955000, 0.0044730100, 0.0133787000, 0.0400157000, 0.1196860000, 0.3579810000");
values("0.0477103000, 0.0516366000, 0.0631245000, 0.0981748000, 0.2022045000, 0.5158033000, 1.4480550000", \
"0.0476622000, 0.0515453000, 0.0632446000, 0.0979800000, 0.2031891000, 0.5146217000, 1.4448752000", \
"0.0478032000, 0.0516728000, 0.0634169000, 0.0983092000, 0.2022283000, 0.5135656000, 1.4494973000", \
"0.0526658000, 0.0562183000, 0.0668323000, 0.1000082000, 0.2025289000, 0.5136342000, 1.4467236000", \
"0.0674148000, 0.0712040000, 0.0821461000, 0.1143053000, 0.2097198000, 0.5153352000, 1.4454734000", \
"0.1060815000, 0.1100126000, 0.1222642000, 0.1560352000, 0.2486376000, 0.5296001000, 1.4492019000", \
"0.1849770000, 0.1908465000, 0.2059091000, 0.2488781000, 0.3535762000, 0.6260367000, 1.4754215000");
}
related_pin : "C";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014955000, 0.0044730100, 0.0133787000, 0.0400157000, 0.1196860000, 0.3579810000");
values("0.0516851000, 0.0546727000, 0.0641072000, 0.0922306000, 0.1756981000, 0.4267575000, 1.1786517000", \
"0.0515366000, 0.0547869000, 0.0640353000, 0.0921322000, 0.1762265000, 0.4278311000, 1.1795757000", \
"0.0512628000, 0.0544465000, 0.0639008000, 0.0921495000, 0.1763074000, 0.4267857000, 1.1779488000", \
"0.0555242000, 0.0582411000, 0.0665978000, 0.0926970000, 0.1761127000, 0.4277127000, 1.1796075000", \
"0.0836743000, 0.0873643000, 0.0944414000, 0.1154349000, 0.1848166000, 0.4278768000, 1.1826061000", \
"0.1375031000, 0.1420333000, 0.1541808000, 0.1858552000, 0.2527233000, 0.4503838000, 1.1789381000", \
"0.2273271000, 0.2348182000, 0.2553369000, 0.3042236000, 0.4090115000, 0.6099796000, 1.2176564000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014955000, 0.0044730100, 0.0133787000, 0.0400157000, 0.1196860000, 0.3579810000");
values("0.0699904000, 0.0729105000, 0.0814610000, 0.1075825000, 0.1829296000, 0.4083222000, 1.0889757000", \
"0.0732969000, 0.0763512000, 0.0850481000, 0.1115129000, 0.1871218000, 0.4127720000, 1.0866029000", \
"0.0796682000, 0.0829070000, 0.0918910000, 0.1180824000, 0.1958487000, 0.4231515000, 1.0952482000", \
"0.0917260000, 0.0949946000, 0.1042593000, 0.1308856000, 0.2084355000, 0.4343566000, 1.1107135000", \
"0.1081683000, 0.1118881000, 0.1225397000, 0.1518666000, 0.2327760000, 0.4614814000, 1.1380267000", \
"0.1214042000, 0.1266018000, 0.1411784000, 0.1795803000, 0.2739188000, 0.5150339000, 1.1938822000", \
"0.0978673000, 0.1066714000, 0.1304459000, 0.1904859000, 0.3246467000, 0.6125376000, 1.3180546000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014955000, 0.0044730100, 0.0133787000, 0.0400157000, 0.1196860000, 0.3579810000");
values("0.0591857000, 0.0615771000, 0.0685171000, 0.0884810000, 0.1445956000, 0.3061654000, 0.7826487000", \
"0.0643185000, 0.0667922000, 0.0738800000, 0.0937000000, 0.1499404000, 0.3123845000, 0.7888840000", \
"0.0774459000, 0.0798603000, 0.0868999000, 0.1068108000, 0.1631279000, 0.3248235000, 0.8009272000", \
"0.1100781000, 0.1123780000, 0.1191995000, 0.1391314000, 0.1954645000, 0.3574258000, 0.8358731000", \
"0.1766741000, 0.1800810000, 0.1891874000, 0.2130239000, 0.2698201000, 0.4312131000, 0.9091851000", \
"0.2865642000, 0.2915498000, 0.3058855000, 0.3417643000, 0.4289881000, 0.6055874000, 1.0792164000", \
"0.4686883000, 0.4762776000, 0.4977991000, 0.5552884000, 0.6910016000, 0.9666764000, 1.4822619000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014955000, 0.0044730100, 0.0133787000, 0.0400157000, 0.1196860000, 0.3579810000");
values("0.0476257000, 0.0514888000, 0.0633135000, 0.0983604000, 0.2020957000, 0.5132398000, 1.4489897000", \
"0.0476120000, 0.0515083000, 0.0633064000, 0.0983355000, 0.2025261000, 0.5137091000, 1.4435493000", \
"0.0477677000, 0.0515824000, 0.0633988000, 0.0982325000, 0.2032239000, 0.5153836000, 1.4453997000", \
"0.0505571000, 0.0541632000, 0.0651085000, 0.0990137000, 0.2029405000, 0.5136631000, 1.4472985000", \
"0.0602490000, 0.0640152000, 0.0751794000, 0.1086941000, 0.2073316000, 0.5147535000, 1.4461722000", \
"0.0893699000, 0.0930717000, 0.1039494000, 0.1364164000, 0.2344835000, 0.5271774000, 1.4469789000", \
"0.1594051000, 0.1639729000, 0.1770822000, 0.2134494000, 0.3110788000, 0.5946446000, 1.4693026000");
}
related_pin : "D";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014955000, 0.0044730100, 0.0133787000, 0.0400157000, 0.1196860000, 0.3579810000");
values("0.0581279000, 0.0611742000, 0.0697912000, 0.0958916000, 0.1733021000, 0.4052824000, 1.1035320000", \
"0.0579230000, 0.0608157000, 0.0698022000, 0.0957365000, 0.1733129000, 0.4059695000, 1.1025553000", \
"0.0569226000, 0.0600051000, 0.0691459000, 0.0955081000, 0.1730569000, 0.4052540000, 1.1019998000", \
"0.0601238000, 0.0628157000, 0.0709048000, 0.0956330000, 0.1728382000, 0.4058907000, 1.1046422000", \
"0.0880659000, 0.0908521000, 0.0986434000, 0.1185795000, 0.1821149000, 0.4053787000, 1.1041379000", \
"0.1409855000, 0.1450918000, 0.1565868000, 0.1855604000, 0.2515229000, 0.4331570000, 1.1024696000", \
"0.2302440000, 0.2369736000, 0.2556582000, 0.3021644000, 0.4020892000, 0.5989952000, 1.1520200000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
}
}
/* removed sky130_fd_sc_hd__nand4b_1 */
/* removed sky130_fd_sc_hd__nand4b_2 */
/* removed sky130_fd_sc_hd__nand4b_4 */
/* removed sky130_fd_sc_hd__nand4bb_1 */
/* removed sky130_fd_sc_hd__nand4bb_2 */
/* removed sky130_fd_sc_hd__nand4bb_4 */
/* removed sky130_fd_sc_hd__nor2_1 */
/* removed sky130_fd_sc_hd__nor2_2 */
cell ("sky130_fd_sc_hd__nor2_4") {
leakage_power () {
value : 0.0040121000;
when : "!A&B";
}
leakage_power () {
value : 0.0038425000;
when : "!A&!B";
}
leakage_power () {
value : 0.0004326000;
when : "A&B";
}
leakage_power () {
value : 0.0025266000;
when : "A&!B";
}
area : 11.260800000;
cell_footprint : "sky130_fd_sc_hd__nor2";
cell_leakage_power : 0.0027034450;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0087330000;
clock : "false";
direction : "input";
fall_capacitance : 0.0083040000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0166262000, 0.0166196000, 0.0166045000, 0.0166015000, 0.0165948000, 0.0165793000, 0.0165435000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.014203000, -0.014451100, -0.015023100, -0.015052500, -0.015120400, -0.015276800, -0.015637300");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0091620000;
}
pin ("B") {
capacitance : 0.0086870000;
clock : "false";
direction : "input";
fall_capacitance : 0.0080850000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0081436000, 0.0081226000, 0.0080741000, 0.0081109000, 0.0081958000, 0.0083914000, 0.0088424000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.006803300, -0.006799600, -0.006791000, -0.006789500, -0.006786200, -0.006778400, -0.006760500");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0092890000;
}
pin ("Y") {
direction : "output";
function : "(!A&!B)";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0014103010, 0.0039778980, 0.0112200700, 0.0316473500, 0.0892646000, 0.2517799000");
values("0.0145888000, 0.0131288000, 0.0090218000, -0.002561700, -0.035331800, -0.128296000, -0.391244100", \
"0.0142153000, 0.0127769000, 0.0086766000, -0.002845900, -0.035513400, -0.128443600, -0.391371600", \
"0.0138944000, 0.0125050000, 0.0084683000, -0.002870700, -0.035438400, -0.128239700, -0.391195500", \
"0.0130502000, 0.0116242000, 0.0076562000, -0.003648700, -0.035904100, -0.128573600, -0.391304800", \
"0.0136694000, 0.0122110000, 0.0081994000, -0.003201600, -0.036291200, -0.128826400, -0.391466700", \
"0.0160415000, 0.0146191000, 0.0104409000, -0.002234800, -0.035401700, -0.128272600, -0.391073900", \
"0.0215214000, 0.0200398000, 0.0154086000, 0.0030774000, -0.031095100, -0.125725300, -0.390139800");
}
related_pin : "A";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0014103010, 0.0039778980, 0.0112200700, 0.0316473500, 0.0892646000, 0.2517799000");
values("0.0249433000, 0.0265426000, 0.0309809000, 0.0430860000, 0.0763783000, 0.1683058000, 0.4283017000", \
"0.0242285000, 0.0258172000, 0.0302205000, 0.0424180000, 0.0757326000, 0.1680930000, 0.4281469000", \
"0.0237443000, 0.0252968000, 0.0295957000, 0.0415580000, 0.0753023000, 0.1681136000, 0.4278681000", \
"0.0234331000, 0.0249690000, 0.0291991000, 0.0410534000, 0.0741796000, 0.1671085000, 0.4310648000", \
"0.0231148000, 0.0246845000, 0.0288640000, 0.0405805000, 0.0736300000, 0.1667428000, 0.4301930000", \
"0.0232302000, 0.0247032000, 0.0288746000, 0.0406072000, 0.0737207000, 0.1665469000, 0.4262356000", \
"0.0249650000, 0.0262105000, 0.0300107000, 0.0411471000, 0.0743474000, 0.1672062000, 0.4281072000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0014103010, 0.0039778980, 0.0112200700, 0.0316473500, 0.0892646000, 0.2517799000");
values("-5.18000e-05, -0.001346100, -0.005112600, -0.016321000, -0.049065600, -0.142248100, -0.405451700", \
"-0.000782300, -0.002019900, -0.005667100, -0.016589700, -0.049012800, -0.142011800, -0.405117700", \
"-0.001647300, -0.002906000, -0.006470400, -0.017263100, -0.049292900, -0.142004900, -0.404946800", \
"-0.002350500, -0.003672800, -0.007446400, -0.018167700, -0.050101100, -0.142319400, -0.404969500", \
"-0.001778100, -0.003062700, -0.007073500, -0.018577100, -0.050980400, -0.142884000, -0.405222200", \
"0.0003238000, -0.001299400, -0.005673300, -0.017701800, -0.050703300, -0.143048500, -0.405359200", \
"0.0081546000, 0.0065841000, 0.0007174000, -0.012229700, -0.047334100, -0.141374600, -0.405403900");
}
related_pin : "B";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0014103010, 0.0039778980, 0.0112200700, 0.0316473500, 0.0892646000, 0.2517799000");
values("0.0234499000, 0.0252083000, 0.0298260000, 0.0424246000, 0.0757155000, 0.1681166000, 0.4281616000", \
"0.0225901000, 0.0242770000, 0.0287232000, 0.0410645000, 0.0751239000, 0.1682812000, 0.4278748000", \
"0.0220176000, 0.0236259000, 0.0279958000, 0.0403448000, 0.0738392000, 0.1684640000, 0.4277499000", \
"0.0224831000, 0.0239328000, 0.0280787000, 0.0397846000, 0.0728287000, 0.1662264000, 0.4279252000", \
"0.0234005000, 0.0248091000, 0.0286947000, 0.0400777000, 0.0728604000, 0.1654970000, 0.4262714000", \
"0.0253165000, 0.0268912000, 0.0307984000, 0.0422785000, 0.0742481000, 0.1664044000, 0.4285354000", \
"0.0315872000, 0.0323786000, 0.0359486000, 0.0468016000, 0.0779553000, 0.1683928000, 0.4261670000");
}
}
max_capacitance : 0.2517800000;
max_transition : 1.4964490000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
values("0.0169715000, 0.0179898000, 0.0207708000, 0.0278877000, 0.0464088000, 0.0962407000, 0.2342976000", \
"0.0218200000, 0.0227798000, 0.0254185000, 0.0324638000, 0.0509264000, 0.1007439000, 0.2387181000", \
"0.0311648000, 0.0324597000, 0.0357109000, 0.0435209000, 0.0617677000, 0.1114110000, 0.2496350000", \
"0.0424904000, 0.0444516000, 0.0494231000, 0.0614109000, 0.0862029000, 0.1371073000, 0.2749299000", \
"0.0523674000, 0.0554190000, 0.0631869000, 0.0816469000, 0.1203738000, 0.1924431000, 0.3342928000", \
"0.0511493000, 0.0557858000, 0.0678160000, 0.0966514000, 0.1572204000, 0.2690975000, 0.4647971000", \
"0.0095339000, 0.0168776000, 0.0358525000, 0.0808120000, 0.1756667000, 0.3539680000, 0.6596342000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
values("0.0622744000, 0.0664765000, 0.0779111000, 0.1094290000, 0.1954166000, 0.4332344000, 1.1041421000", \
"0.0667856000, 0.0709660000, 0.0823530000, 0.1140250000, 0.2003005000, 0.4389736000, 1.1103100000", \
"0.0798638000, 0.0838861000, 0.0952087000, 0.1260894000, 0.2131699000, 0.4561659000, 1.1249001000", \
"0.1076138000, 0.1118374000, 0.1228784000, 0.1538689000, 0.2394934000, 0.4796278000, 1.1576793000", \
"0.1527445000, 0.1587778000, 0.1737594000, 0.2112743000, 0.2997347000, 0.5399549000, 1.2174648000", \
"0.2263442000, 0.2352630000, 0.2582115000, 0.3114440000, 0.4269287000, 0.6780203000, 1.3543581000", \
"0.3456880000, 0.3598186000, 0.3963414000, 0.4799576000, 0.6491258000, 0.9774964000, 1.6728150000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
values("0.0124766000, 0.0136194000, 0.0167281000, 0.0253355000, 0.0492240000, 0.1167727000, 0.3080123000", \
"0.0125080000, 0.0135257000, 0.0164962000, 0.0249712000, 0.0490925000, 0.1167750000, 0.3080687000", \
"0.0176730000, 0.0187179000, 0.0212990000, 0.0279611000, 0.0495384000, 0.1167363000, 0.3085307000", \
"0.0277003000, 0.0291974000, 0.0329441000, 0.0415591000, 0.0609881000, 0.1191021000, 0.3082691000", \
"0.0453959000, 0.0477238000, 0.0535742000, 0.0665357000, 0.0940910000, 0.1479985000, 0.3128849000", \
"0.0771960000, 0.0809411000, 0.0904556000, 0.1114286000, 0.1515543000, 0.2297037000, 0.3762480000", \
"0.1353600000, 0.1415310000, 0.1563482000, 0.1899870000, 0.2544940000, 0.3675451000, 0.5692316000");
}
related_pin : "A";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
values("0.0402232000, 0.0454527000, 0.0599119000, 0.1017079000, 0.2190281000, 0.5447648000, 1.4649204000", \
"0.0402643000, 0.0454902000, 0.0599241000, 0.1014302000, 0.2177543000, 0.5452596000, 1.4655323000", \
"0.0403483000, 0.0455417000, 0.0602361000, 0.1015483000, 0.2189719000, 0.5471781000, 1.4664657000", \
"0.0438942000, 0.0485808000, 0.0622658000, 0.1016263000, 0.2180911000, 0.5448665000, 1.4783624000", \
"0.0609245000, 0.0662622000, 0.0800483000, 0.1158114000, 0.2220661000, 0.5454546000, 1.4779042000", \
"0.0980405000, 0.1042219000, 0.1197569000, 0.1601521000, 0.2630935000, 0.5558799000, 1.4675843000", \
"0.1757244000, 0.1831104000, 0.2033222000, 0.2535891000, 0.3716539000, 0.6541388000, 1.4863820000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
values("0.0138624000, 0.0147903000, 0.0172767000, 0.0237678000, 0.0414504000, 0.0905806000, 0.2287096000", \
"0.0182299000, 0.0193012000, 0.0218561000, 0.0283958000, 0.0462271000, 0.0953179000, 0.2356907000", \
"0.0237826000, 0.0254619000, 0.0296104000, 0.0387528000, 0.0571306000, 0.1064740000, 0.2446131000", \
"0.0285800000, 0.0312624000, 0.0379018000, 0.0522514000, 0.0802141000, 0.1320774000, 0.2707602000", \
"0.0280358000, 0.0322023000, 0.0425710000, 0.0657560000, 0.1098734000, 0.1865268000, 0.3294210000", \
"0.0095458000, 0.0162749000, 0.0325911000, 0.0691303000, 0.1390075000, 0.2584541000, 0.4607315000", \
"-0.059366700, -0.048490700, -0.023510800, 0.0340899000, 0.1441704000, 0.3356903000, 0.6527048000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
values("0.0419300000, 0.0465356000, 0.0584431000, 0.0910134000, 0.1781699000, 0.4159947000, 1.0871927000", \
"0.0450207000, 0.0493287000, 0.0609082000, 0.0928722000, 0.1826169000, 0.4218549000, 1.0933071000", \
"0.0562287000, 0.0602519000, 0.0715604000, 0.1031770000, 0.1898992000, 0.4331795000, 1.1038219000", \
"0.0833635000, 0.0884081000, 0.1007163000, 0.1308756000, 0.2159345000, 0.4562961000, 1.1357739000", \
"0.1253656000, 0.1329178000, 0.1526407000, 0.1948177000, 0.2835507000, 0.5216512000, 1.1936074000", \
"0.1955487000, 0.2069636000, 0.2353216000, 0.3007529000, 0.4295797000, 0.6782531000, 1.3499469000", \
"0.3272712000, 0.3421144000, 0.3818280000, 0.4756208000, 0.6683371000, 1.0252291000, 1.7070267000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
values("0.0068857000, 0.0079172000, 0.0108661000, 0.0193111000, 0.0428918000, 0.1091994000, 0.2961055000", \
"0.0081798000, 0.0089718000, 0.0114750000, 0.0193212000, 0.0429388000, 0.1092325000, 0.2976890000", \
"0.0134535000, 0.0145305000, 0.0174647000, 0.0238283000, 0.0439932000, 0.1091723000, 0.2962383000", \
"0.0230443000, 0.0246661000, 0.0286775000, 0.0381474000, 0.0569112000, 0.1120622000, 0.2984915000", \
"0.0408158000, 0.0432319000, 0.0497885000, 0.0638828000, 0.0916016000, 0.1426618000, 0.3020172000", \
"0.0731927000, 0.0775736000, 0.0871061000, 0.1086018000, 0.1501374000, 0.2266512000, 0.3695773000", \
"0.1334633000, 0.1400780000, 0.1583683000, 0.1922647000, 0.2549426000, 0.3658019000, 0.5634401000");
}
related_pin : "B";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000");
values("0.0398289000, 0.0452207000, 0.0597080000, 0.1014653000, 0.2181662000, 0.5454607000, 1.4650987000", \
"0.0395948000, 0.0450032000, 0.0598076000, 0.1013858000, 0.2193156000, 0.5467820000, 1.4662073000", \
"0.0394401000, 0.0444469000, 0.0593656000, 0.1013186000, 0.2176547000, 0.5498381000, 1.4670208000", \
"0.0524386000, 0.0563191000, 0.0668484000, 0.1029512000, 0.2178261000, 0.5451701000, 1.4725396000", \
"0.0749895000, 0.0802406000, 0.0969379000, 0.1303689000, 0.2258270000, 0.5478105000, 1.4688503000", \
"0.1122800000, 0.1208849000, 0.1430223000, 0.1934740000, 0.2917642000, 0.5620057000, 1.4755858000", \
"0.1741214000, 0.1877213000, 0.2188592000, 0.2915491000, 0.4369753000, 0.7131835000, 1.4964487000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
}
}
/* removed sky130_fd_sc_hd__nor2_8 */
/* removed sky130_fd_sc_hd__nor2b_1 */
/* removed sky130_fd_sc_hd__nor2b_2 */
/* removed sky130_fd_sc_hd__nor2b_4 */
/* removed sky130_fd_sc_hd__nor3_1 */
/* removed sky130_fd_sc_hd__nor3_2 */
cell ("sky130_fd_sc_hd__nor3_4") {
leakage_power () {
value : 0.0022547000;
when : "!A&!B&C";
}
leakage_power () {
value : 0.0063490000;
when : "!A&!B&!C";
}
leakage_power () {
value : 0.0002338000;
when : "!A&B&C";
}
leakage_power () {
value : 0.0021546000;
when : "!A&B&!C";
}
leakage_power () {
value : 0.0002616000;
when : "A&!B&C";
}
leakage_power () {
value : 0.0022324000;
when : "A&!B&!C";
}
leakage_power () {
value : 0.0168094000;
when : "A&B&C";
}
leakage_power () {
value : 0.0040347000;
when : "A&B&!C";
}
area : 16.265600000;
cell_footprint : "sky130_fd_sc_hd__nor3";
cell_leakage_power : 0.0042912730;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0086740000;
clock : "false";
direction : "input";
fall_capacitance : 0.0082190000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0142969000, 0.0143097000, 0.0143392000, 0.0143519000, 0.0143812000, 0.0144486000, 0.0146039000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.013326700, -0.013483800, -0.013845900, -0.013901100, -0.014028400, -0.014321800, -0.014998200");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0091280000;
}
pin ("B") {
capacitance : 0.0090040000;
clock : "false";
direction : "input";
fall_capacitance : 0.0083790000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0163574000, 0.0163542000, 0.0163467000, 0.0163500000, 0.0163575000, 0.0163747000, 0.0164143000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.013661800, -0.013966800, -0.014670000, -0.014698300, -0.014763700, -0.014914300, -0.015261500");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0096290000;
}
pin ("C") {
capacitance : 0.0083840000;
clock : "false";
direction : "input";
fall_capacitance : 0.0077610000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0075804000, 0.0075711000, 0.0075497000, 0.0075769000, 0.0076396000, 0.0077840000, 0.0081169000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.006788000, -0.006791100, -0.006798300, -0.006793500, -0.006782500, -0.006757000, -0.006698300");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0090080000;
}
pin ("Y") {
direction : "output";
function : "(!A&!B&!C)";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000");
values("0.0199379000, 0.0186626000, 0.0153205000, 0.0065976000, -0.015967400, -0.074374600, -0.226809300", \
"0.0196014000, 0.0183152000, 0.0149740000, 0.0062845000, -0.016262000, -0.074648500, -0.227148300", \
"0.0193230000, 0.0180300000, 0.0146901000, 0.0061114000, -0.016482700, -0.074859800, -0.227308100", \
"0.0184938000, 0.0172186000, 0.0139127000, 0.0055356000, -0.017009400, -0.075289800, -0.227694300", \
"0.0183470000, 0.0171017000, 0.0137670000, 0.0052763000, -0.017156400, -0.075386800, -0.227853100", \
"0.0208707000, 0.0195771000, 0.0156983000, 0.0069001000, -0.015753500, -0.074644600, -0.227468100", \
"0.0258766000, 0.0245120000, 0.0210551000, 0.0119967000, -0.011104100, -0.071097600, -0.225411300");
}
related_pin : "A";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000");
values("0.0419332000, 0.0433019000, 0.0467233000, 0.0557576000, 0.0782246000, 0.1365093000, 0.2880104000", \
"0.0411786000, 0.0425389000, 0.0459865000, 0.0549509000, 0.0778164000, 0.1364060000, 0.2879243000", \
"0.0405733000, 0.0418956000, 0.0453065000, 0.0543634000, 0.0773700000, 0.1361863000, 0.2874550000", \
"0.0401619000, 0.0414900000, 0.0448884000, 0.0536514000, 0.0767059000, 0.1356105000, 0.2870848000", \
"0.0398210000, 0.0410637000, 0.0444403000, 0.0532134000, 0.0759599000, 0.1347622000, 0.2882585000", \
"0.0397544000, 0.0410743000, 0.0444992000, 0.0532753000, 0.0755772000, 0.1343866000, 0.2858779000", \
"0.0388516000, 0.0401609000, 0.0434223000, 0.0526392000, 0.0760440000, 0.1345737000, 0.2859439000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000");
values("0.0142556000, 0.0129849000, 0.0096522000, 0.0010167000, -0.021434700, -0.080125200, -0.233151200", \
"0.0143063000, 0.0130362000, 0.0097349000, 0.0011696000, -0.021232500, -0.079899600, -0.232886800", \
"0.0145259000, 0.0131917000, 0.0099707000, 0.0015336000, -0.020778700, -0.079330800, -0.232229900", \
"0.0135534000, 0.0123194000, 0.0091125000, 0.0007452000, -0.021302600, -0.079635200, -0.232389100", \
"0.0135697000, 0.0123205000, 0.0091050000, 0.0006158000, -0.021710700, -0.080089300, -0.232568100", \
"0.0147046000, 0.0134104000, 0.0101398000, 0.0014837000, -0.021296100, -0.079635500, -0.232641900", \
"0.0194847000, 0.0180977000, 0.0145660000, 0.0053740000, -0.017976400, -0.077378900, -0.231021600");
}
related_pin : "B";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000");
values("0.0314095000, 0.0328272000, 0.0364328000, 0.0455414000, 0.0682135000, 0.1269929000, 0.2780706000", \
"0.0304710000, 0.0319002000, 0.0354974000, 0.0445383000, 0.0676230000, 0.1264213000, 0.2779885000", \
"0.0297923000, 0.0311795000, 0.0346926000, 0.0436078000, 0.0669969000, 0.1260448000, 0.2775422000", \
"0.0294258000, 0.0307273000, 0.0341787000, 0.0430974000, 0.0658411000, 0.1248810000, 0.2771386000", \
"0.0289657000, 0.0302752000, 0.0336642000, 0.0424145000, 0.0652545000, 0.1239028000, 0.2761691000", \
"0.0289157000, 0.0302567000, 0.0336725000, 0.0424800000, 0.0650870000, 0.1239872000, 0.2751327000", \
"0.0306071000, 0.0318181000, 0.0350267000, 0.0434365000, 0.0657157000, 0.1235841000, 0.2764350000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000");
values("0.0014765000, 0.0002150000, -0.003129700, -0.011829600, -0.034445400, -0.093324700, -0.246539700", \
"0.0009819000, -0.000250400, -0.003471400, -0.011956900, -0.034359900, -0.093065100, -0.246197300", \
"0.0001864000, -0.001003200, -0.004127800, -0.012373200, -0.034429500, -0.092879200, -0.245878400", \
"-0.000619800, -0.001741000, -0.004796000, -0.012946800, -0.034882500, -0.092997000, -0.245715400", \
"-0.000643700, -0.001880200, -0.005061700, -0.013256300, -0.035327200, -0.093434500, -0.245911400", \
"0.0006571000, -0.000665300, -0.004055000, -0.012693300, -0.034746500, -0.092958100, -0.245984400", \
"0.0058112000, 0.0043291000, 0.0005567000, -0.008775600, -0.032707200, -0.092377500, -0.245518400");
}
related_pin : "C";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000");
values("0.0300088000, 0.0314758000, 0.0351346000, 0.0443569000, 0.0673434000, 0.1257530000, 0.2773657000", \
"0.0288508000, 0.0303594000, 0.0340765000, 0.0431939000, 0.0664739000, 0.1260338000, 0.2782887000", \
"0.0282233000, 0.0295622000, 0.0330668000, 0.0420783000, 0.0655194000, 0.1246652000, 0.2767622000", \
"0.0281496000, 0.0294557000, 0.0328368000, 0.0416365000, 0.0644769000, 0.1237586000, 0.2761190000", \
"0.0281677000, 0.0294708000, 0.0327529000, 0.0414062000, 0.0639806000, 0.1226242000, 0.2748800000", \
"0.0298666000, 0.0311652000, 0.0344254000, 0.0428357000, 0.0649640000, 0.1228135000, 0.2754221000", \
"0.0349379000, 0.0357968000, 0.0388321000, 0.0469724000, 0.0683805000, 0.1253775000, 0.2758469000");
}
}
max_capacitance : 0.1538190000;
max_transition : 1.4951450000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
values("0.0174855000, 0.0184340000, 0.0208644000, 0.0268790000, 0.0413733000, 0.0759522000, 0.1607760000", \
"0.0228412000, 0.0237286000, 0.0260120000, 0.0317810000, 0.0460489000, 0.0804793000, 0.1652044000", \
"0.0338757000, 0.0350339000, 0.0376741000, 0.0436949000, 0.0572489000, 0.0912593000, 0.1757439000", \
"0.0488273000, 0.0504366000, 0.0543635000, 0.0634235000, 0.0817694000, 0.1171124000, 0.2004549000", \
"0.0659919000, 0.0682947000, 0.0740620000, 0.0873439000, 0.1152536000, 0.1675864000, 0.2599356000", \
"0.0757500000, 0.0792676000, 0.0881226000, 0.1083624000, 0.1509201000, 0.2309555000, 0.3720089000", \
"0.0510694000, 0.0561829000, 0.0692785000, 0.1004629000, 0.1667459000, 0.2929524000, 0.5115211000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
values("0.1298159000, 0.1355968000, 0.1501819000, 0.1872919000, 0.2819722000, 0.5220789000, 1.1467399000", \
"0.1331755000, 0.1390662000, 0.1533607000, 0.1906723000, 0.2849966000, 0.5268181000, 1.1521017000", \
"0.1451605000, 0.1509002000, 0.1650792000, 0.2025948000, 0.2982548000, 0.5447562000, 1.1666669000", \
"0.1723862000, 0.1781808000, 0.1921589000, 0.2289811000, 0.3240281000, 0.5672227000, 1.1943477000", \
"0.2262289000, 0.2321218000, 0.2472209000, 0.2847693000, 0.3784654000, 0.6209191000, 1.2532270000", \
"0.3142628000, 0.3215296000, 0.3400843000, 0.3849722000, 0.4910379000, 0.7364828000, 1.3637210000", \
"0.4568851000, 0.4693879000, 0.4948969000, 0.5562682000, 0.6914393000, 0.9795311000, 1.6215130000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
values("0.0177636000, 0.0189838000, 0.0221398000, 0.0299893000, 0.0488784000, 0.0953409000, 0.2141622000", \
"0.0180974000, 0.0191670000, 0.0219415000, 0.0292275000, 0.0484198000, 0.0951764000, 0.2139516000", \
"0.0239326000, 0.0246984000, 0.0268349000, 0.0325979000, 0.0489265000, 0.0946129000, 0.2138524000", \
"0.0362100000, 0.0373315000, 0.0400577000, 0.0468523000, 0.0611602000, 0.0993074000, 0.2135024000", \
"0.0582597000, 0.0599486000, 0.0640821000, 0.0726279000, 0.0931257000, 0.1320733000, 0.2281107000", \
"0.0947308000, 0.0974467000, 0.1044113000, 0.1177873000, 0.1462023000, 0.2026841000, 0.3063559000", \
"0.1616153000, 0.1667246000, 0.1790753000, 0.2018979000, 0.2457702000, 0.3244041000, 0.4720148000");
}
related_pin : "A";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
values("0.0878985000, 0.0951547000, 0.1140563000, 0.1634166000, 0.2900222000, 0.6166931000, 1.4705920000", \
"0.0880411000, 0.0952453000, 0.1141172000, 0.1626418000, 0.2898620000, 0.6180101000, 1.4702175000", \
"0.0881856000, 0.0953229000, 0.1141622000, 0.1634007000, 0.2904801000, 0.6214129000, 1.4663758000", \
"0.0883323000, 0.0955404000, 0.1143988000, 0.1625666000, 0.2906717000, 0.6193145000, 1.4676541000", \
"0.0965134000, 0.1029909000, 0.1205704000, 0.1668808000, 0.2902321000, 0.6186347000, 1.4799252000", \
"0.1236126000, 0.1308136000, 0.1490097000, 0.1964444000, 0.3118961000, 0.6253068000, 1.4698887000", \
"0.1882582000, 0.1963475000, 0.2163412000, 0.2653510000, 0.3898074000, 0.6904268000, 1.4880702000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
values("0.0195721000, 0.0204865000, 0.0227717000, 0.0283697000, 0.0417606000, 0.0746293000, 0.1578625000", \
"0.0244824000, 0.0253698000, 0.0275988000, 0.0330007000, 0.0463590000, 0.0791664000, 0.1624030000", \
"0.0347018000, 0.0357575000, 0.0383862000, 0.0443344000, 0.0574041000, 0.0901648000, 0.1733458000", \
"0.0475746000, 0.0491778000, 0.0531290000, 0.0620804000, 0.0805916000, 0.1153359000, 0.1984920000", \
"0.0591916000, 0.0617303000, 0.0675750000, 0.0816729000, 0.1100720000, 0.1639329000, 0.2577930000", \
"0.0582438000, 0.0621531000, 0.0715283000, 0.0939686000, 0.1395103000, 0.2241317000, 0.3678348000", \
"0.0146769000, 0.0208107000, 0.0358447000, 0.0701333000, 0.1420067000, 0.2768593000, 0.5038059000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
values("0.1142725000, 0.1202723000, 0.1354291000, 0.1730752000, 0.2683934000, 0.5105107000, 1.1349477000", \
"0.1157988000, 0.1218348000, 0.1367999000, 0.1742820000, 0.2696984000, 0.5125184000, 1.1383716000", \
"0.1262602000, 0.1317984000, 0.1466168000, 0.1841995000, 0.2801167000, 0.5246776000, 1.1507342000", \
"0.1525392000, 0.1581648000, 0.1727031000, 0.2095677000, 0.3040389000, 0.5477452000, 1.1756628000", \
"0.2048156000, 0.2116040000, 0.2281908000, 0.2672846000, 0.3620047000, 0.6047445000, 1.2323484000", \
"0.2927722000, 0.3019903000, 0.3251388000, 0.3769600000, 0.4912363000, 0.7390542000, 1.3666539000", \
"0.4408492000, 0.4550161000, 0.4885838000, 0.5659982000, 0.7243198000, 1.0342206000, 1.6829288000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
values("0.0156992000, 0.0166459000, 0.0190882000, 0.0255265000, 0.0419842000, 0.0853736000, 0.1989443000", \
"0.0153676000, 0.0162562000, 0.0186041000, 0.0250300000, 0.0418266000, 0.0853272000, 0.1993425000", \
"0.0204189000, 0.0209392000, 0.0227567000, 0.0276932000, 0.0425135000, 0.0850439000, 0.1990770000", \
"0.0309497000, 0.0320449000, 0.0349263000, 0.0414388000, 0.0549837000, 0.0903609000, 0.1990117000", \
"0.0509184000, 0.0526848000, 0.0567675000, 0.0660789000, 0.0865589000, 0.1247088000, 0.2135362000", \
"0.0861778000, 0.0890312000, 0.0961018000, 0.1110217000, 0.1413703000, 0.1965280000, 0.2977827000", \
"0.1523646000, 0.1570169000, 0.1680824000, 0.1918130000, 0.2389067000, 0.3234140000, 0.4659654000");
}
related_pin : "B";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
values("0.0878828000, 0.0950797000, 0.1141156000, 0.1633197000, 0.2900672000, 0.6191880000, 1.4675007000", \
"0.0879162000, 0.0951389000, 0.1142852000, 0.1628861000, 0.2897843000, 0.6175445000, 1.4675549000", \
"0.0883621000, 0.0953542000, 0.1143070000, 0.1628883000, 0.2906612000, 0.6192415000, 1.4668564000", \
"0.0886925000, 0.0958294000, 0.1141918000, 0.1634327000, 0.2896006000, 0.6173739000, 1.4705618000", \
"0.1058244000, 0.1120284000, 0.1275556000, 0.1718821000, 0.2914304000, 0.6183120000, 1.4674681000", \
"0.1457064000, 0.1530166000, 0.1717830000, 0.2175987000, 0.3257934000, 0.6258756000, 1.4674465000", \
"0.2336381000, 0.2417454000, 0.2635151000, 0.3158614000, 0.4377489000, 0.7156046000, 1.4900667000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
values("0.0166630000, 0.0175123000, 0.0196659000, 0.0248849000, 0.0380139000, 0.0714376000, 0.1578602000", \
"0.0211700000, 0.0220372000, 0.0242017000, 0.0295231000, 0.0427048000, 0.0761763000, 0.1626047000", \
"0.0284252000, 0.0297743000, 0.0329602000, 0.0398711000, 0.0536753000, 0.0872085000, 0.1739171000", \
"0.0355065000, 0.0376028000, 0.0425859000, 0.0536003000, 0.0749217000, 0.1126652000, 0.1985515000", \
"0.0375934000, 0.0409943000, 0.0490976000, 0.0665096000, 0.1003095000, 0.1588000000, 0.2579170000", \
"0.0209890000, 0.0264177000, 0.0390681000, 0.0667888000, 0.1202230000, 0.2140151000, 0.3645091000", \
"-0.050968400, -0.042378700, -0.021936900, 0.0225795000, 0.1080176000, 0.2571367000, 0.4986430000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
values("0.0693758000, 0.0754909000, 0.0905052000, 0.1288806000, 0.2237668000, 0.4655428000, 1.0908835000", \
"0.0700857000, 0.0760378000, 0.0912834000, 0.1296351000, 0.2253014000, 0.4738527000, 1.0958655000", \
"0.0792077000, 0.0847351000, 0.0989169000, 0.1367923000, 0.2336180000, 0.4771583000, 1.1044766000", \
"0.1084151000, 0.1132198000, 0.1264000000, 0.1620965000, 0.2567785000, 0.5011897000, 1.1289453000", \
"0.1650485000, 0.1721184000, 0.1895115000, 0.2288432000, 0.3199367000, 0.5620018000, 1.1960951000", \
"0.2580751000, 0.2690016000, 0.2942368000, 0.3524377000, 0.4726861000, 0.7108291000, 1.3397407000", \
"0.4224762000, 0.4373680000, 0.4731002000, 0.5571136000, 0.7286381000, 1.0571489000, 1.6824081000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
values("0.0099043000, 0.0108621000, 0.0134194000, 0.0202097000, 0.0377014000, 0.0834049000, 0.2023953000", \
"0.0106335000, 0.0114928000, 0.0137850000, 0.0202230000, 0.0377256000, 0.0835781000, 0.2020942000", \
"0.0163442000, 0.0172148000, 0.0194349000, 0.0245176000, 0.0392715000, 0.0833790000, 0.2019923000", \
"0.0267918000, 0.0281645000, 0.0313672000, 0.0387342000, 0.0532375000, 0.0892817000, 0.2029456000", \
"0.0465941000, 0.0486352000, 0.0537363000, 0.0636149000, 0.0848939000, 0.1263257000, 0.2165589000", \
"0.0830219000, 0.0853947000, 0.0931576000, 0.1097660000, 0.1420419000, 0.2004886000, 0.3014893000", \
"0.1508091000, 0.1559392000, 0.1679303000, 0.1970926000, 0.2415479000, 0.3278302000, 0.4744952000");
}
related_pin : "C";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
values("0.0856598000, 0.0931410000, 0.1128413000, 0.1627234000, 0.2900939000, 0.6192534000, 1.4673779000", \
"0.0849465000, 0.0924107000, 0.1121060000, 0.1620091000, 0.2896744000, 0.6224912000, 1.4742988000", \
"0.0826841000, 0.0905084000, 0.1107553000, 0.1614921000, 0.2899253000, 0.6175083000, 1.4683749000", \
"0.0861134000, 0.0929247000, 0.1106008000, 0.1594168000, 0.2897711000, 0.6186904000, 1.4664878000", \
"0.1132848000, 0.1212698000, 0.1357074000, 0.1750334000, 0.2905699000, 0.6179647000, 1.4708655000", \
"0.1542560000, 0.1646399000, 0.1855475000, 0.2364153000, 0.3448199000, 0.6276140000, 1.4774657000", \
"0.2299706000, 0.2397104000, 0.2713543000, 0.3402219000, 0.4781893000, 0.7599425000, 1.4951451000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
}
}
/* removed sky130_fd_sc_hd__nor3b_1 */
/* removed sky130_fd_sc_hd__nor3b_2 */
/* removed sky130_fd_sc_hd__nor3b_4 */
/* removed sky130_fd_sc_hd__nor4_1 */
/* removed sky130_fd_sc_hd__nor4_2 */
cell ("sky130_fd_sc_hd__nor4_4") {
leakage_power () {
value : 0.0041413000;
when : "!A&!B&!C&D";
}
leakage_power () {
value : 0.0089600000;
when : "!A&!B&!C&!D";
}
leakage_power () {
value : 0.0002945000;
when : "!A&!B&C&D";
}
leakage_power () {
value : 0.0026775000;
when : "!A&!B&C&!D";
}
leakage_power () {
value : 0.0002967000;
when : "!A&B&!C&D";
}
leakage_power () {
value : 0.0025928000;
when : "!A&B&!C&!D";
}
leakage_power () {
value : 0.0001617000;
when : "!A&B&C&D";
}
leakage_power () {
value : 0.0003091000;
when : "!A&B&C&!D";
}
leakage_power () {
value : 0.0002871000;
when : "A&!B&!C&D";
}
leakage_power () {
value : 0.0024317000;
when : "A&!B&!C&!D";
}
leakage_power () {
value : 0.0001565000;
when : "A&!B&C&D";
}
leakage_power () {
value : 0.0002989000;
when : "A&!B&C&!D";
}
leakage_power () {
value : 0.0001561000;
when : "A&B&!C&D";
}
leakage_power () {
value : 0.0003195000;
when : "A&B&!C&!D";
}
leakage_power () {
value : 0.0169857000;
when : "A&B&C&D";
}
leakage_power () {
value : 0.0001781000;
when : "A&B&C&!D";
}
area : 21.270400000;
cell_footprint : "sky130_fd_sc_hd__nor4";
cell_leakage_power : 0.0025154460;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0086290000;
clock : "false";
direction : "input";
fall_capacitance : 0.0081520000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0139736000, 0.0139653000, 0.0139464000, 0.0139425000, 0.0139336000, 0.0139130000, 0.0138654000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.013126100, -0.013268400, -0.013596500, -0.013663900, -0.013819400, -0.014177600, -0.015003400");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0091060000;
}
pin ("B") {
capacitance : 0.0085660000;
clock : "false";
direction : "input";
fall_capacitance : 0.0079620000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0205394000, 0.0205346000, 0.0205236000, 0.0205256000, 0.0205301000, 0.0205404000, 0.0205641000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.013206000, -0.013288200, -0.013477800, -0.013550800, -0.013719100, -0.014107000, -0.015001200");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0091700000;
}
pin ("C") {
capacitance : 0.0083640000;
clock : "false";
direction : "input";
fall_capacitance : 0.0076970000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0165717000, 0.0165649000, 0.0165492000, 0.0165512000, 0.0165559000, 0.0165667000, 0.0165917000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.013817000, -0.014080300, -0.014687200, -0.014716200, -0.014782900, -0.014936700, -0.015291100");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0090310000;
}
pin ("D") {
capacitance : 0.0085430000;
clock : "false";
direction : "input";
fall_capacitance : 0.0077880000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0071704000, 0.0071577000, 0.0071283000, 0.0071537000, 0.0072123000, 0.0073472000, 0.0076583000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.006809800, -0.006818000, -0.006836900, -0.006834000, -0.006827200, -0.006811600, -0.006775700");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0092980000;
}
pin ("Y") {
direction : "output";
function : "(!A&!B&!C&!D)";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0012333250, 0.0030421800, 0.0075039940, 0.0185097200, 0.0456570000, 0.1126198000");
values("0.0258536000, 0.0246675000, 0.0217951000, 0.0145956000, -0.003172300, -0.046845800, -0.154350300", \
"0.0255606000, 0.0243752000, 0.0214335000, 0.0142787000, -0.003480400, -0.047186700, -0.154671200", \
"0.0253191000, 0.0241583000, 0.0213516000, 0.0140476000, -0.003739200, -0.047392000, -0.154894000", \
"0.0246075000, 0.0234827000, 0.0206176000, 0.0135902000, -0.004173900, -0.047836700, -0.155355600", \
"0.0242454000, 0.0230725000, 0.0201942000, 0.0130485000, -0.004343300, -0.048061600, -0.155483400", \
"0.0258922000, 0.0246844000, 0.0217390000, 0.0144945000, -0.003275400, -0.047504900, -0.154733300", \
"0.0316230000, 0.0303862000, 0.0273510000, 0.0200466000, 0.0021243000, -0.042851700, -0.152236800");
}
related_pin : "A";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0012333250, 0.0030421800, 0.0075039940, 0.0185097200, 0.0456570000, 0.1126198000");
values("0.0568994000, 0.0581136000, 0.0610980000, 0.0683895000, 0.0861611000, 0.1297799000, 0.2364492000", \
"0.0561970000, 0.0574093000, 0.0604668000, 0.0677914000, 0.0856868000, 0.1295917000, 0.2364734000", \
"0.0556635000, 0.0567906000, 0.0598544000, 0.0672150000, 0.0851368000, 0.1289659000, 0.2369291000", \
"0.0552329000, 0.0564561000, 0.0593433000, 0.0666809000, 0.0846081000, 0.1287856000, 0.2359779000", \
"0.0548319000, 0.0560244000, 0.0589427000, 0.0662090000, 0.0839013000, 0.1276851000, 0.2353038000", \
"0.0546776000, 0.0558880000, 0.0587411000, 0.0659636000, 0.0836877000, 0.1276639000, 0.2347430000", \
"0.0547155000, 0.0559366000, 0.0588459000, 0.0660513000, 0.0841257000, 0.1271616000, 0.2347138000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0012333250, 0.0030421800, 0.0075039940, 0.0185097200, 0.0456570000, 0.1126198000");
values("0.0201957000, 0.0190150000, 0.0161015000, 0.0088895000, -0.008836400, -0.052396900, -0.160228400", \
"0.0201400000, 0.0189480000, 0.0160408000, 0.0088509000, -0.008871200, -0.052406800, -0.160160000", \
"0.0200465000, 0.0188703000, 0.0159483000, 0.0087606000, -0.008963600, -0.052492400, -0.160263600", \
"0.0193000000, 0.0181201000, 0.0153573000, 0.0082912000, -0.009390900, -0.052747400, -0.160502300", \
"0.0188840000, 0.0177187000, 0.0148436000, 0.0076942000, -0.009857300, -0.052986900, -0.160555000", \
"0.0208977000, 0.0196907000, 0.0168061000, 0.0097550000, -0.008386900, -0.051843500, -0.160062000", \
"0.0257932000, 0.0244995000, 0.0214592000, 0.0141110000, -0.004139400, -0.048523000, -0.157560600");
}
related_pin : "B";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0012333250, 0.0030421800, 0.0075039940, 0.0185097200, 0.0456570000, 0.1126198000");
values("0.0418712000, 0.0431004000, 0.0461302000, 0.0534739000, 0.0712791000, 0.1149089000, 0.2221098000", \
"0.0410878000, 0.0423052000, 0.0453518000, 0.0529086000, 0.0710503000, 0.1150721000, 0.2219309000", \
"0.0403595000, 0.0416194000, 0.0445829000, 0.0519048000, 0.0700102000, 0.1140205000, 0.2217857000", \
"0.0398668000, 0.0411129000, 0.0440833000, 0.0513871000, 0.0692065000, 0.1132726000, 0.2210306000", \
"0.0394266000, 0.0406540000, 0.0435935000, 0.0507979000, 0.0688461000, 0.1123686000, 0.2201341000", \
"0.0393214000, 0.0405284000, 0.0435511000, 0.0506692000, 0.0683765000, 0.1122321000, 0.2195484000", \
"0.0394760000, 0.0406609000, 0.0435315000, 0.0508074000, 0.0687894000, 0.1121211000, 0.2193161000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0012333250, 0.0030421800, 0.0075039940, 0.0185097200, 0.0456570000, 0.1126198000");
values("0.0151695000, 0.0139835000, 0.0110700000, 0.0038854000, -0.013791000, -0.057472300, -0.165693800", \
"0.0153108000, 0.0141387000, 0.0112399000, 0.0040888000, -0.013503500, -0.057156000, -0.165345600", \
"0.0156310000, 0.0144686000, 0.0116086000, 0.0045541000, -0.012963000, -0.056504200, -0.164545000", \
"0.0150195000, 0.0139297000, 0.0111226000, 0.0041533000, -0.013153700, -0.056518100, -0.164483300", \
"0.0153607000, 0.0142155000, 0.0113771000, 0.0042743000, -0.013485400, -0.056781400, -0.164544000", \
"0.0164597000, 0.0152759000, 0.0123571000, 0.0053862000, -0.012897800, -0.055857900, -0.164305900", \
"0.0204215000, 0.0191836000, 0.0160917000, 0.0085952000, -0.009594900, -0.053851300, -0.162710700");
}
related_pin : "C";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0012333250, 0.0030421800, 0.0075039940, 0.0185097200, 0.0456570000, 0.1126198000");
values("0.0364060000, 0.0376655000, 0.0407342000, 0.0481260000, 0.0660603000, 0.1097205000, 0.2168759000", \
"0.0355367000, 0.0367814000, 0.0398679000, 0.0473158000, 0.0654474000, 0.1093261000, 0.2167118000", \
"0.0348295000, 0.0360504000, 0.0391555000, 0.0464541000, 0.0645920000, 0.1086825000, 0.2164088000", \
"0.0344173000, 0.0356609000, 0.0385694000, 0.0458242000, 0.0637399000, 0.1079265000, 0.2158656000", \
"0.0339125000, 0.0351212000, 0.0381331000, 0.0453186000, 0.0633486000, 0.1069773000, 0.2148989000", \
"0.0339676000, 0.0350744000, 0.0380269000, 0.0452673000, 0.0630572000, 0.1067641000, 0.2148413000", \
"0.0352447000, 0.0363975000, 0.0392781000, 0.0462103000, 0.0639357000, 0.1068490000, 0.2147592000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0012333250, 0.0030421800, 0.0075039940, 0.0185097200, 0.0456570000, 0.1126198000");
values("0.0020474000, 0.0008672000, -0.002068500, -0.009367400, -0.027435800, -0.071628900, -0.180092500", \
"0.0015703000, 0.0004395000, -0.002438400, -0.009592900, -0.027414900, -0.071374100, -0.179722000", \
"0.0007928000, -0.000329300, -0.003133800, -0.010131100, -0.027610300, -0.071204900, -0.179324100", \
"-0.000324800, -0.001421200, -0.004120600, -0.010896900, -0.028061800, -0.071341400, -0.179173800", \
"-0.000270800, -0.001399500, -0.004199400, -0.010879400, -0.028057000, -0.071574700, -0.179231400", \
"0.0009318000, -0.000168100, -0.002994400, -0.010832800, -0.028448600, -0.071758400, -0.179181100", \
"0.0063932000, 0.0039648000, 0.0008123000, -0.007008900, -0.025627700, -0.069590000, -0.177931800");
}
related_pin : "D";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0012333250, 0.0030421800, 0.0075039940, 0.0185097200, 0.0456570000, 0.1126198000");
values("0.0363275000, 0.0376833000, 0.0408159000, 0.0484411000, 0.0665670000, 0.1104309000, 0.2181026000", \
"0.0353127000, 0.0365789000, 0.0397452000, 0.0473135000, 0.0658773000, 0.1098842000, 0.2176014000", \
"0.0345309000, 0.0357975000, 0.0388462000, 0.0464790000, 0.0647453000, 0.1090096000, 0.2180210000", \
"0.0343549000, 0.0355494000, 0.0385228000, 0.0458748000, 0.0638758000, 0.1081298000, 0.2158983000", \
"0.0345560000, 0.0356289000, 0.0384902000, 0.0459951000, 0.0633223000, 0.1071781000, 0.2150072000", \
"0.0373415000, 0.0385124000, 0.0413760000, 0.0476575000, 0.0650933000, 0.1077903000, 0.2150446000", \
"0.0409639000, 0.0420970000, 0.0448032000, 0.0514045000, 0.0683057000, 0.1103649000, 0.2158137000");
}
}
max_capacitance : 0.1126200000;
max_transition : 1.4888180000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012333200, 0.0030421800, 0.0075039900, 0.0185097000, 0.0456570000, 0.1126200000");
values("0.0193964000, 0.0202370000, 0.0223514000, 0.0274228000, 0.0392381000, 0.0667027000, 0.1301931000", \
"0.0247133000, 0.0255499000, 0.0275593000, 0.0324276000, 0.0440852000, 0.0712996000, 0.1345911000", \
"0.0364115000, 0.0374181000, 0.0397948000, 0.0446742000, 0.0556589000, 0.0823060000, 0.1451904000", \
"0.0534250000, 0.0548221000, 0.0582012000, 0.0655158000, 0.0805144000, 0.1087747000, 0.1707596000", \
"0.0747592000, 0.0767650000, 0.0814943000, 0.0923522000, 0.1146586000, 0.1563677000, 0.2298305000", \
"0.0935944000, 0.0965953000, 0.1036819000, 0.1196564000, 0.1530284000, 0.2158915000, 0.3271649000", \
"0.0828202000, 0.0873171000, 0.0979523000, 0.1220692000, 0.1718244000, 0.2709675000, 0.4434555000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012333200, 0.0030421800, 0.0075039900, 0.0185097000, 0.0456570000, 0.1126200000");
values("0.2157051000, 0.2223377000, 0.2387324000, 0.2797581000, 0.3770114000, 0.6151051000, 1.2015151000", \
"0.2181038000, 0.2248109000, 0.2418281000, 0.2828819000, 0.3817732000, 0.6210371000, 1.2076589000", \
"0.2290101000, 0.2355684000, 0.2524831000, 0.2931838000, 0.3916164000, 0.6350207000, 1.2220954000", \
"0.2549880000, 0.2620093000, 0.2788463000, 0.3189234000, 0.4177814000, 0.6575551000, 1.2473347000", \
"0.3099524000, 0.3166008000, 0.3324913000, 0.3727015000, 0.4708845000, 0.7103442000, 1.2991488000", \
"0.4066977000, 0.4137463000, 0.4321690000, 0.4768357000, 0.5783118000, 0.8183057000, 1.4111032000", \
"0.5637575000, 0.5730733000, 0.5953374000, 0.6484591000, 0.7682323000, 1.0355933000, 1.6304902000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012333200, 0.0030421800, 0.0075039900, 0.0185097000, 0.0456570000, 0.1126200000");
values("0.0204699000, 0.0216928000, 0.0247275000, 0.0318782000, 0.0488783000, 0.0879051000, 0.1778832000", \
"0.0206207000, 0.0218017000, 0.0245538000, 0.0314307000, 0.0483457000, 0.0873167000, 0.1779467000", \
"0.0262347000, 0.0271475000, 0.0294873000, 0.0348189000, 0.0492424000, 0.0864460000, 0.1775064000", \
"0.0398617000, 0.0409330000, 0.0434214000, 0.0496515000, 0.0617239000, 0.0925948000, 0.1772074000", \
"0.0640157000, 0.0655679000, 0.0691402000, 0.0767606000, 0.0932471000, 0.1252015000, 0.1965112000", \
"0.1058031000, 0.1081279000, 0.1135441000, 0.1241585000, 0.1468419000, 0.1911147000, 0.2757500000", \
"0.1784763000, 0.1819669000, 0.1903398000, 0.2086242000, 0.2441687000, 0.3096373000, 0.4254224000");
}
related_pin : "A";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012333200, 0.0030421800, 0.0075039900, 0.0185097000, 0.0456570000, 0.1126200000");
values("0.1471014000, 0.1557794000, 0.1760432000, 0.2293268000, 0.3595388000, 0.6792555000, 1.4712923000", \
"0.1472028000, 0.1559224000, 0.1772182000, 0.2293676000, 0.3595126000, 0.6793623000, 1.4629895000", \
"0.1468696000, 0.1560581000, 0.1766923000, 0.2296580000, 0.3590153000, 0.6801698000, 1.4687057000", \
"0.1470615000, 0.1556080000, 0.1775881000, 0.2297089000, 0.3600041000, 0.6793510000, 1.4642157000", \
"0.1483388000, 0.1569298000, 0.1777967000, 0.2301762000, 0.3592500000, 0.6785599000, 1.4652298000", \
"0.1703348000, 0.1787398000, 0.1990188000, 0.2486714000, 0.3701452000, 0.6820695000, 1.4680837000", \
"0.2190299000, 0.2276419000, 0.2478266000, 0.3005848000, 0.4281617000, 0.7278557000, 1.4819532000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012333200, 0.0030421800, 0.0075039900, 0.0185097000, 0.0456570000, 0.1126200000");
values("0.0212197000, 0.0221721000, 0.0243960000, 0.0296707000, 0.0417172000, 0.0692400000, 0.1328612000", \
"0.0264733000, 0.0273474000, 0.0295051000, 0.0346107000, 0.0465360000, 0.0738662000, 0.1373183000", \
"0.0382906000, 0.0392549000, 0.0414879000, 0.0464551000, 0.0577554000, 0.0846028000, 0.1480137000", \
"0.0548283000, 0.0562301000, 0.0594764000, 0.0668769000, 0.0819777000, 0.1101630000, 0.1725815000", \
"0.0731851000, 0.0752699000, 0.0801991000, 0.0913982000, 0.1135221000, 0.1565475000, 0.2312242000", \
"0.0831566000, 0.0862820000, 0.0936078000, 0.1096746000, 0.1454118000, 0.2107256000, 0.3255069000", \
"0.0561493000, 0.0606212000, 0.0720514000, 0.0979217000, 0.1522031000, 0.2552490000, 0.4348051000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012333200, 0.0030421800, 0.0075039900, 0.0185097000, 0.0456570000, 0.1126200000");
values("0.2007191000, 0.2074685000, 0.2240368000, 0.2654947000, 0.3633754000, 0.6015873000, 1.1882382000", \
"0.2014680000, 0.2085743000, 0.2251251000, 0.2667724000, 0.3665485000, 0.6078115000, 1.1919701000", \
"0.2105524000, 0.2171880000, 0.2339913000, 0.2746164000, 0.3737511000, 0.6145652000, 1.2082482000", \
"0.2352427000, 0.2421349000, 0.2587085000, 0.2992333000, 0.3981374000, 0.6383643000, 1.2278650000", \
"0.2887728000, 0.2957519000, 0.3124322000, 0.3527074000, 0.4514963000, 0.6907956000, 1.2801494000", \
"0.3835336000, 0.3915073000, 0.4112180000, 0.4583033000, 0.5644271000, 0.8048086000, 1.3932882000", \
"0.5409192000, 0.5520773000, 0.5788349000, 0.6419716000, 0.7730525000, 1.0507950000, 1.6502183000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012333200, 0.0030421800, 0.0075039900, 0.0185097000, 0.0456570000, 0.1126200000");
values("0.0214002000, 0.0225405000, 0.0252722000, 0.0319387000, 0.0473617000, 0.0835541000, 0.1716677000", \
"0.0209750000, 0.0220265000, 0.0246250000, 0.0311299000, 0.0467703000, 0.0832944000, 0.1714104000", \
"0.0254215000, 0.0262074000, 0.0280637000, 0.0333234000, 0.0471840000, 0.0826224000, 0.1712895000", \
"0.0376065000, 0.0386838000, 0.0414738000, 0.0469998000, 0.0589988000, 0.0884555000, 0.1708772000", \
"0.0599613000, 0.0612903000, 0.0660632000, 0.0728643000, 0.0891194000, 0.1211973000, 0.1908433000", \
"0.0994359000, 0.1015590000, 0.1070380000, 0.1190263000, 0.1431604000, 0.1888305000, 0.2715039000", \
"0.1703333000, 0.1750433000, 0.1835578000, 0.2020261000, 0.2391993000, 0.3061655000, 0.4259288000");
}
related_pin : "B";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012333200, 0.0030421800, 0.0075039900, 0.0185097000, 0.0456570000, 0.1126200000");
values("0.1470063000, 0.1557003000, 0.1760016000, 0.2292738000, 0.3594780000, 0.6787073000, 1.4625005000", \
"0.1466805000, 0.1557131000, 0.1771308000, 0.2299596000, 0.3600965000, 0.6822220000, 1.4648662000", \
"0.1468064000, 0.1554268000, 0.1765428000, 0.2294096000, 0.3590177000, 0.6782149000, 1.4682039000", \
"0.1470143000, 0.1556046000, 0.1768987000, 0.2297196000, 0.3594739000, 0.6786770000, 1.4640581000", \
"0.1514297000, 0.1594034000, 0.1798394000, 0.2311494000, 0.3600773000, 0.6783745000, 1.4641387000", \
"0.1835499000, 0.1921497000, 0.2125530000, 0.2597398000, 0.3777193000, 0.6835392000, 1.4641912000", \
"0.2568987000, 0.2657791000, 0.2866783000, 0.3385827000, 0.4605740000, 0.7464262000, 1.4870626000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012333200, 0.0030421800, 0.0075039900, 0.0185097000, 0.0456570000, 0.1126200000");
values("0.0216729000, 0.0225063000, 0.0244658000, 0.0291382000, 0.0399077000, 0.0651272000, 0.1256967000", \
"0.0264791000, 0.0272791000, 0.0292420000, 0.0338567000, 0.0445712000, 0.0697247000, 0.1303019000", \
"0.0370599000, 0.0380074000, 0.0402478000, 0.0450609000, 0.0555991000, 0.0805266000, 0.1411087000", \
"0.0510705000, 0.0525640000, 0.0559145000, 0.0632026000, 0.0780945000, 0.1061548000, 0.1660976000", \
"0.0642806000, 0.0664421000, 0.0715440000, 0.0830597000, 0.1063718000, 0.1498335000, 0.2243730000", \
"0.0649452000, 0.0683427000, 0.0761926000, 0.0930474000, 0.1311225000, 0.1987648000, 0.3155671000", \
"0.0214212000, 0.0267248000, 0.0394508000, 0.0673107000, 0.1257935000, 0.2345920000, 0.4183102000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012333200, 0.0030421800, 0.0075039900, 0.0185097000, 0.0456570000, 0.1126200000");
values("0.1606854000, 0.1674417000, 0.1841279000, 0.2257041000, 0.3243719000, 0.5628746000, 1.1496002000", \
"0.1607507000, 0.1674475000, 0.1845295000, 0.2258134000, 0.3251469000, 0.5655953000, 1.1537217000", \
"0.1687135000, 0.1753466000, 0.1925603000, 0.2332726000, 0.3324687000, 0.5739989000, 1.1632834000", \
"0.1935081000, 0.2002329000, 0.2164628000, 0.2573327000, 0.3571456000, 0.5963337000, 1.1863973000", \
"0.2484653000, 0.2554921000, 0.2722799000, 0.3128889000, 0.4118929000, 0.6506989000, 1.2401521000", \
"0.3469006000, 0.3561340000, 0.3779904000, 0.4289335000, 0.5402631000, 0.7832817000, 1.3783603000", \
"0.5134955000, 0.5273993000, 0.5592642000, 0.6312767000, 0.7802052000, 1.0730298000, 1.6782256000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012333200, 0.0030421800, 0.0075039900, 0.0185097000, 0.0456570000, 0.1126200000");
values("0.0174449000, 0.0183922000, 0.0206117000, 0.0261409000, 0.0395629000, 0.0728496000, 0.1556640000", \
"0.0171149000, 0.0179869000, 0.0201753000, 0.0257964000, 0.0393944000, 0.0728523000, 0.1557998000", \
"0.0212648000, 0.0219881000, 0.0236047000, 0.0281575000, 0.0402865000, 0.0726890000, 0.1554258000", \
"0.0326310000, 0.0335113000, 0.0358816000, 0.0413183000, 0.0531065000, 0.0796627000, 0.1560818000", \
"0.0530578000, 0.0546040000, 0.0582501000, 0.0666165000, 0.0826685000, 0.1148760000, 0.1777592000", \
"0.0906204000, 0.0931699000, 0.0990344000, 0.1117756000, 0.1360147000, 0.1820826000, 0.2615011000", \
"0.1603888000, 0.1643540000, 0.1733663000, 0.1927346000, 0.2323397000, 0.3002042000, 0.4172471000");
}
related_pin : "C";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012333200, 0.0030421800, 0.0075039900, 0.0185097000, 0.0456570000, 0.1126200000");
values("0.1468896000, 0.1555396000, 0.1759198000, 0.2292294000, 0.3595376000, 0.6786939000, 1.4673825000", \
"0.1468821000, 0.1553313000, 0.1770245000, 0.2288603000, 0.3589142000, 0.6778590000, 1.4659506000", \
"0.1468382000, 0.1553025000, 0.1766459000, 0.2292690000, 0.3585940000, 0.6778250000, 1.4624771000", \
"0.1463126000, 0.1549603000, 0.1768179000, 0.2292344000, 0.3595745000, 0.6794166000, 1.4629861000", \
"0.1560615000, 0.1643192000, 0.1839754000, 0.2333342000, 0.3606122000, 0.6779409000, 1.4631959000", \
"0.1979907000, 0.2062877000, 0.2276539000, 0.2752711000, 0.3864913000, 0.6871141000, 1.4698061000", \
"0.2918360000, 0.3011892000, 0.3235449000, 0.3778484000, 0.4986511000, 0.7686763000, 1.4848925000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012333200, 0.0030421800, 0.0075039900, 0.0185097000, 0.0456570000, 0.1126200000");
values("0.0164159000, 0.0171596000, 0.0188569000, 0.0229135000, 0.0326080000, 0.0558092000, 0.1123402000", \
"0.0210773000, 0.0218084000, 0.0235560000, 0.0276065000, 0.0373197000, 0.0605084000, 0.1175268000", \
"0.0289974000, 0.0301058000, 0.0326460000, 0.0380456000, 0.0485006000, 0.0715594000, 0.1284588000", \
"0.0376951000, 0.0394226000, 0.0433678000, 0.0518780000, 0.0684435000, 0.0974589000, 0.1543048000", \
"0.0429256000, 0.0455104000, 0.0518244000, 0.0651084000, 0.0913644000, 0.1371303000, 0.2129550000", \
"0.0308211000, 0.0351600000, 0.0450799000, 0.0670899000, 0.1089847000, 0.1824462000, 0.2995009000", \
"-0.032950500, -0.026249000, -0.010231100, 0.0249926000, 0.0926665000, 0.2101262000, 0.3988873000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012333200, 0.0030421800, 0.0075039900, 0.0185097000, 0.0456570000, 0.1126200000");
values("0.0869582000, 0.0936298000, 0.1108970000, 0.1522951000, 0.2524986000, 0.4921450000, 1.0837348000", \
"0.0866143000, 0.0933467000, 0.1099740000, 0.1510310000, 0.2525602000, 0.4943553000, 1.0828911000", \
"0.0948040000, 0.1011967000, 0.1173059000, 0.1579510000, 0.2576952000, 0.5004837000, 1.0980566000", \
"0.1227608000, 0.1282550000, 0.1427657000, 0.1813988000, 0.2794211000, 0.5239660000, 1.1120217000", \
"0.1881298000, 0.1950101000, 0.2102194000, 0.2459316000, 0.3373394000, 0.5763717000, 1.1675954000", \
"0.2933446000, 0.3034437000, 0.3272358000, 0.3789323000, 0.4876655000, 0.7161398000, 1.3117915000", \
"0.4714776000, 0.4862213000, 0.5195100000, 0.5942608000, 0.7505704000, 1.0471086000, 1.6296657000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012333200, 0.0030421800, 0.0075039900, 0.0185097000, 0.0456570000, 0.1126200000");
values("0.0107609000, 0.0115777000, 0.0136564000, 0.0187260000, 0.0311013000, 0.0620105000, 0.1391110000", \
"0.0113974000, 0.0120853000, 0.0139551000, 0.0187453000, 0.0311910000, 0.0624330000, 0.1380273000", \
"0.0172111000, 0.0179458000, 0.0196500000, 0.0232600000, 0.0333000000, 0.0625213000, 0.1393195000", \
"0.0283588000, 0.0294997000, 0.0316299000, 0.0370721000, 0.0484861000, 0.0709437000, 0.1396297000", \
"0.0483265000, 0.0495506000, 0.0531997000, 0.0615611000, 0.0779724000, 0.1077036000, 0.1633238000", \
"0.0854376000, 0.0881445000, 0.0941730000, 0.1070071000, 0.1321546000, 0.1740435000, 0.2517621000", \
"0.1572322000, 0.1608975000, 0.1717755000, 0.1919131000, 0.2270973000, 0.2934396000, 0.4047209000");
}
related_pin : "D";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012333200, 0.0030421800, 0.0075039900, 0.0185097000, 0.0456570000, 0.1126200000");
values("0.1369178000, 0.1460602000, 0.1696259000, 0.2252919000, 0.3581626000, 0.6784678000, 1.4686953000", \
"0.1345313000, 0.1443000000, 0.1675846000, 0.2243238000, 0.3587755000, 0.6783206000, 1.4641419000", \
"0.1310881000, 0.1409326000, 0.1644384000, 0.2220676000, 0.3578731000, 0.6782066000, 1.4767428000", \
"0.1289892000, 0.1380813000, 0.1609174000, 0.2169620000, 0.3562587000, 0.6807450000, 1.4711325000", \
"0.1516510000, 0.1586596000, 0.1781801000, 0.2257350000, 0.3515012000, 0.6788178000, 1.4637934000", \
"0.1933094000, 0.2037902000, 0.2275826000, 0.2841264000, 0.3920864000, 0.6827688000, 1.4695545000", \
"0.2750249000, 0.2872869000, 0.3156812000, 0.3820756000, 0.5217672000, 0.8019726000, 1.4888180000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
}
}
/* removed sky130_fd_sc_hd__nor4b_1 */
/* removed sky130_fd_sc_hd__nor4b_2 */
/* removed sky130_fd_sc_hd__nor4b_4 */
/* removed sky130_fd_sc_hd__nor4bb_1 */
/* removed sky130_fd_sc_hd__nor4bb_2 */
/* removed sky130_fd_sc_hd__nor4bb_4 */
/* removed sky130_fd_sc_hd__o2111a_1 */
/* removed sky130_fd_sc_hd__o2111a_2 */
/* removed sky130_fd_sc_hd__o2111a_4 */
/* removed sky130_fd_sc_hd__o2111ai_1 */
/* removed sky130_fd_sc_hd__o2111ai_2 */
/* removed sky130_fd_sc_hd__o2111ai_4 */
/* removed sky130_fd_sc_hd__o211a_1 */
/* removed sky130_fd_sc_hd__o211a_2 */
/* removed sky130_fd_sc_hd__o211a_4 */
/* removed sky130_fd_sc_hd__o211ai_1 */
/* removed sky130_fd_sc_hd__o211ai_2 */
/* removed sky130_fd_sc_hd__o211ai_4 */
/* removed sky130_fd_sc_hd__o21a_1 */
/* removed sky130_fd_sc_hd__o21a_2 */
cell ("sky130_fd_sc_hd__o21a_4") {
leakage_power () {
value : 0.0051880000;
when : "!A1&!A2&B1";
}
leakage_power () {
value : 0.0039272000;
when : "!A1&!A2&!B1";
}
leakage_power () {
value : 0.0037499000;
when : "!A1&A2&B1";
}
leakage_power () {
value : 0.0046044000;
when : "!A1&A2&!B1";
}
leakage_power () {
value : 0.0039146000;
when : "A1&!A2&B1";
}
leakage_power () {
value : 0.0046044000;
when : "A1&!A2&!B1";
}
leakage_power () {
value : 0.0026220000;
when : "A1&A2&B1";
}
leakage_power () {
value : 0.0046044000;
when : "A1&A2&!B1";
}
area : 15.014400000;
cell_footprint : "sky130_fd_sc_hd__o21a";
cell_leakage_power : 0.0041518770;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A1") {
capacitance : 0.0048480000;
clock : "false";
direction : "input";
fall_capacitance : 0.0046190000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0081779000, 0.0081694000, 0.0081499000, 0.0081509000, 0.0081531000, 0.0081583000, 0.0081702000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.008139400, -0.008136500, -0.008129700, -0.008131200, -0.008134600, -0.008142400, -0.008160400");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0050770000;
}
pin ("A2") {
capacitance : 0.0044330000;
clock : "false";
direction : "input";
fall_capacitance : 0.0041180000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0075832000, 0.0075840000, 0.0075857000, 0.0075877000, 0.0075922000, 0.0076026000, 0.0076266000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.007574500, -0.007573700, -0.007571700, -0.007573300, -0.007577100, -0.007585900, -0.007606200");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0047490000;
}
pin ("B1") {
capacitance : 0.0045100000;
clock : "false";
direction : "input";
fall_capacitance : 0.0043320000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0093113000, 0.0093097000, 0.0093062000, 0.0093028000, 0.0092949000, 0.0092768000, 0.0092351000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.004740500, -0.004749600, -0.004770600, -0.004747300, -0.004693700, -0.004570200, -0.004285500");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0046890000;
}
pin ("X") {
direction : "output";
function : "(A1&B1) | (A2&B1)";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015863440, 0.0050329770, 0.0159680700, 0.0506617200, 0.1607339000, 0.5099586000");
values("0.0349028000, 0.0331402000, 0.0279697000, 0.0133849000, -0.038846400, -0.215411900, -0.780559600", \
"0.0343731000, 0.0328957000, 0.0278629000, 0.0131828000, -0.039086500, -0.215625100, -0.780835900", \
"0.0343512000, 0.0325940000, 0.0274996000, 0.0128293000, -0.039413500, -0.215928400, -0.781125400", \
"0.0338105000, 0.0321305000, 0.0271300000, 0.0122806000, -0.039942800, -0.216308200, -0.781469200", \
"0.0336993000, 0.0319363000, 0.0268497000, 0.0119352000, -0.040334100, -0.216731800, -0.781724400", \
"0.0328575000, 0.0310351000, 0.0254072000, 0.0108969000, -0.040757900, -0.216987400, -0.781936400", \
"0.0405978000, 0.0386626000, 0.0328660000, 0.0151461000, -0.040474700, -0.217009500, -0.781222000");
}
related_pin : "A1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015863440, 0.0050329770, 0.0159680700, 0.0506617200, 0.1607339000, 0.5099586000");
values("0.0344488000, 0.0363426000, 0.0424278000, 0.0610558000, 0.1178451000, 0.2949718000, 0.8542011000", \
"0.0341556000, 0.0361253000, 0.0422117000, 0.0608381000, 0.1176249000, 0.2948226000, 0.8540360000", \
"0.0338866000, 0.0358399000, 0.0419299000, 0.0605367000, 0.1173418000, 0.2944585000, 0.8539235000", \
"0.0336399000, 0.0355600000, 0.0415777000, 0.0601399000, 0.1168501000, 0.2940188000, 0.8535667000", \
"0.0332854000, 0.0352010000, 0.0411113000, 0.0595469000, 0.1162195000, 0.2935417000, 0.8529137000", \
"0.0340778000, 0.0358745000, 0.0415579000, 0.0595313000, 0.1155557000, 0.2921790000, 0.8515688000", \
"0.0356085000, 0.0373480000, 0.0428863000, 0.0606354000, 0.1171346000, 0.2940427000, 0.8513857000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015863440, 0.0050329770, 0.0159680700, 0.0506617200, 0.1607339000, 0.5099586000");
values("0.0305294000, 0.0287249000, 0.0236549000, 0.0087595000, -0.043442400, -0.219717000, -0.784948000", \
"0.0299549000, 0.0283017000, 0.0231859000, 0.0084876000, -0.043691600, -0.220044300, -0.785196600", \
"0.0297775000, 0.0279660000, 0.0228584000, 0.0081665000, -0.044066300, -0.220469500, -0.785549800", \
"0.0293841000, 0.0276790000, 0.0225608000, 0.0078522000, -0.044409000, -0.220781500, -0.785836000", \
"0.0291426000, 0.0273972000, 0.0222902000, 0.0073664000, -0.044507000, -0.221106900, -0.786117800", \
"0.0292481000, 0.0275666000, 0.0221533000, 0.0071859000, -0.044924500, -0.221091700, -0.785780000", \
"0.0393491000, 0.0373496000, 0.0311361000, 0.0131061000, -0.042714600, -0.218760900, -0.783506900");
}
related_pin : "A2";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015863440, 0.0050329770, 0.0159680700, 0.0506617200, 0.1607339000, 0.5099586000");
values("0.0312794000, 0.0331467000, 0.0392206000, 0.0579581000, 0.1148050000, 0.2915096000, 0.8511920000", \
"0.0311978000, 0.0331274000, 0.0391970000, 0.0579636000, 0.1147774000, 0.2915987000, 0.8478284000", \
"0.0309205000, 0.0328365000, 0.0389439000, 0.0576620000, 0.1145166000, 0.2918043000, 0.8483428000", \
"0.0304083000, 0.0323401000, 0.0383697000, 0.0569140000, 0.1137636000, 0.2910374000, 0.8469606000", \
"0.0300034000, 0.0318251000, 0.0378375000, 0.0562372000, 0.1126580000, 0.2902981000, 0.8516763000", \
"0.0305780000, 0.0323726000, 0.0380586000, 0.0560480000, 0.1121007000, 0.2887010000, 0.8500587000", \
"0.0323767000, 0.0341036000, 0.0396439000, 0.0573750000, 0.1134528000, 0.2903706000, 0.8473345000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015863440, 0.0050329770, 0.0159680700, 0.0506617200, 0.1607339000, 0.5099586000");
values("0.0247369000, 0.0235843000, 0.0195587000, 0.0055707000, -0.047762100, -0.225504900, -0.791192600", \
"0.0243170000, 0.0231739000, 0.0192000000, 0.0051222000, -0.048084200, -0.225828100, -0.791494100", \
"0.0238650000, 0.0226560000, 0.0186428000, 0.0045288000, -0.048637200, -0.226316800, -0.792003500", \
"0.0232073000, 0.0219989000, 0.0179122000, 0.0036897000, -0.049367400, -0.226890300, -0.792496900", \
"0.0231594000, 0.0217689000, 0.0174918000, 0.0031894000, -0.049670300, -0.226773300, -0.792312900", \
"0.0284098000, 0.0265827000, 0.0210866000, 0.0036738000, -0.049671400, -0.226627100, -0.791915200", \
"0.0333419000, 0.0314218000, 0.0255302000, 0.0076895000, -0.048017900, -0.225374300, -0.790040200");
}
related_pin : "B1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015863440, 0.0050329770, 0.0159680700, 0.0506617200, 0.1607339000, 0.5099586000");
values("0.0314506000, 0.0333302000, 0.0394235000, 0.0580362000, 0.1149053000, 0.2921032000, 0.8513946000", \
"0.0312377000, 0.0332098000, 0.0391923000, 0.0578483000, 0.1147157000, 0.2918835000, 0.8519878000", \
"0.0309177000, 0.0328472000, 0.0389526000, 0.0575845000, 0.1143825000, 0.2916041000, 0.8509636000", \
"0.0306130000, 0.0324885000, 0.0384521000, 0.0568931000, 0.1136168000, 0.2907188000, 0.8510610000", \
"0.0303449000, 0.0322732000, 0.0381762000, 0.0560965000, 0.1124882000, 0.2901295000, 0.8503830000", \
"0.0311608000, 0.0329620000, 0.0386072000, 0.0563998000, 0.1120234000, 0.2888569000, 0.8520636000", \
"0.0333226000, 0.0350483000, 0.0405597000, 0.0580158000, 0.1141196000, 0.2907186000, 0.8485613000");
}
}
max_capacitance : 0.5099590000;
max_transition : 1.5044210000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015863400, 0.0050329800, 0.0159681000, 0.0506617000, 0.1607340000, 0.5099590000");
values("0.1794242000, 0.1833074000, 0.1932474000, 0.2152595000, 0.2612872000, 0.3675630000, 0.6715705000", \
"0.1845851000, 0.1884811000, 0.1984325000, 0.2203748000, 0.2662723000, 0.3727826000, 0.6763995000", \
"0.1971462000, 0.2010235000, 0.2110096000, 0.2330001000, 0.2790358000, 0.3853597000, 0.6888844000", \
"0.2242279000, 0.2281207000, 0.2380838000, 0.2600631000, 0.3061917000, 0.4127133000, 0.7165839000", \
"0.2855375000, 0.2894339000, 0.2993225000, 0.3212597000, 0.3672074000, 0.4740194000, 0.7775355000", \
"0.4067305000, 0.4110992000, 0.4221781000, 0.4461673000, 0.4956328000, 0.6044996000, 0.9090384000", \
"0.6166190000, 0.6219832000, 0.6356252000, 0.6643857000, 0.7202821000, 0.8368277000, 1.1410498000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015863400, 0.0050329800, 0.0159681000, 0.0506617000, 0.1607340000, 0.5099590000");
values("0.0918284000, 0.0956384000, 0.1060552000, 0.1326806000, 0.2057754000, 0.4299661000, 1.1327927000", \
"0.0962322000, 0.1000703000, 0.1104946000, 0.1371346000, 0.2102197000, 0.4344053000, 1.1371009000", \
"0.1055927000, 0.1094960000, 0.1198841000, 0.1465217000, 0.2195638000, 0.4436351000, 1.1467938000", \
"0.1256645000, 0.1294807000, 0.1398490000, 0.1664581000, 0.2394696000, 0.4634778000, 1.1668773000", \
"0.1614973000, 0.1656273000, 0.1765301000, 0.2039067000, 0.2773710000, 0.5018066000, 1.2047752000", \
"0.2073992000, 0.2122812000, 0.2246534000, 0.2539609000, 0.3286876000, 0.5519538000, 1.2585022000", \
"0.2420341000, 0.2485088000, 0.2644797000, 0.2996433000, 0.3777444000, 0.6013403000, 1.3048087000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015863400, 0.0050329800, 0.0159681000, 0.0506617000, 0.1607340000, 0.5099590000");
values("0.0270151000, 0.0292594000, 0.0352753000, 0.0502974000, 0.0903679000, 0.2103345000, 0.6138639000", \
"0.0273606000, 0.0293203000, 0.0358181000, 0.0502917000, 0.0904944000, 0.2104127000, 0.6114365000", \
"0.0270136000, 0.0292738000, 0.0354196000, 0.0504199000, 0.0903610000, 0.2103981000, 0.6112896000", \
"0.0272046000, 0.0294401000, 0.0355469000, 0.0504219000, 0.0903436000, 0.2103777000, 0.6118739000", \
"0.0271586000, 0.0293896000, 0.0353721000, 0.0508849000, 0.0904274000, 0.2104373000, 0.6106762000", \
"0.0327694000, 0.0352907000, 0.0410132000, 0.0568550000, 0.0956348000, 0.2131264000, 0.6138424000", \
"0.0453802000, 0.0476535000, 0.0548346000, 0.0708017000, 0.1101975000, 0.2243486000, 0.6139343000");
}
related_pin : "A1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015863400, 0.0050329800, 0.0159681000, 0.0506617000, 0.1607340000, 0.5099590000");
values("0.0210057000, 0.0244143000, 0.0342651000, 0.0643234000, 0.1626311000, 0.4838848000, 1.5011893000", \
"0.0210205000, 0.0244197000, 0.0342405000, 0.0642629000, 0.1626285000, 0.4838946000, 1.5010634000", \
"0.0210512000, 0.0244120000, 0.0342425000, 0.0643404000, 0.1625084000, 0.4838784000, 1.5011247000", \
"0.0211060000, 0.0244514000, 0.0342654000, 0.0644369000, 0.1625435000, 0.4838359000, 1.5011641000", \
"0.0236412000, 0.0269859000, 0.0367336000, 0.0662502000, 0.1635368000, 0.4837685000, 1.5011568000", \
"0.0296821000, 0.0330465000, 0.0432511000, 0.0709208000, 0.1653566000, 0.4819817000, 1.5003772000", \
"0.0419511000, 0.0458914000, 0.0565707000, 0.0835526000, 0.1702403000, 0.4835568000, 1.4945181000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015863400, 0.0050329800, 0.0159681000, 0.0506617000, 0.1607340000, 0.5099590000");
values("0.1629001000, 0.1667835000, 0.1767070000, 0.1988171000, 0.2447848000, 0.3509430000, 0.6547117000", \
"0.1669428000, 0.1708396000, 0.1807852000, 0.2028017000, 0.2488832000, 0.3551968000, 0.6587268000", \
"0.1777025000, 0.1815534000, 0.1914972000, 0.2135167000, 0.2596185000, 0.3659711000, 0.6697596000", \
"0.2061578000, 0.2100685000, 0.2200166000, 0.2420078000, 0.2879404000, 0.3945712000, 0.6987067000", \
"0.2751042000, 0.2790180000, 0.2889020000, 0.3107205000, 0.3564044000, 0.4633104000, 0.7669959000", \
"0.4145607000, 0.4192351000, 0.4309026000, 0.4552873000, 0.5038420000, 0.6126850000, 0.9161629000", \
"0.6408246000, 0.6468981000, 0.6622714000, 0.6944760000, 0.7523265000, 0.8662150000, 1.1725843000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015863400, 0.0050329800, 0.0159681000, 0.0506617000, 0.1607340000, 0.5099590000");
values("0.0856212000, 0.0895050000, 0.1001278000, 0.1272672000, 0.2004477000, 0.4236371000, 1.1304541000", \
"0.0901740000, 0.0941451000, 0.1047548000, 0.1318821000, 0.2052042000, 0.4282820000, 1.1327043000", \
"0.0988489000, 0.1027721000, 0.1134161000, 0.1405163000, 0.2137782000, 0.4367532000, 1.1409593000", \
"0.1165524000, 0.1204852000, 0.1311069000, 0.1581384000, 0.2314838000, 0.4553698000, 1.1569835000", \
"0.1457895000, 0.1500709000, 0.1613796000, 0.1893901000, 0.2632160000, 0.4868777000, 1.1932122000", \
"0.1798477000, 0.1849600000, 0.1978663000, 0.2281626000, 0.3035026000, 0.5274052000, 1.2312900000", \
"0.1928019000, 0.1996217000, 0.2167523000, 0.2536663000, 0.3330297000, 0.5567531000, 1.2600262000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015863400, 0.0050329800, 0.0159681000, 0.0506617000, 0.1607340000, 0.5099590000");
values("0.0270509000, 0.0292771000, 0.0354355000, 0.0504688000, 0.0904032000, 0.2100605000, 0.6132257000", \
"0.0272395000, 0.0294727000, 0.0354614000, 0.0503279000, 0.0903970000, 0.2103326000, 0.6132346000", \
"0.0273040000, 0.0294473000, 0.0354679000, 0.0502804000, 0.0903407000, 0.2104927000, 0.6113732000", \
"0.0270869000, 0.0293886000, 0.0355313000, 0.0502944000, 0.0904686000, 0.2105812000, 0.6127315000", \
"0.0270877000, 0.0293902000, 0.0354704000, 0.0502345000, 0.0907141000, 0.2106436000, 0.6118317000", \
"0.0366343000, 0.0391440000, 0.0455119000, 0.0588236000, 0.0956770000, 0.2133078000, 0.6119781000", \
"0.0538691000, 0.0569584000, 0.0646356000, 0.0803821000, 0.1146534000, 0.2242430000, 0.6151942000");
}
related_pin : "A2";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015863400, 0.0050329800, 0.0159681000, 0.0506617000, 0.1607340000, 0.5099590000");
values("0.0212409000, 0.0246061000, 0.0346018000, 0.0648250000, 0.1626774000, 0.4837908000, 1.5018164000", \
"0.0213390000, 0.0247041000, 0.0346230000, 0.0647600000, 0.1629866000, 0.4830731000, 1.4990253000", \
"0.0213363000, 0.0247184000, 0.0346251000, 0.0647693000, 0.1628629000, 0.4827345000, 1.4998432000", \
"0.0217105000, 0.0250423000, 0.0350719000, 0.0649471000, 0.1630079000, 0.4837510000, 1.4995671000", \
"0.0245645000, 0.0279725000, 0.0376876000, 0.0672699000, 0.1636668000, 0.4827794000, 1.5044208000", \
"0.0313618000, 0.0347590000, 0.0447659000, 0.0728697000, 0.1662895000, 0.4820174000, 1.4990331000", \
"0.0447700000, 0.0489775000, 0.0598782000, 0.0867364000, 0.1728573000, 0.4842042000, 1.4982131000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015863400, 0.0050329800, 0.0159681000, 0.0506617000, 0.1607340000, 0.5099590000");
values("0.0855756000, 0.0886027000, 0.0964216000, 0.1149069000, 0.1572844000, 0.2597968000, 0.5614166000", \
"0.0908521000, 0.0937988000, 0.1016540000, 0.1201948000, 0.1626319000, 0.2651681000, 0.5668795000", \
"0.1040908000, 0.1069987000, 0.1148100000, 0.1333453000, 0.1758474000, 0.2783760000, 0.5807209000", \
"0.1363760000, 0.1393249000, 0.1471042000, 0.1655543000, 0.2081372000, 0.3108306000, 0.6130395000", \
"0.2052914000, 0.2086408000, 0.2172657000, 0.2370345000, 0.2807059000, 0.3829769000, 0.6852152000", \
"0.3201192000, 0.3244230000, 0.3356884000, 0.3603286000, 0.4115334000, 0.5202398000, 0.8219033000", \
"0.5077440000, 0.5133734000, 0.5273012000, 0.5596177000, 0.6254853000, 0.7485467000, 1.0535538000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015863400, 0.0050329800, 0.0159681000, 0.0506617000, 0.1607340000, 0.5099590000");
values("0.0848856000, 0.0886865000, 0.0991085000, 0.1257663000, 0.1989082000, 0.4231330000, 1.1259795000", \
"0.0889653000, 0.0928212000, 0.1031696000, 0.1299097000, 0.2032000000, 0.4264813000, 1.1300901000", \
"0.0987954000, 0.1026995000, 0.1131106000, 0.1397295000, 0.2128038000, 0.4370223000, 1.1400469000", \
"0.1228097000, 0.1265942000, 0.1369288000, 0.1633827000, 0.2365166000, 0.4596065000, 1.1643687000", \
"0.1609926000, 0.1650354000, 0.1758623000, 0.2030252000, 0.2763443000, 0.4998935000, 1.2046936000", \
"0.2049994000, 0.2099421000, 0.2224707000, 0.2510384000, 0.3249547000, 0.5493651000, 1.2530784000", \
"0.2327299000, 0.2393742000, 0.2556191000, 0.2904473000, 0.3664199000, 0.5894520000, 1.2936808000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015863400, 0.0050329800, 0.0159681000, 0.0506617000, 0.1607340000, 0.5099590000");
values("0.0172841000, 0.0191860000, 0.0251101000, 0.0411605000, 0.0828023000, 0.2033193000, 0.6088952000", \
"0.0172002000, 0.0193430000, 0.0251820000, 0.0411585000, 0.0827912000, 0.2032008000, 0.6081589000", \
"0.0171893000, 0.0193170000, 0.0249370000, 0.0411120000, 0.0826956000, 0.2032227000, 0.6080784000", \
"0.0171680000, 0.0194030000, 0.0250454000, 0.0411802000, 0.0826515000, 0.2029703000, 0.6078531000", \
"0.0216619000, 0.0237078000, 0.0293331000, 0.0443694000, 0.0844567000, 0.2041599000, 0.6075128000", \
"0.0323305000, 0.0345872000, 0.0415477000, 0.0577726000, 0.0979837000, 0.2102534000, 0.6082649000", \
"0.0487041000, 0.0518258000, 0.0605436000, 0.0806460000, 0.1264223000, 0.2298852000, 0.6118550000");
}
related_pin : "B1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015863400, 0.0050329800, 0.0159681000, 0.0506617000, 0.1607340000, 0.5099590000");
values("0.0210194000, 0.0244297000, 0.0342620000, 0.0643472000, 0.1626461000, 0.4838970000, 1.5011384000", \
"0.0209664000, 0.0242673000, 0.0342460000, 0.0643709000, 0.1628384000, 0.4833194000, 1.4978221000", \
"0.0210599000, 0.0243856000, 0.0342183000, 0.0643046000, 0.1625693000, 0.4839160000, 1.5011040000", \
"0.0212124000, 0.0245385000, 0.0343286000, 0.0644579000, 0.1627965000, 0.4822261000, 1.4999936000", \
"0.0241496000, 0.0272303000, 0.0369356000, 0.0666993000, 0.1634964000, 0.4828467000, 1.5006046000", \
"0.0316699000, 0.0349237000, 0.0435945000, 0.0710406000, 0.1655318000, 0.4821830000, 1.4992791000", \
"0.0449280000, 0.0489921000, 0.0589535000, 0.0842841000, 0.1706999000, 0.4841962000, 1.4951113000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
/* removed sky130_fd_sc_hd__o21ai_0 */
/* removed sky130_fd_sc_hd__o21ai_1 */
/* removed sky130_fd_sc_hd__o21ai_2 */
cell ("sky130_fd_sc_hd__o21ai_4") {
leakage_power () {
value : 0.0033032000;
when : "!A1&!A2&B1";
}
leakage_power () {
value : 0.0003379000;
when : "!A1&!A2&!B1";
}
leakage_power () {
value : 0.0055610000;
when : "!A1&A2&B1";
}
leakage_power () {
value : 0.0020090000;
when : "!A1&A2&!B1";
}
leakage_power () {
value : 0.0060341000;
when : "A1&!A2&B1";
}
leakage_power () {
value : 0.0019958000;
when : "A1&!A2&!B1";
}
leakage_power () {
value : 0.0039633000;
when : "A1&A2&B1";
}
leakage_power () {
value : 0.0020126000;
when : "A1&A2&!B1";
}
area : 16.265600000;
cell_footprint : "sky130_fd_sc_hd__o21ai";
cell_leakage_power : 0.0031521180;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A1") {
capacitance : 0.0091440000;
clock : "false";
direction : "input";
fall_capacitance : 0.0086780000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0159853000, 0.0159759000, 0.0159543000, 0.0159531000, 0.0159504000, 0.0159442000, 0.0159297000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.015936800, -0.015925700, -0.015900200, -0.015894200, -0.015880200, -0.015848000, -0.015773900");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0096110000;
}
pin ("A2") {
capacitance : 0.0084740000;
clock : "false";
direction : "input";
fall_capacitance : 0.0078830000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0151335000, 0.0151232000, 0.0150996000, 0.0150990000, 0.0150977000, 0.0150948000, 0.0150879000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.015094100, -0.015094700, -0.015096200, -0.015095400, -0.015093800, -0.015090000, -0.015081200");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0090640000;
}
pin ("B1") {
capacitance : 0.0086920000;
clock : "false";
direction : "input";
fall_capacitance : 0.0083910000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0183625000, 0.0183591000, 0.0183515000, 0.0183575000, 0.0183713000, 0.0184031000, 0.0184764000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.009988700, -0.010021200, -0.010096200, -0.010048000, -0.009936600, -0.009680100, -0.009088600");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0089930000;
}
pin ("Y") {
direction : "output";
function : "(!A1&!A2) | (!B1)";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0013834110, 0.0038276500, 0.0105904200, 0.0293018100, 0.0810728600, 0.2243141000");
values("0.0198567000, 0.0184379000, 0.0145406000, 0.0036896000, -0.026417700, -0.110016700, -0.341819100", \
"0.0193277000, 0.0179346000, 0.0140290000, 0.0032380000, -0.026839000, -0.110465500, -0.342231200", \
"0.0186186000, 0.0172210000, 0.0133816000, 0.0026657000, -0.027302200, -0.110840900, -0.342521900", \
"0.0177382000, 0.0163835000, 0.0126388000, 0.0020367000, -0.027807300, -0.111236500, -0.342747600", \
"0.0178248000, 0.0164199000, 0.0125143000, 0.0014983000, -0.028204700, -0.111380300, -0.342859300", \
"0.0180236000, 0.0166111000, 0.0125930000, 0.0017153000, -0.028383300, -0.111994000, -0.343325000", \
"0.0207343000, 0.0193630000, 0.0152204000, 0.0039568000, -0.026816500, -0.110951600, -0.343149300");
}
related_pin : "A1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0013834110, 0.0038276500, 0.0105904200, 0.0293018100, 0.0810728600, 0.2243141000");
values("0.0375294000, 0.0389800000, 0.0429471000, 0.0540202000, 0.0842378000, 0.1673311000, 0.3964341000", \
"0.0368062000, 0.0382830000, 0.0423065000, 0.0534856000, 0.0839196000, 0.1669254000, 0.3962280000", \
"0.0360370000, 0.0375554000, 0.0416340000, 0.0528213000, 0.0833851000, 0.1666621000, 0.3960475000", \
"0.0355080000, 0.0369404000, 0.0409951000, 0.0521038000, 0.0827333000, 0.1660710000, 0.3957360000", \
"0.0350694000, 0.0365372000, 0.0405848000, 0.0516003000, 0.0819388000, 0.1654224000, 0.3952675000", \
"0.0349743000, 0.0364155000, 0.0403696000, 0.0515763000, 0.0819511000, 0.1652728000, 0.3947931000", \
"0.0359171000, 0.0373066000, 0.0410647000, 0.0516545000, 0.0825219000, 0.1663649000, 0.3951909000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0013834110, 0.0038276500, 0.0105904200, 0.0293018100, 0.0810728600, 0.2243141000");
values("0.0130528000, 0.0117167000, 0.0079095000, -0.002826200, -0.033009800, -0.116827400, -0.348823200", \
"0.0124754000, 0.0112210000, 0.0075921000, -0.002924400, -0.032876000, -0.116583800, -0.348546000", \
"0.0115637000, 0.0103112000, 0.0067716000, -0.003419900, -0.033037100, -0.116493700, -0.348339200", \
"0.0104974000, 0.0092569000, 0.0056897000, -0.004461700, -0.033686600, -0.116730100, -0.348356200", \
"0.0105889000, 0.0092430000, 0.0054671000, -0.005108600, -0.034617800, -0.117288100, -0.348512800", \
"0.0108225000, 0.0093814000, 0.0054624000, -0.005347800, -0.035111700, -0.118232300, -0.349117400", \
"0.0143662000, 0.0127120000, 0.0084355000, -0.003074600, -0.033952600, -0.117821100, -0.349499500");
}
related_pin : "A2";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0013834110, 0.0038276500, 0.0105904200, 0.0293018100, 0.0810728600, 0.2243141000");
values("0.0285894000, 0.0300678000, 0.0340754000, 0.0451522000, 0.0753900000, 0.1584686000, 0.3874950000", \
"0.0277337000, 0.0292123000, 0.0333278000, 0.0445479000, 0.0749813000, 0.1581746000, 0.3873588000", \
"0.0268622000, 0.0283082000, 0.0324520000, 0.0437338000, 0.0743148000, 0.1577178000, 0.3870611000", \
"0.0262646000, 0.0277460000, 0.0318726000, 0.0429547000, 0.0735726000, 0.1573816000, 0.3868761000", \
"0.0262932000, 0.0277355000, 0.0316658000, 0.0427235000, 0.0730024000, 0.1564933000, 0.3863418000", \
"0.0286772000, 0.0300672000, 0.0339801000, 0.0447559000, 0.0746524000, 0.1568866000, 0.3846276000", \
"0.0334104000, 0.0350448000, 0.0388090000, 0.0488631000, 0.0777342000, 0.1595000000, 0.3864958000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0013834110, 0.0038276500, 0.0105904200, 0.0293018100, 0.0810728600, 0.2243141000");
values("0.0136968000, 0.0123635000, 0.0086711000, -0.001806700, -0.031658500, -0.115108100, -0.346844800", \
"0.0131097000, 0.0118073000, 0.0081701000, -0.002242800, -0.031864800, -0.115141800, -0.346754100", \
"0.0124934000, 0.0111805000, 0.0074595000, -0.002876400, -0.032294900, -0.115355000, -0.346929700", \
"0.0117042000, 0.0103759000, 0.0067285000, -0.003712400, -0.033130000, -0.115908300, -0.347092600", \
"0.0116757000, 0.0102637000, 0.0063666000, -0.004325800, -0.033881600, -0.116782600, -0.347502500", \
"0.0139444000, 0.0125770000, 0.0087520000, -0.002509100, -0.033672700, -0.117010300, -0.348111200", \
"0.0190410000, 0.0174023000, 0.0129922000, 0.0011417000, -0.030255200, -0.115330700, -0.348173900");
}
related_pin : "B1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0013834110, 0.0038276500, 0.0105904200, 0.0293018100, 0.0810728600, 0.2243141000");
values("0.0181989000, 0.0200062000, 0.0246691000, 0.0365772000, 0.0674511000, 0.1505339000, 0.3795280000", \
"0.0172663000, 0.0189542000, 0.0234684000, 0.0356349000, 0.0667807000, 0.1504597000, 0.3796086000", \
"0.0165928000, 0.0181578000, 0.0225441000, 0.0344036000, 0.0655030000, 0.1499659000, 0.3798025000", \
"0.0168151000, 0.0182569000, 0.0219413000, 0.0334912000, 0.0646330000, 0.1485199000, 0.3783599000", \
"0.0174958000, 0.0187294000, 0.0225190000, 0.0333222000, 0.0638333000, 0.1477859000, 0.3775810000", \
"0.0198439000, 0.0211351000, 0.0248243000, 0.0354356000, 0.0658961000, 0.1474220000, 0.3739525000", \
"0.0264546000, 0.0275616000, 0.0307605000, 0.0428123000, 0.0687302000, 0.1518231000, 0.3774925000");
}
}
max_capacitance : 0.2243140000;
max_transition : 1.4943390000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013834100, 0.0038276500, 0.0105904000, 0.0293018000, 0.0810729000, 0.2243140000");
values("0.0341694000, 0.0357726000, 0.0401979000, 0.0514408000, 0.0808595000, 0.1590864000, 0.3728817000", \
"0.0383389000, 0.0400060000, 0.0443702000, 0.0557198000, 0.0850638000, 0.1633668000, 0.3768873000", \
"0.0469476000, 0.0486026000, 0.0529413000, 0.0642507000, 0.0936581000, 0.1719120000, 0.3856913000", \
"0.0608769000, 0.0628951000, 0.0683591000, 0.0814181000, 0.1122911000, 0.1908625000, 0.4048147000", \
"0.0783892000, 0.0813325000, 0.0891538000, 0.1071802000, 0.1468141000, 0.2333676000, 0.4484930000", \
"0.0889602000, 0.0934999000, 0.1053196000, 0.1327943000, 0.1929517000, 0.3092364000, 0.5470426000", \
"0.0619597000, 0.0692746000, 0.0881530000, 0.1328153000, 0.2284248000, 0.4078190000, 0.7277438000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013834100, 0.0038276500, 0.0105904000, 0.0293018000, 0.0810729000, 0.2243140000");
values("0.1003323000, 0.1045961000, 0.1163993000, 0.1479843000, 0.2335145000, 0.4678911000, 1.1167905000", \
"0.1045577000, 0.1090350000, 0.1207176000, 0.1527927000, 0.2386732000, 0.4737271000, 1.1194455000", \
"0.1163351000, 0.1210212000, 0.1327891000, 0.1650161000, 0.2516572000, 0.4868996000, 1.1329389000", \
"0.1435823000, 0.1477670000, 0.1596073000, 0.1916122000, 0.2779779000, 0.5136339000, 1.1600039000", \
"0.1974026000, 0.2022735000, 0.2159943000, 0.2502936000, 0.3364303000, 0.5720435000, 1.2193372000", \
"0.2916447000, 0.2982642000, 0.3156072000, 0.3604048000, 0.4647673000, 0.7066279000, 1.3550692000", \
"0.4518075000, 0.4620334000, 0.4888832000, 0.5539021000, 0.6975475000, 1.0023979000, 1.6678967000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013834100, 0.0038276500, 0.0105904000, 0.0293018000, 0.0810729000, 0.2243140000");
values("0.0248376000, 0.0265352000, 0.0312712000, 0.0447122000, 0.0825021000, 0.1881129000, 0.4816426000", \
"0.0246349000, 0.0263442000, 0.0312032000, 0.0446635000, 0.0824659000, 0.1881151000, 0.4817008000", \
"0.0254089000, 0.0269940000, 0.0316061000, 0.0447636000, 0.0823877000, 0.1881472000, 0.4811362000", \
"0.0319613000, 0.0335619000, 0.0381648000, 0.0503605000, 0.0848552000, 0.1882185000, 0.4811554000", \
"0.0482819000, 0.0501802000, 0.0554483000, 0.0690068000, 0.1037211000, 0.1972017000, 0.4818376000", \
"0.0809816000, 0.0839376000, 0.0910628000, 0.1091840000, 0.1507977000, 0.2462794000, 0.5021340000", \
"0.1424125000, 0.1467481000, 0.1578898000, 0.1855008000, 0.2438027000, 0.3603943000, 0.6180320000");
}
related_pin : "A1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013834100, 0.0038276500, 0.0105904000, 0.0293018000, 0.0810729000, 0.2243140000");
values("0.0712219000, 0.0768922000, 0.0923835000, 0.1357977000, 0.2535419000, 0.5781401000, 1.4785918000", \
"0.0711968000, 0.0768112000, 0.0925288000, 0.1357988000, 0.2534997000, 0.5794961000, 1.4805310000", \
"0.0712527000, 0.0769249000, 0.0926642000, 0.1357819000, 0.2549503000, 0.5796232000, 1.4805505000", \
"0.0715915000, 0.0771026000, 0.0925965000, 0.1355664000, 0.2533746000, 0.5790159000, 1.4769895000", \
"0.0870297000, 0.0920774000, 0.1056263000, 0.1439173000, 0.2559129000, 0.5784745000, 1.4788840000", \
"0.1222318000, 0.1286375000, 0.1440239000, 0.1855596000, 0.2898668000, 0.5878960000, 1.4809189000", \
"0.1994151000, 0.2069881000, 0.2263395000, 0.2748045000, 0.3910973000, 0.6767196000, 1.4932955000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013834100, 0.0038276500, 0.0105904000, 0.0293018000, 0.0810729000, 0.2243140000");
values("0.0280072000, 0.0295461000, 0.0335594000, 0.0444858000, 0.0739375000, 0.1554548000, 0.3780100000", \
"0.0320494000, 0.0336314000, 0.0378587000, 0.0488544000, 0.0785241000, 0.1592009000, 0.3821911000", \
"0.0390645000, 0.0409414000, 0.0455713000, 0.0571004000, 0.0872889000, 0.1683377000, 0.3914664000", \
"0.0483930000, 0.0508283000, 0.0569545000, 0.0714429000, 0.1044099000, 0.1860021000, 0.4096711000", \
"0.0550642000, 0.0588121000, 0.0683213000, 0.0901613000, 0.1344108000, 0.2261607000, 0.4518472000", \
"0.0458952000, 0.0514218000, 0.0666574000, 0.1020145000, 0.1708357000, 0.2961164000, 0.5457395000", \
"-0.018259800, -0.007830900, 0.0181535000, 0.0757855000, 0.1868152000, 0.3816133000, 0.7152793000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013834100, 0.0038276500, 0.0105904000, 0.0293018000, 0.0810729000, 0.2243140000");
values("0.0809942000, 0.0851180000, 0.0968628000, 0.1286109000, 0.2144106000, 0.4487218000, 1.0941149000", \
"0.0839757000, 0.0882662000, 0.1000463000, 0.1322937000, 0.2184165000, 0.4530866000, 1.0988620000", \
"0.0938002000, 0.0980872000, 0.1102753000, 0.1425253000, 0.2287812000, 0.4641668000, 1.1102106000", \
"0.1221292000, 0.1264555000, 0.1382112000, 0.1694495000, 0.2559851000, 0.4918345000, 1.1381432000", \
"0.1857744000, 0.1911097000, 0.2048040000, 0.2390225000, 0.3239434000, 0.5589635000, 1.2087839000", \
"0.2918167000, 0.2999071000, 0.3209723000, 0.3714707000, 0.4815919000, 0.7172781000, 1.3584690000", \
"0.4712327000, 0.4837261000, 0.5142463000, 0.5885430000, 0.7546582000, 1.0789938000, 1.7284796000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013834100, 0.0038276500, 0.0105904000, 0.0293018000, 0.0810729000, 0.2243140000");
values("0.0151399000, 0.0170417000, 0.0222124000, 0.0369683000, 0.0773120000, 0.1894418000, 0.5004201000", \
"0.0151697000, 0.0170653000, 0.0223144000, 0.0369674000, 0.0774802000, 0.1891781000, 0.4985138000", \
"0.0172727000, 0.0188491000, 0.0235780000, 0.0373395000, 0.0774886000, 0.1894945000, 0.5003991000", \
"0.0246024000, 0.0263318000, 0.0310976000, 0.0444883000, 0.0804673000, 0.1891732000, 0.4983581000", \
"0.0417217000, 0.0439134000, 0.0497962000, 0.0642389000, 0.1002596000, 0.1987887000, 0.4985591000", \
"0.0749543000, 0.0785231000, 0.0866960000, 0.1058591000, 0.1488864000, 0.2474977000, 0.5176258000", \
"0.1422240000, 0.1462398000, 0.1574384000, 0.1849700000, 0.2442120000, 0.3641032000, 0.6320210000");
}
related_pin : "A2";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013834100, 0.0038276500, 0.0105904000, 0.0293018000, 0.0810729000, 0.2243140000");
values("0.0710834000, 0.0768147000, 0.0925858000, 0.1354576000, 0.2536767000, 0.5782630000, 1.4763843000", \
"0.0713316000, 0.0769620000, 0.0924520000, 0.1354318000, 0.2536487000, 0.5782950000, 1.4777517000", \
"0.0712379000, 0.0767689000, 0.0925094000, 0.1353815000, 0.2535794000, 0.5783640000, 1.4764886000", \
"0.0730897000, 0.0782811000, 0.0931792000, 0.1351159000, 0.2534334000, 0.5790052000, 1.4758105000", \
"0.0984298000, 0.1040615000, 0.1162663000, 0.1506047000, 0.2569069000, 0.5784232000, 1.4791952000", \
"0.1446964000, 0.1521369000, 0.1714505000, 0.2147365000, 0.3102775000, 0.5900521000, 1.4761424000", \
"0.2196438000, 0.2319243000, 0.2601366000, 0.3241244000, 0.4587456000, 0.7199569000, 1.4943392000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013834100, 0.0038276500, 0.0105904000, 0.0293018000, 0.0810729000, 0.2243140000");
values("0.0268924000, 0.0285883000, 0.0331602000, 0.0447837000, 0.0744197000, 0.1526743000, 0.3667182000", \
"0.0307669000, 0.0325205000, 0.0370520000, 0.0487408000, 0.0784958000, 0.1570224000, 0.3708949000", \
"0.0412525000, 0.0429358000, 0.0471777000, 0.0584628000, 0.0883949000, 0.1670163000, 0.3809805000", \
"0.0568080000, 0.0591756000, 0.0655417000, 0.0804963000, 0.1117964000, 0.1903845000, 0.4044485000", \
"0.0727300000, 0.0762373000, 0.0858414000, 0.1080148000, 0.1550945000, 0.2454053000, 0.4595437000", \
"0.0791271000, 0.0846019000, 0.0988493000, 0.1323774000, 0.2040172000, 0.3415962000, 0.5867895000", \
"0.0509830000, 0.0591293000, 0.0803043000, 0.1315119000, 0.2410677000, 0.4512840000, 0.8214778000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013834100, 0.0038276500, 0.0105904000, 0.0293018000, 0.0810729000, 0.2243140000");
values("0.0262463000, 0.0286122000, 0.0347495000, 0.0504813000, 0.0911037000, 0.2008829000, 0.5028712000", \
"0.0311709000, 0.0334262000, 0.0394469000, 0.0552869000, 0.0963862000, 0.2070279000, 0.5105821000", \
"0.0446820000, 0.0467849000, 0.0524819000, 0.0678634000, 0.1086067000, 0.2204906000, 0.5246265000", \
"0.0676343000, 0.0712773000, 0.0800668000, 0.0992125000, 0.1397415000, 0.2513532000, 0.5527575000", \
"0.1048718000, 0.1107573000, 0.1245562000, 0.1549045000, 0.2128023000, 0.3244017000, 0.6262394000", \
"0.1676070000, 0.1762069000, 0.1976046000, 0.2456275000, 0.3368510000, 0.4952342000, 0.7930516000", \
"0.2844502000, 0.2968476000, 0.3278376000, 0.3979799000, 0.5397457000, 0.7907355000, 1.1985344000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013834100, 0.0038276500, 0.0105904000, 0.0293018000, 0.0810729000, 0.2243140000");
values("0.0248096000, 0.0265626000, 0.0312374000, 0.0446303000, 0.0824516000, 0.1881089000, 0.4816876000", \
"0.0238526000, 0.0257068000, 0.0309175000, 0.0445300000, 0.0824011000, 0.1878784000, 0.4809093000", \
"0.0262272000, 0.0276889000, 0.0318985000, 0.0445189000, 0.0822033000, 0.1879746000, 0.4824167000", \
"0.0361592000, 0.0382037000, 0.0439570000, 0.0562034000, 0.0868976000, 0.1879226000, 0.4819619000", \
"0.0554302000, 0.0584629000, 0.0659080000, 0.0831305000, 0.1199788000, 0.2032903000, 0.4813021000", \
"0.0898670000, 0.0944214000, 0.1061864000, 0.1328061000, 0.1839803000, 0.2843639000, 0.5171772000", \
"0.1511542000, 0.1583000000, 0.1760443000, 0.2154980000, 0.2945386000, 0.4392601000, 0.7041171000");
}
related_pin : "B1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013834100, 0.0038276500, 0.0105904000, 0.0293018000, 0.0810729000, 0.2243140000");
values("0.0258049000, 0.0287961000, 0.0372633000, 0.0601138000, 0.1212455000, 0.2800027000, 0.7095466000", \
"0.0257874000, 0.0289506000, 0.0370638000, 0.0600273000, 0.1212707000, 0.2802889000, 0.7105490000", \
"0.0293723000, 0.0318699000, 0.0385561000, 0.0601383000, 0.1212897000, 0.2801169000, 0.7112838000", \
"0.0490444000, 0.0506590000, 0.0542409000, 0.0694612000, 0.1227241000, 0.2800868000, 0.7111312000", \
"0.0844502000, 0.0866605000, 0.0923319000, 0.1085408000, 0.1484248000, 0.2839644000, 0.7109633000", \
"0.1464882000, 0.1493994000, 0.1578119000, 0.1806522000, 0.2316862000, 0.3408435000, 0.7146481000", \
"0.2450864000, 0.2492935000, 0.2617000000, 0.2957463000, 0.3747755000, 0.5244851000, 0.8320422000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
}
}
/* removed sky130_fd_sc_hd__o21ba_1 */
/* removed sky130_fd_sc_hd__o21ba_2 */
/* removed sky130_fd_sc_hd__o21ba_4 */
/* removed sky130_fd_sc_hd__o21bai_1 */
/* removed sky130_fd_sc_hd__o21bai_2 */
/* removed sky130_fd_sc_hd__o21bai_4 */
/* removed sky130_fd_sc_hd__o221a_1 */
/* removed sky130_fd_sc_hd__o221a_2 */
/* removed sky130_fd_sc_hd__o221a_4 */
/* removed sky130_fd_sc_hd__o221ai_1 */
/* removed sky130_fd_sc_hd__o221ai_2 */
/* removed sky130_fd_sc_hd__o221ai_4 */
/* removed sky130_fd_sc_hd__o22a_1 */
/* removed sky130_fd_sc_hd__o22a_2 */
cell ("sky130_fd_sc_hd__o22a_4") {
leakage_power () {
value : 0.0050025000;
when : "!A1&!A2&!B1&B2";
}
leakage_power () {
value : 0.0037075000;
when : "!A1&!A2&!B1&!B2";
}
leakage_power () {
value : 0.0050073000;
when : "!A1&!A2&B1&B2";
}
leakage_power () {
value : 0.0049961000;
when : "!A1&!A2&B1&!B2";
}
leakage_power () {
value : 0.0036430000;
when : "!A1&A2&!B1&B2";
}
leakage_power () {
value : 0.0054476000;
when : "!A1&A2&!B1&!B2";
}
leakage_power () {
value : 0.0028449000;
when : "!A1&A2&B1&B2";
}
leakage_power () {
value : 0.0033494000;
when : "!A1&A2&B1&!B2";
}
leakage_power () {
value : 0.0038034000;
when : "A1&!A2&!B1&B2";
}
leakage_power () {
value : 0.0054365000;
when : "A1&!A2&!B1&!B2";
}
leakage_power () {
value : 0.0030054000;
when : "A1&!A2&B1&B2";
}
leakage_power () {
value : 0.0035098000;
when : "A1&!A2&B1&!B2";
}
leakage_power () {
value : 0.0025429000;
when : "A1&A2&!B1&B2";
}
leakage_power () {
value : 0.0054417000;
when : "A1&A2&!B1&!B2";
}
leakage_power () {
value : 0.0017447000;
when : "A1&A2&B1&B2";
}
leakage_power () {
value : 0.0022492000;
when : "A1&A2&B1&!B2";
}
area : 17.516800000;
cell_footprint : "sky130_fd_sc_hd__o22a";
cell_leakage_power : 0.0038582540;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A1") {
capacitance : 0.0047950000;
clock : "false";
direction : "input";
fall_capacitance : 0.0045550000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0080550000, 0.0080421000, 0.0080123000, 0.0080096000, 0.0080033000, 0.0079887000, 0.0079552000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.007985000, -0.007981300, -0.007972600, -0.007974500, -0.007978800, -0.007988700, -0.008011600");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0050350000;
}
pin ("A2") {
capacitance : 0.0043350000;
clock : "false";
direction : "input";
fall_capacitance : 0.0040270000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0075522000, 0.0075517000, 0.0075505000, 0.0075518000, 0.0075549000, 0.0075621000, 0.0075785000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.007529000, -0.007528000, -0.007525800, -0.007527400, -0.007531100, -0.007539600, -0.007559100");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0046440000;
}
pin ("B1") {
capacitance : 0.0048190000;
clock : "false";
direction : "input";
fall_capacitance : 0.0046150000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0095412000, 0.0095350000, 0.0095207000, 0.0095234000, 0.0095297000, 0.0095442000, 0.0095776000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.003298400, -0.003309200, -0.003334100, -0.003301000, -0.003224800, -0.003049100, -0.002644100");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0050240000;
}
pin ("B2") {
capacitance : 0.0043130000;
clock : "false";
direction : "input";
fall_capacitance : 0.0040660000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0088068000, 0.0088065000, 0.0088061000, 0.0088034000, 0.0087973000, 0.0087833000, 0.0087508000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.002505000, -0.002516800, -0.002544000, -0.002511900, -0.002437900, -0.002267300, -0.001874100");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0045610000;
}
pin ("X") {
direction : "output";
function : "(A1&B1) | (A2&B1) | (A1&B2) | (A2&B2)";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015966190, 0.0050983850, 0.0162803600, 0.0519870600, 0.1660071000, 0.5301002000");
values("0.0369371000, 0.0351447000, 0.0299084000, 0.0148925000, -0.038303800, -0.220741100, -0.809786600", \
"0.0364942000, 0.0348167000, 0.0295989000, 0.0147418000, -0.038739800, -0.220973600, -0.810070400", \
"0.0364389000, 0.0346691000, 0.0293792000, 0.0143038000, -0.038985700, -0.221474400, -0.810414000", \
"0.0358994000, 0.0341665000, 0.0289320000, 0.0137488000, -0.039530300, -0.221791000, -0.810942800", \
"0.0355372000, 0.0337958000, 0.0285325000, 0.0134469000, -0.040053000, -0.222311400, -0.811188000", \
"0.0362431000, 0.0344504000, 0.0291009000, 0.0141126000, -0.039630500, -0.221976900, -0.810745600", \
"0.0462291000, 0.0441772000, 0.0380405000, 0.0196019000, -0.037941500, -0.220771900, -0.809163200");
}
related_pin : "A2";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015966190, 0.0050983850, 0.0162803600, 0.0519870600, 0.1660071000, 0.5301002000");
values("0.0344612000, 0.0363977000, 0.0425981000, 0.0615304000, 0.1201462000, 0.3050391000, 0.8864905000", \
"0.0344759000, 0.0364213000, 0.0425108000, 0.0615671000, 0.1201862000, 0.3036672000, 0.8872135000", \
"0.0343372000, 0.0362882000, 0.0423867000, 0.0614484000, 0.1200136000, 0.3034343000, 0.8874666000", \
"0.0340779000, 0.0359885000, 0.0421467000, 0.0611299000, 0.1195629000, 0.3034907000, 0.8903197000", \
"0.0337238000, 0.0356455000, 0.0416627000, 0.0602423000, 0.1186496000, 0.3027571000, 0.8859493000", \
"0.0343746000, 0.0362035000, 0.0420911000, 0.0604944000, 0.1179095000, 0.3006603000, 0.8899084000", \
"0.0356145000, 0.0373557000, 0.0430783000, 0.0609732000, 0.1192055000, 0.3027149000, 0.8841320000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015966190, 0.0050983850, 0.0162803600, 0.0519870600, 0.1660071000, 0.5301002000");
values("0.0268516000, 0.0252333000, 0.0207557000, 0.0073273000, -0.044481700, -0.226819300, -0.816395200", \
"0.0265584000, 0.0250744000, 0.0205046000, 0.0071573000, -0.044878900, -0.227113900, -0.816670700", \
"0.0262112000, 0.0246107000, 0.0200107000, 0.0066869000, -0.045388300, -0.227596500, -0.817148800", \
"0.0255209000, 0.0239339000, 0.0193788000, 0.0058746000, -0.046017300, -0.228202400, -0.817725100", \
"0.0251633000, 0.0235286000, 0.0188956000, 0.0052476000, -0.046626500, -0.228707800, -0.818118600", \
"0.0252668000, 0.0234020000, 0.0176291000, 0.0042334000, -0.047305900, -0.228955100, -0.818184700", \
"0.0371943000, 0.0352302000, 0.0294160000, 0.0111422000, -0.045392400, -0.228486900, -0.817691000");
}
related_pin : "B1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015966190, 0.0050983850, 0.0162803600, 0.0519870600, 0.1660071000, 0.5301002000");
values("0.0331761000, 0.0351847000, 0.0413112000, 0.0603631000, 0.1189868000, 0.3030172000, 0.8902141000", \
"0.0330383000, 0.0349983000, 0.0411504000, 0.0600540000, 0.1187426000, 0.3024646000, 0.8865269000", \
"0.0326672000, 0.0346442000, 0.0408159000, 0.0598503000, 0.1184439000, 0.3036287000, 0.8858063000", \
"0.0324777000, 0.0344720000, 0.0405761000, 0.0595369000, 0.1179869000, 0.3021044000, 0.8894655000", \
"0.0322807000, 0.0341673000, 0.0401477000, 0.0583862000, 0.1167183000, 0.3009164000, 0.8852024000", \
"0.0329937000, 0.0347904000, 0.0405669000, 0.0589475000, 0.1163289000, 0.2992103000, 0.8877744000", \
"0.0346544000, 0.0363816000, 0.0420467000, 0.0599841000, 0.1177207000, 0.3014606000, 0.8829927000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015966190, 0.0050983850, 0.0162803600, 0.0519870600, 0.1660071000, 0.5301002000");
values("0.0227629000, 0.0211309000, 0.0166697000, 0.0032615000, -0.048596100, -0.230635200, -0.820039500", \
"0.0224612000, 0.0208848000, 0.0164432000, 0.0029942000, -0.048818900, -0.230917000, -0.820336400", \
"0.0219371000, 0.0202954000, 0.0157876000, 0.0023537000, -0.049436800, -0.231461400, -0.820854600", \
"0.0213963000, 0.0197801000, 0.0152460000, 0.0017206000, -0.050105700, -0.232093700, -0.821446800", \
"0.0210137000, 0.0194040000, 0.0148088000, 0.0009965000, -0.050760200, -0.232588000, -0.821807700", \
"0.0234534000, 0.0214261000, 0.0154423000, 0.0012311000, -0.050188700, -0.231995000, -0.821201000", \
"0.0367487000, 0.0346790000, 0.0287815000, 0.0099758000, -0.047678300, -0.230188200, -0.819266200");
}
related_pin : "B2";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015966190, 0.0050983850, 0.0162803600, 0.0519870600, 0.1660071000, 0.5301002000");
values("0.0294303000, 0.0313940000, 0.0375623000, 0.0566547000, 0.1152695000, 0.2991847000, 0.8862554000", \
"0.0294985000, 0.0314658000, 0.0375546000, 0.0566752000, 0.1151963000, 0.2991228000, 0.8859734000", \
"0.0293261000, 0.0313245000, 0.0374796000, 0.0564969000, 0.1151583000, 0.2988207000, 0.8817462000", \
"0.0292224000, 0.0311598000, 0.0372277000, 0.0561619000, 0.1144919000, 0.2984184000, 0.8851732000", \
"0.0286709000, 0.0305388000, 0.0365649000, 0.0550475000, 0.1133536000, 0.2975362000, 0.8813778000", \
"0.0300040000, 0.0317721000, 0.0374964000, 0.0558447000, 0.1128650000, 0.2961650000, 0.8814172000", \
"0.0311869000, 0.0328782000, 0.0384781000, 0.0566277000, 0.1144346000, 0.2975070000, 0.8780664000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015966190, 0.0050983850, 0.0162803600, 0.0519870600, 0.1660071000, 0.5301002000");
values("0.0414133000, 0.0396274000, 0.0344584000, 0.0193498000, -0.033874900, -0.216335800, -0.805344800", \
"0.0413175000, 0.0396719000, 0.0342825000, 0.0192780000, -0.033881500, -0.216545000, -0.805569100", \
"0.0407871000, 0.0389720000, 0.0338822000, 0.0187423000, -0.034439000, -0.216887000, -0.805924700", \
"0.0403729000, 0.0386166000, 0.0334200000, 0.0183330000, -0.034973800, -0.217268800, -0.806203300", \
"0.0400114000, 0.0382009000, 0.0330704000, 0.0178637000, -0.035428400, -0.217802500, -0.806707400", \
"0.0395713000, 0.0377461000, 0.0324779000, 0.0174932000, -0.035670900, -0.218170000, -0.806932000", \
"0.0480374000, 0.0460874000, 0.0401276000, 0.0219641000, -0.035301700, -0.218103000, -0.806351100");
}
related_pin : "A1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015966190, 0.0050983850, 0.0162803600, 0.0519870600, 0.1660071000, 0.5301002000");
values("0.0377647000, 0.0396964000, 0.0457728000, 0.0648574000, 0.1233898000, 0.3071191000, 0.8906275000", \
"0.0375306000, 0.0394895000, 0.0455554000, 0.0646409000, 0.1231736000, 0.3070670000, 0.8939375000", \
"0.0372526000, 0.0392364000, 0.0453518000, 0.0643709000, 0.1230020000, 0.3069444000, 0.8941316000", \
"0.0369299000, 0.0389032000, 0.0450205000, 0.0640090000, 0.1225929000, 0.3065167000, 0.8896844000", \
"0.0370424000, 0.0389597000, 0.0450337000, 0.0634639000, 0.1220068000, 0.3058141000, 0.8898175000", \
"0.0376638000, 0.0394281000, 0.0452774000, 0.0636670000, 0.1214548000, 0.3046629000, 0.8888077000", \
"0.0391950000, 0.0409377000, 0.0466037000, 0.0645557000, 0.1228226000, 0.3064150000, 0.8870449000");
}
}
max_capacitance : 0.5301000000;
max_transition : 1.5014620000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
values("0.2093929000, 0.2137404000, 0.2248173000, 0.2488763000, 0.2988721000, 0.4125689000, 0.7338822000", \
"0.2145612000, 0.2189154000, 0.2299540000, 0.2541224000, 0.3034984000, 0.4176702000, 0.7397274000", \
"0.2270176000, 0.2313635000, 0.2423806000, 0.2665031000, 0.3162714000, 0.4301897000, 0.7523147000", \
"0.2540733000, 0.2584028000, 0.2694237000, 0.2935680000, 0.3433605000, 0.4569344000, 0.7784421000", \
"0.3151698000, 0.3194976000, 0.3304731000, 0.3545826000, 0.4043248000, 0.5184190000, 0.8405291000", \
"0.4431795000, 0.4478469000, 0.4596463000, 0.4848917000, 0.5365600000, 0.6524004000, 0.9743016000", \
"0.6661068000, 0.6719179000, 0.6864280000, 0.7170421000, 0.7757245000, 0.8985466000, 1.2195877000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
values("0.1057784000, 0.1096749000, 0.1202656000, 0.1472490000, 0.2209285000, 0.4461737000, 1.1589165000", \
"0.1103004000, 0.1142133000, 0.1247655000, 0.1517727000, 0.2254658000, 0.4507684000, 1.1665658000", \
"0.1201044000, 0.1240316000, 0.1345962000, 0.1615717000, 0.2352146000, 0.4601628000, 1.1738093000", \
"0.1408617000, 0.1447802000, 0.1553082000, 0.1822469000, 0.2558065000, 0.4809775000, 1.1937081000", \
"0.1810772000, 0.1852018000, 0.1961945000, 0.2236995000, 0.2973241000, 0.5225455000, 1.2365038000", \
"0.2369407000, 0.2416374000, 0.2541434000, 0.2835536000, 0.3584901000, 0.5836948000, 1.2974231000", \
"0.2882988000, 0.2947109000, 0.3105690000, 0.3453560000, 0.4234553000, 0.6489100000, 1.3620668000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
values("0.0304603000, 0.0330848000, 0.0392633000, 0.0548422000, 0.0965496000, 0.2212327000, 0.6427952000", \
"0.0304523000, 0.0330339000, 0.0391815000, 0.0551707000, 0.0968004000, 0.2213730000, 0.6421518000", \
"0.0305505000, 0.0330640000, 0.0395025000, 0.0553314000, 0.0969240000, 0.2211878000, 0.6426983000", \
"0.0308235000, 0.0328867000, 0.0398184000, 0.0552330000, 0.0966467000, 0.2211493000, 0.6428325000", \
"0.0304570000, 0.0330232000, 0.0392699000, 0.0551496000, 0.0967673000, 0.2211932000, 0.6426020000", \
"0.0350499000, 0.0377338000, 0.0441451000, 0.0597365000, 0.1002876000, 0.2225213000, 0.6404342000", \
"0.0466463000, 0.0496797000, 0.0570942000, 0.0734654000, 0.1140620000, 0.2336643000, 0.6436526000");
}
related_pin : "A1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
values("0.0216665000, 0.0248922000, 0.0347423000, 0.0642662000, 0.1612612000, 0.4794264000, 1.4986217000", \
"0.0216087000, 0.0248938000, 0.0346784000, 0.0643370000, 0.1611478000, 0.4798824000, 1.4987231000", \
"0.0217111000, 0.0249680000, 0.0346050000, 0.0642603000, 0.1611394000, 0.4804044000, 1.4993213000", \
"0.0216623000, 0.0249517000, 0.0345761000, 0.0642485000, 0.1611636000, 0.4800366000, 1.4970289000", \
"0.0236069000, 0.0269232000, 0.0366083000, 0.0656069000, 0.1615305000, 0.4802459000, 1.4996491000", \
"0.0290692000, 0.0327338000, 0.0423330000, 0.0700568000, 0.1633452000, 0.4788258000, 1.4984815000", \
"0.0405737000, 0.0446660000, 0.0548550000, 0.0820366000, 0.1683581000, 0.4811870000, 1.4966253000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
values("0.1920340000, 0.1963445000, 0.2073777000, 0.2314677000, 0.2811950000, 0.3951749000, 0.7172739000", \
"0.1960273000, 0.2003567000, 0.2114364000, 0.2354174000, 0.2853798000, 0.3987851000, 0.7208638000", \
"0.2065200000, 0.2108674000, 0.2218423000, 0.2460093000, 0.2959055000, 0.4097450000, 0.7318102000", \
"0.2345372000, 0.2388783000, 0.2499185000, 0.2739944000, 0.3239596000, 0.4376099000, 0.7592690000", \
"0.3032123000, 0.3075610000, 0.3185786000, 0.3425789000, 0.3924510000, 0.5064739000, 0.8285181000", \
"0.4511455000, 0.4560653000, 0.4687186000, 0.4946010000, 0.5459791000, 0.6613515000, 0.9836868000", \
"0.6943732000, 0.7008210000, 0.7170893000, 0.7509479000, 0.8114657000, 0.9326636000, 1.2576459000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
values("0.0987718000, 0.1027221000, 0.1135002000, 0.1407258000, 0.2144802000, 0.4397588000, 1.1519286000", \
"0.1036435000, 0.1075690000, 0.1182925000, 0.1455481000, 0.2192468000, 0.4439252000, 1.1575240000", \
"0.1130605000, 0.1169900000, 0.1277169000, 0.1549940000, 0.2287682000, 0.4535868000, 1.1668752000", \
"0.1323001000, 0.1362406000, 0.1469292000, 0.1740325000, 0.2478021000, 0.4729083000, 1.1877636000", \
"0.1673128000, 0.1715369000, 0.1827120000, 0.2106112000, 0.2847466000, 0.5102124000, 1.2225285000", \
"0.2127535000, 0.2177177000, 0.2305582000, 0.2605774000, 0.3359287000, 0.5611321000, 1.2786635000", \
"0.2444585000, 0.2510607000, 0.2679000000, 0.3038701000, 0.3833627000, 0.6087065000, 1.3215057000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
values("0.0304273000, 0.0331289000, 0.0394669000, 0.0548376000, 0.0968177000, 0.2212661000, 0.6424578000", \
"0.0306237000, 0.0331720000, 0.0396732000, 0.0551831000, 0.0966320000, 0.2212540000, 0.6415518000", \
"0.0305183000, 0.0329273000, 0.0392362000, 0.0550738000, 0.0966455000, 0.2211534000, 0.6423163000", \
"0.0304094000, 0.0328812000, 0.0399351000, 0.0548268000, 0.0966354000, 0.2212367000, 0.6409628000", \
"0.0304886000, 0.0329688000, 0.0395922000, 0.0549244000, 0.0966529000, 0.2212447000, 0.6419448000", \
"0.0378499000, 0.0403181000, 0.0465204000, 0.0612851000, 0.1001859000, 0.2227501000, 0.6429771000", \
"0.0558580000, 0.0591843000, 0.0670548000, 0.0827920000, 0.1184294000, 0.2335157000, 0.6438121000");
}
related_pin : "A2";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
values("0.0218397000, 0.0251885000, 0.0349429000, 0.0645445000, 0.1611789000, 0.4806799000, 1.4970548000", \
"0.0218400000, 0.0250943000, 0.0349466000, 0.0644674000, 0.1610907000, 0.4802534000, 1.4994435000", \
"0.0218082000, 0.0251317000, 0.0349387000, 0.0644587000, 0.1611418000, 0.4788276000, 1.5009325000", \
"0.0218698000, 0.0251759000, 0.0349365000, 0.0644277000, 0.1609022000, 0.4801960000, 1.5013237000", \
"0.0241776000, 0.0275240000, 0.0372358000, 0.0664038000, 0.1618110000, 0.4799612000, 1.4983770000", \
"0.0302489000, 0.0335865000, 0.0435846000, 0.0712545000, 0.1640588000, 0.4777278000, 1.5007726000", \
"0.0426502000, 0.0466582000, 0.0574680000, 0.0840786000, 0.1697513000, 0.4813022000, 1.4933533000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
values("0.1721674000, 0.1763763000, 0.1874817000, 0.2131912000, 0.2701460000, 0.3946634000, 0.7209769000", \
"0.1771224000, 0.1813217000, 0.1923661000, 0.2180662000, 0.2751591000, 0.3995614000, 0.7258814000", \
"0.1891849000, 0.1933683000, 0.2043779000, 0.2300166000, 0.2871371000, 0.4115162000, 0.7378695000", \
"0.2166495000, 0.2208183000, 0.2318684000, 0.2573614000, 0.3146513000, 0.4390650000, 0.7654534000", \
"0.2797769000, 0.2839498000, 0.2949061000, 0.3205141000, 0.3778396000, 0.5024074000, 0.8287303000", \
"0.4032484000, 0.4079363000, 0.4201576000, 0.4482276000, 0.5092115000, 0.6368326000, 0.9639790000", \
"0.6192064000, 0.6248779000, 0.6396504000, 0.6726917000, 0.7420063000, 0.8822598000, 1.2163761000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
values("0.0968901000, 0.1007574000, 0.1113371000, 0.1383468000, 0.2120576000, 0.4373285000, 1.1518552000", \
"0.1009144000, 0.1048873000, 0.1154281000, 0.1423643000, 0.2159582000, 0.4410584000, 1.1551805000", \
"0.1107984000, 0.1146963000, 0.1253053000, 0.1522544000, 0.2258521000, 0.4516548000, 1.1646903000", \
"0.1349186000, 0.1388134000, 0.1493084000, 0.1761977000, 0.2496927000, 0.4748290000, 1.1887450000", \
"0.1790161000, 0.1830691000, 0.1938798000, 0.2209087000, 0.2947473000, 0.5198604000, 1.2342107000", \
"0.2326831000, 0.2375195000, 0.2498145000, 0.2785462000, 0.3527470000, 0.5787452000, 1.2955661000", \
"0.2739361000, 0.2804067000, 0.2962604000, 0.3304713000, 0.4069727000, 0.6312123000, 1.3455812000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
values("0.0283245000, 0.0310572000, 0.0389354000, 0.0586921000, 0.1090360000, 0.2342711000, 0.6449170000", \
"0.0283408000, 0.0312781000, 0.0388299000, 0.0588600000, 0.1089282000, 0.2344302000, 0.6449146000", \
"0.0284120000, 0.0312907000, 0.0388301000, 0.0588941000, 0.1089564000, 0.2343145000, 0.6449520000", \
"0.0283103000, 0.0313018000, 0.0389763000, 0.0588358000, 0.1087803000, 0.2343064000, 0.6439930000", \
"0.0286930000, 0.0313516000, 0.0391572000, 0.0587688000, 0.1089459000, 0.2340393000, 0.6448737000", \
"0.0348110000, 0.0377092000, 0.0456124000, 0.0661651000, 0.1155296000, 0.2381973000, 0.6449993000", \
"0.0483418000, 0.0516172000, 0.0599450000, 0.0820045000, 0.1356217000, 0.2572834000, 0.6507659000");
}
related_pin : "B1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
values("0.0216270000, 0.0250628000, 0.0346872000, 0.0642370000, 0.1609093000, 0.4803836000, 1.5014622000", \
"0.0216693000, 0.0250440000, 0.0346347000, 0.0642085000, 0.1611289000, 0.4802177000, 1.5003234000", \
"0.0216793000, 0.0250076000, 0.0347330000, 0.0641239000, 0.1611187000, 0.4808680000, 1.4984654000", \
"0.0215205000, 0.0248071000, 0.0346679000, 0.0641520000, 0.1610653000, 0.4805456000, 1.5002151000", \
"0.0238705000, 0.0270769000, 0.0366262000, 0.0660914000, 0.1617612000, 0.4803832000, 1.5000311000", \
"0.0305929000, 0.0335590000, 0.0425731000, 0.0698504000, 0.1634324000, 0.4784561000, 1.4996046000", \
"0.0433540000, 0.0470412000, 0.0568450000, 0.0815963000, 0.1679801000, 0.4809712000, 1.4937941000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
values("0.1532577000, 0.1574764000, 0.1685609000, 0.1943561000, 0.2512436000, 0.3757085000, 0.7020176000", \
"0.1568785000, 0.1610586000, 0.1720526000, 0.1976962000, 0.2548786000, 0.3794879000, 0.7057009000", \
"0.1669148000, 0.1710972000, 0.1821429000, 0.2078019000, 0.2648960000, 0.3894965000, 0.7158347000", \
"0.1941771000, 0.1983961000, 0.2092782000, 0.2346667000, 0.2918680000, 0.4163039000, 0.7427592000", \
"0.2630154000, 0.2671723000, 0.2780700000, 0.3035941000, 0.3607014000, 0.4852557000, 0.8112860000", \
"0.3970722000, 0.4020726000, 0.4149619000, 0.4438053000, 0.5052286000, 0.6334053000, 0.9605577000", \
"0.6151275000, 0.6214599000, 0.6380013000, 0.6743121000, 0.7490785000, 0.8909181000, 1.2251584000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
values("0.0910817000, 0.0950513000, 0.1058560000, 0.1332759000, 0.2072243000, 0.4318584000, 1.1449078000", \
"0.0954199000, 0.0993799000, 0.1101716000, 0.1375806000, 0.2115239000, 0.4365628000, 1.1512413000", \
"0.1056978000, 0.1096739000, 0.1204742000, 0.1478398000, 0.2217548000, 0.4477658000, 1.1588041000", \
"0.1294809000, 0.1334236000, 0.1441143000, 0.1713270000, 0.2450009000, 0.4701868000, 1.1853889000", \
"0.1696524000, 0.1738074000, 0.1849478000, 0.2128330000, 0.2868427000, 0.5123804000, 1.2247777000", \
"0.2149076000, 0.2199523000, 0.2329249000, 0.2622985000, 0.3366593000, 0.5621806000, 1.2766408000", \
"0.2416499000, 0.2484086000, 0.2651533000, 0.3009606000, 0.3787040000, 0.6028382000, 1.3155081000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
values("0.0285543000, 0.0309944000, 0.0389186000, 0.0587149000, 0.1088505000, 0.2342501000, 0.6449822000", \
"0.0284312000, 0.0312480000, 0.0386513000, 0.0588452000, 0.1091932000, 0.2344262000, 0.6449801000", \
"0.0283151000, 0.0310223000, 0.0389199000, 0.0587852000, 0.1089013000, 0.2343694000, 0.6450179000", \
"0.0285593000, 0.0313199000, 0.0389545000, 0.0589204000, 0.1085704000, 0.2343549000, 0.6449605000", \
"0.0287921000, 0.0312924000, 0.0392255000, 0.0590531000, 0.1091777000, 0.2344197000, 0.6450845000", \
"0.0394570000, 0.0423168000, 0.0500475000, 0.0697746000, 0.1175543000, 0.2394475000, 0.6453221000", \
"0.0578941000, 0.0612897000, 0.0704420000, 0.0941046000, 0.1457911000, 0.2614662000, 0.6521572000");
}
related_pin : "B2";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000");
values("0.0220681000, 0.0253973000, 0.0352041000, 0.0647436000, 0.1609829000, 0.4801778000, 1.4990500000", \
"0.0219959000, 0.0253597000, 0.0351672000, 0.0648012000, 0.1613494000, 0.4796840000, 1.4994103000", \
"0.0221123000, 0.0254060000, 0.0352232000, 0.0647895000, 0.1612474000, 0.4798414000, 1.4971623000", \
"0.0219887000, 0.0253646000, 0.0352338000, 0.0648978000, 0.1613825000, 0.4795478000, 1.4962090000", \
"0.0249097000, 0.0281120000, 0.0376916000, 0.0669411000, 0.1621684000, 0.4796576000, 1.4990738000", \
"0.0332104000, 0.0361791000, 0.0453592000, 0.0716375000, 0.1644540000, 0.4795321000, 1.5003191000", \
"0.0471276000, 0.0507739000, 0.0608882000, 0.0872101000, 0.1703108000, 0.4817594000, 1.4948887000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
/* removed sky130_fd_sc_hd__o22ai_1 */
/* removed sky130_fd_sc_hd__o22ai_2 */
/* removed sky130_fd_sc_hd__o22ai_4 */
/* removed sky130_fd_sc_hd__o2bb2a_1 */
/* removed sky130_fd_sc_hd__o2bb2a_2 */
/* removed sky130_fd_sc_hd__o2bb2a_4 */
/* removed sky130_fd_sc_hd__o2bb2ai_1 */
/* removed sky130_fd_sc_hd__o2bb2ai_2 */
/* removed sky130_fd_sc_hd__o2bb2ai_4 */
/* removed sky130_fd_sc_hd__o311a_1 */
/* removed sky130_fd_sc_hd__o311a_2 */
/* removed sky130_fd_sc_hd__o311a_4 */
/* removed sky130_fd_sc_hd__o311ai_0 */
/* removed sky130_fd_sc_hd__o311ai_1 */
/* removed sky130_fd_sc_hd__o311ai_2 */
/* removed sky130_fd_sc_hd__o311ai_4 */
/* removed sky130_fd_sc_hd__o31a_1 */
/* removed sky130_fd_sc_hd__o31a_2 */
/* removed sky130_fd_sc_hd__o31a_4 */
/* removed sky130_fd_sc_hd__o31ai_1 */
/* removed sky130_fd_sc_hd__o31ai_2 */
/* removed sky130_fd_sc_hd__o31ai_4 */
/* removed sky130_fd_sc_hd__o32a_1 */
/* removed sky130_fd_sc_hd__o32a_2 */
cell ("sky130_fd_sc_hd__o32a_4") {
leakage_power () {
value : 0.0093865000;
when : "!A1&!A2&!A3&!B1&B2";
}
leakage_power () {
value : 0.0076254000;
when : "!A1&!A2&!A3&!B1&!B2";
}
leakage_power () {
value : 0.0094194000;
when : "!A1&!A2&!A3&B1&B2";
}
leakage_power () {
value : 0.0093876000;
when : "!A1&!A2&!A3&B1&!B2";
}
leakage_power () {
value : 0.0131066000;
when : "!A1&!A2&A3&!B1&B2";
}
leakage_power () {
value : 0.0089315000;
when : "!A1&!A2&A3&!B1&!B2";
}
leakage_power () {
value : 0.0097119000;
when : "!A1&!A2&A3&B1&B2";
}
leakage_power () {
value : 0.0118297000;
when : "!A1&!A2&A3&B1&!B2";
}
leakage_power () {
value : 0.0076435000;
when : "!A1&A2&!A3&!B1&B2";
}
leakage_power () {
value : 0.0089322000;
when : "!A1&A2&!A3&!B1&!B2";
}
leakage_power () {
value : 0.0042486000;
when : "!A1&A2&!A3&B1&B2";
}
leakage_power () {
value : 0.0063664000;
when : "!A1&A2&!A3&B1&!B2";
}
leakage_power () {
value : 0.0054414000;
when : "!A1&A2&A3&!B1&B2";
}
leakage_power () {
value : 0.0089321000;
when : "!A1&A2&A3&!B1&!B2";
}
leakage_power () {
value : 0.0020465000;
when : "!A1&A2&A3&B1&B2";
}
leakage_power () {
value : 0.0041643000;
when : "!A1&A2&A3&B1&!B2";
}
leakage_power () {
value : 0.0075086000;
when : "A1&!A2&!A3&!B1&B2";
}
leakage_power () {
value : 0.0089331000;
when : "A1&!A2&!A3&!B1&!B2";
}
leakage_power () {
value : 0.0041137000;
when : "A1&!A2&!A3&B1&B2";
}
leakage_power () {
value : 0.0062315000;
when : "A1&!A2&!A3&B1&!B2";
}
leakage_power () {
value : 0.0054449000;
when : "A1&!A2&A3&!B1&B2";
}
leakage_power () {
value : 0.0089322000;
when : "A1&!A2&A3&!B1&!B2";
}
leakage_power () {
value : 0.0020496000;
when : "A1&!A2&A3&B1&B2";
}
leakage_power () {
value : 0.0041674000;
when : "A1&!A2&A3&B1&!B2";
}
leakage_power () {
value : 0.0054330000;
when : "A1&A2&!A3&!B1&B2";
}
leakage_power () {
value : 0.0089341000;
when : "A1&A2&!A3&!B1&!B2";
}
leakage_power () {
value : 0.0020380000;
when : "A1&A2&!A3&B1&B2";
}
leakage_power () {
value : 0.0041558000;
when : "A1&A2&!A3&B1&!B2";
}
leakage_power () {
value : 0.0053047000;
when : "A1&A2&A3&!B1&B2";
}
leakage_power () {
value : 0.0089319000;
when : "A1&A2&A3&!B1&!B2";
}
leakage_power () {
value : 0.0019098000;
when : "A1&A2&A3&B1&B2";
}
leakage_power () {
value : 0.0040277000;
when : "A1&A2&A3&B1&!B2";
}
area : 22.521600000;
cell_footprint : "sky130_fd_sc_hd__o32a";
cell_leakage_power : 0.0067278040;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A1") {
capacitance : 0.0043510000;
clock : "false";
direction : "input";
fall_capacitance : 0.0041450000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0079952000, 0.0079865000, 0.0079664000, 0.0079692000, 0.0079757000, 0.0079907000, 0.0080251000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.007876900, -0.007874100, -0.007867600, -0.007870800, -0.007878200, -0.007895300, -0.007934800");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0045570000;
}
pin ("A2") {
capacitance : 0.0042450000;
clock : "false";
direction : "input";
fall_capacitance : 0.0039640000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0079386000, 0.0079291000, 0.0079070000, 0.0079097000, 0.0079160000, 0.0079303000, 0.0079635000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.007857200, -0.007856300, -0.007854300, -0.007851200, -0.007844200, -0.007828100, -0.007790900");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0045270000;
}
pin ("A3") {
capacitance : 0.0042940000;
clock : "false";
direction : "input";
fall_capacitance : 0.0039360000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0082388000, 0.0082310000, 0.0082130000, 0.0082112000, 0.0082068000, 0.0081969000, 0.0081739000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.008189200, -0.008184200, -0.008172500, -0.008169400, -0.008162100, -0.008145300, -0.008106600");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0046510000;
}
pin ("B1") {
capacitance : 0.0042390000;
clock : "false";
direction : "input";
fall_capacitance : 0.0040740000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0091941000, 0.0091903000, 0.0091814000, 0.0091843000, 0.0091909000, 0.0092062000, 0.0092415000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.001430600, -0.001472900, -0.001570300, -0.001516400, -0.001392100, -0.001105600, -0.000445400");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0044050000;
}
pin ("B2") {
capacitance : 0.0042170000;
clock : "false";
direction : "input";
fall_capacitance : 0.0039750000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0092649000, 0.0092642000, 0.0092625000, 0.0092649000, 0.0092702000, 0.0092825000, 0.0093109000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.001418900, -0.001448700, -0.001517400, -0.001469900, -0.001360400, -0.001108100, -0.000526400");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0044600000;
}
pin ("X") {
direction : "output";
function : "(A1&B1) | (A1&B2) | (A2&B1) | (A3&B1) | (A2&B2) | (A3&B2)";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0016096770, 0.0051821200, 0.0166830800, 0.0537087500, 0.1729075000, 0.5566504000");
values("0.0457043000, 0.0437829000, 0.0383290000, 0.0228184000, -0.031390600, -0.220139800, -0.840718700", \
"0.0455581000, 0.0436117000, 0.0382151000, 0.0227530000, -0.031443700, -0.220549600, -0.840979900", \
"0.0451734000, 0.0432983000, 0.0377997000, 0.0223906000, -0.031857500, -0.220628100, -0.841197700", \
"0.0451173000, 0.0432260000, 0.0376090000, 0.0221566000, -0.032030100, -0.221173800, -0.841613400", \
"0.0444085000, 0.0425881000, 0.0370578000, 0.0217008000, -0.032543000, -0.221515400, -0.841871200", \
"0.0447732000, 0.0429314000, 0.0373133000, 0.0218052000, -0.032720300, -0.221877400, -0.842115400", \
"0.0545601000, 0.0523635000, 0.0461041000, 0.0271263000, -0.032011600, -0.221837800, -0.842127800");
}
related_pin : "A1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0016096770, 0.0051821200, 0.0166830800, 0.0537087500, 0.1729075000, 0.5566504000");
values("0.0429197000, 0.0447870000, 0.0509854000, 0.0708763000, 0.1319595000, 0.3246019000, 0.9400425000", \
"0.0425347000, 0.0445133000, 0.0507383000, 0.0706265000, 0.1316929000, 0.3241994000, 0.9401735000", \
"0.0423565000, 0.0442514000, 0.0505158000, 0.0702281000, 0.1313851000, 0.3242199000, 0.9386433000", \
"0.0419108000, 0.0438219000, 0.0501038000, 0.0699286000, 0.1309796000, 0.3234774000, 0.9390490000", \
"0.0418846000, 0.0440303000, 0.0501184000, 0.0696816000, 0.1306588000, 0.3233008000, 0.9423967000", \
"0.0438381000, 0.0457128000, 0.0514949000, 0.0701667000, 0.1300364000, 0.3220577000, 0.9420025000", \
"0.0452001000, 0.0469321000, 0.0527784000, 0.0715651000, 0.1314235000, 0.3240090000, 0.9368697000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0016096770, 0.0051821200, 0.0166830800, 0.0537087500, 0.1729075000, 0.5566504000");
values("0.0409297000, 0.0390522000, 0.0335384000, 0.0181844000, -0.035828200, -0.224988100, -0.845331700", \
"0.0407685000, 0.0388473000, 0.0333872000, 0.0179295000, -0.036235400, -0.225180500, -0.845421600", \
"0.0405229000, 0.0385752000, 0.0331596000, 0.0177079000, -0.036497100, -0.225594100, -0.845969100", \
"0.0400729000, 0.0381882000, 0.0327353000, 0.0172431000, -0.036984200, -0.225729200, -0.846293000", \
"0.0397067000, 0.0378519000, 0.0323258000, 0.0169244000, -0.037277600, -0.226350600, -0.846747800", \
"0.0401084000, 0.0380745000, 0.0324493000, 0.0169242000, -0.037364100, -0.226619200, -0.846807000", \
"0.0502909000, 0.0481688000, 0.0417167000, 0.0224864000, -0.037641800, -0.226684600, -0.846623100");
}
related_pin : "A2";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0016096770, 0.0051821200, 0.0166830800, 0.0537087500, 0.1729075000, 0.5566504000");
values("0.0395613000, 0.0415751000, 0.0477936000, 0.0672979000, 0.1280675000, 0.3205658000, 0.9349088000", \
"0.0396479000, 0.0415302000, 0.0476371000, 0.0672571000, 0.1281309000, 0.3201915000, 0.9342857000", \
"0.0395153000, 0.0414037000, 0.0476438000, 0.0671509000, 0.1279228000, 0.3204590000, 0.9348257000", \
"0.0391068000, 0.0410134000, 0.0472676000, 0.0668522000, 0.1276982000, 0.3200455000, 0.9351994000", \
"0.0390553000, 0.0409748000, 0.0471969000, 0.0665343000, 0.1271950000, 0.3192372000, 0.9355423000", \
"0.0398778000, 0.0416927000, 0.0476057000, 0.0663883000, 0.1265581000, 0.3181038000, 0.9334557000", \
"0.0416282000, 0.0434252000, 0.0491869000, 0.0674288000, 0.1276963000, 0.3192591000, 0.9327795000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0016096770, 0.0051821200, 0.0166830800, 0.0537087500, 0.1729075000, 0.5566504000");
values("0.0362418000, 0.0343806000, 0.0289794000, 0.0134635000, -0.040812400, -0.229896300, -0.850265700", \
"0.0358080000, 0.0339242000, 0.0284324000, 0.0129968000, -0.041208000, -0.230159200, -0.850552200", \
"0.0354411000, 0.0335238000, 0.0280614000, 0.0126045000, -0.041617000, -0.230400800, -0.850932000", \
"0.0349191000, 0.0330562000, 0.0275643000, 0.0122281000, -0.041958300, -0.231023000, -0.851347400", \
"0.0347406000, 0.0328281000, 0.0273896000, 0.0118894000, -0.042338800, -0.231426600, -0.851742900", \
"0.0358484000, 0.0338972000, 0.0282465000, 0.0125436000, -0.041937100, -0.231069300, -0.851126500", \
"0.0506431000, 0.0484517000, 0.0418505000, 0.0223977000, -0.036971600, -0.227118600, -0.847431300");
}
related_pin : "A3";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0016096770, 0.0051821200, 0.0166830800, 0.0537087500, 0.1729075000, 0.5566504000");
values("0.0359283000, 0.0378282000, 0.0440853000, 0.0636111000, 0.1244188000, 0.3160895000, 0.9299288000", \
"0.0360349000, 0.0379312000, 0.0441767000, 0.0637181000, 0.1244008000, 0.3166103000, 0.9306387000", \
"0.0359663000, 0.0379126000, 0.0440536000, 0.0636657000, 0.1245127000, 0.3164734000, 0.9314713000", \
"0.0356441000, 0.0375328000, 0.0438203000, 0.0634009000, 0.1241192000, 0.3160873000, 0.9304755000", \
"0.0354905000, 0.0374160000, 0.0435212000, 0.0626111000, 0.1231999000, 0.3156737000, 0.9342956000", \
"0.0361116000, 0.0379285000, 0.0437941000, 0.0627099000, 0.1225578000, 0.3138935000, 0.9298413000", \
"0.0379849000, 0.0397639000, 0.0455313000, 0.0638384000, 0.1241133000, 0.3159176000, 0.9270070000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0016096770, 0.0051821200, 0.0166830800, 0.0537087500, 0.1729075000, 0.5566504000");
values("0.0278589000, 0.0263467000, 0.0219733000, 0.0080621000, -0.043384400, -0.231043200, -0.852024700", \
"0.0276590000, 0.0260941000, 0.0216974000, 0.0077267000, -0.043592600, -0.231256400, -0.852232800", \
"0.0274330000, 0.0257901000, 0.0214789000, 0.0074665000, -0.044013300, -0.231684700, -0.852642300", \
"0.0267282000, 0.0252244000, 0.0207782000, 0.0068774000, -0.044632200, -0.232274100, -0.853176800", \
"0.0263487000, 0.0248079000, 0.0203911000, 0.0060983000, -0.045424200, -0.232861900, -0.853665000", \
"0.0275805000, 0.0256809000, 0.0198554000, 0.0050089000, -0.046034000, -0.233183400, -0.853773000", \
"0.0436586000, 0.0416433000, 0.0354669000, 0.0165787000, -0.042631200, -0.232947800, -0.853243100");
}
related_pin : "B1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0016096770, 0.0051821200, 0.0166830800, 0.0537087500, 0.1729075000, 0.5566504000");
values("0.0366708000, 0.0385962000, 0.0448635000, 0.0645450000, 0.1257367000, 0.3185193000, 0.9320236000", \
"0.0365077000, 0.0384045000, 0.0445746000, 0.0643913000, 0.1255210000, 0.3181312000, 0.9337654000", \
"0.0361695000, 0.0380667000, 0.0443517000, 0.0642335000, 0.1252918000, 0.3179270000, 0.9331048000", \
"0.0357545000, 0.0377131000, 0.0439389000, 0.0637006000, 0.1248928000, 0.3174280000, 0.9324048000", \
"0.0359368000, 0.0378343000, 0.0439429000, 0.0634860000, 0.1236328000, 0.3164707000, 0.9328664000", \
"0.0372811000, 0.0390876000, 0.0450124000, 0.0632649000, 0.1238305000, 0.3156721000, 0.9316596000", \
"0.0402745000, 0.0419672000, 0.0477163000, 0.0663875000, 0.1257456000, 0.3175765000, 0.9309257000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0016096770, 0.0051821200, 0.0166830800, 0.0537087500, 0.1729075000, 0.5566504000");
values("0.0231539000, 0.0215249000, 0.0170558000, 0.0032606000, -0.048117600, -0.235562700, -0.856450200", \
"0.0228792000, 0.0214161000, 0.0169640000, 0.0030321000, -0.048312500, -0.235841900, -0.856717500", \
"0.0223473000, 0.0208159000, 0.0163494000, 0.0024457000, -0.048825600, -0.236352200, -0.857184300", \
"0.0217210000, 0.0201595000, 0.0158205000, 0.0017925000, -0.049562400, -0.237061100, -0.857858400", \
"0.0214075000, 0.0198167000, 0.0152800000, 0.0010485000, -0.050382300, -0.237589100, -0.858233500", \
"0.0246294000, 0.0226291000, 0.0165657000, 0.0009267000, -0.049950900, -0.236954500, -0.857518800", \
"0.0424356000, 0.0402689000, 0.0338257000, 0.0145207000, -0.044712700, -0.234914400, -0.855270600");
}
related_pin : "B2";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0016096770, 0.0051821200, 0.0166830800, 0.0537087500, 0.1729075000, 0.5566504000");
values("0.0325260000, 0.0345066000, 0.0407062000, 0.0604844000, 0.1217914000, 0.3139711000, 0.9285386000", \
"0.0325844000, 0.0344574000, 0.0407607000, 0.0606841000, 0.1217277000, 0.3139776000, 0.9293349000", \
"0.0326142000, 0.0345176000, 0.0407081000, 0.0605783000, 0.1217242000, 0.3141654000, 0.9293893000", \
"0.0322859000, 0.0342293000, 0.0405124000, 0.0602799000, 0.1213277000, 0.3136986000, 0.9328106000", \
"0.0324342000, 0.0343929000, 0.0401279000, 0.0599424000, 0.1199661000, 0.3129087000, 0.9283573000", \
"0.0336791000, 0.0354730000, 0.0412382000, 0.0597827000, 0.1198403000, 0.3112314000, 0.9285972000", \
"0.0359831000, 0.0376815000, 0.0434298000, 0.0617937000, 0.1214178000, 0.3132171000, 0.9262779000");
}
}
max_capacitance : 0.5566500000;
max_transition : 1.5033630000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000");
values("0.3374238000, 0.3428658000, 0.3570133000, 0.3880938000, 0.4517398000, 0.5867798000, 0.9378868000", \
"0.3420642000, 0.3475130000, 0.3615453000, 0.3928944000, 0.4562940000, 0.5920468000, 0.9429860000", \
"0.3539506000, 0.3593208000, 0.3734780000, 0.4046737000, 0.4683490000, 0.6034151000, 0.9544638000", \
"0.3797618000, 0.3850454000, 0.3994484000, 0.4307207000, 0.4941276000, 0.6298845000, 0.9810073000", \
"0.4335429000, 0.4389742000, 0.4530707000, 0.4840254000, 0.5474997000, 0.6832127000, 1.0346962000", \
"0.5455875000, 0.5510737000, 0.5653810000, 0.5968788000, 0.6604858000, 0.7958005000, 1.1468563000", \
"0.7457549000, 0.7517569000, 0.7680133000, 0.8027854000, 0.8725596000, 1.0155393000, 1.3706132000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000");
values("0.1339664000, 0.1383595000, 0.1502299000, 0.1797273000, 0.2557103000, 0.4823635000, 1.1973705000", \
"0.1382342000, 0.1426572000, 0.1546236000, 0.1841018000, 0.2600593000, 0.4867325000, 1.2022336000", \
"0.1479599000, 0.1523643000, 0.1642214000, 0.1936406000, 0.2697735000, 0.4957367000, 1.2107753000", \
"0.1671702000, 0.1715728000, 0.1834578000, 0.2128964000, 0.2889281000, 0.5153538000, 1.2333328000", \
"0.2078375000, 0.2123729000, 0.2243302000, 0.2539014000, 0.3298582000, 0.5558492000, 1.2743534000", \
"0.2728726000, 0.2778618000, 0.2909908000, 0.3220975000, 0.3988670000, 0.6245442000, 1.3434680000", \
"0.3463795000, 0.3526182000, 0.3689148000, 0.4044361000, 0.4846256000, 0.7111969000, 1.4263811000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000");
values("0.0427416000, 0.0461229000, 0.0544953000, 0.0735815000, 0.1209224000, 0.2546715000, 0.6858198000", \
"0.0426790000, 0.0457757000, 0.0549672000, 0.0741827000, 0.1225941000, 0.2542200000, 0.6870736000", \
"0.0428332000, 0.0462222000, 0.0548912000, 0.0735872000, 0.1217855000, 0.2543784000, 0.6862417000", \
"0.0428623000, 0.0462503000, 0.0549384000, 0.0747263000, 0.1225272000, 0.2539292000, 0.6857357000", \
"0.0429356000, 0.0461523000, 0.0540487000, 0.0741470000, 0.1223739000, 0.2548940000, 0.6860744000", \
"0.0445207000, 0.0473970000, 0.0556573000, 0.0753475000, 0.1219967000, 0.2549539000, 0.6868994000", \
"0.0529111000, 0.0560639000, 0.0651716000, 0.0854348000, 0.1344779000, 0.2658163000, 0.6913922000");
}
related_pin : "A1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000");
values("0.0256959000, 0.0292613000, 0.0394948000, 0.0690069000, 0.1633822000, 0.4784313000, 1.5027217000", \
"0.0258626000, 0.0292779000, 0.0393795000, 0.0690924000, 0.1635075000, 0.4788874000, 1.5030456000", \
"0.0255769000, 0.0290827000, 0.0393281000, 0.0690117000, 0.1634756000, 0.4788092000, 1.5010977000", \
"0.0256756000, 0.0291940000, 0.0392303000, 0.0689749000, 0.1635637000, 0.4794485000, 1.5026074000", \
"0.0263550000, 0.0301670000, 0.0400725000, 0.0694416000, 0.1634271000, 0.4786597000, 1.5018601000", \
"0.0307179000, 0.0345627000, 0.0445329000, 0.0730720000, 0.1651770000, 0.4776780000, 1.5033631000", \
"0.0405955000, 0.0445930000, 0.0557744000, 0.0831907000, 0.1698283000, 0.4801947000, 1.5010774000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000");
values("0.3183661000, 0.3237971000, 0.3378986000, 0.3691115000, 0.4322769000, 0.5680018000, 0.9192411000", \
"0.3220856000, 0.3273768000, 0.3416451000, 0.3727365000, 0.4362056000, 0.5716361000, 0.9227775000", \
"0.3324488000, 0.3379017000, 0.3519418000, 0.3832314000, 0.4466967000, 0.5824448000, 0.9333617000", \
"0.3571660000, 0.3626117000, 0.3768160000, 0.4079182000, 0.4715260000, 0.6066248000, 0.9577322000", \
"0.4132117000, 0.4185360000, 0.4326273000, 0.4638146000, 0.5272028000, 0.6628985000, 1.0141087000", \
"0.5403712000, 0.5459728000, 0.5602422000, 0.5922895000, 0.6554853000, 0.7916747000, 1.1427179000", \
"0.7737040000, 0.7800448000, 0.7970892000, 0.8329969000, 0.9032699000, 1.0464302000, 1.4023775000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000");
values("0.1234323000, 0.1276451000, 0.1388782000, 0.1671259000, 0.2413519000, 0.4659013000, 1.1817889000", \
"0.1282702000, 0.1324166000, 0.1436412000, 0.1719099000, 0.2462965000, 0.4709902000, 1.1845077000", \
"0.1378971000, 0.1420649000, 0.1533673000, 0.1815577000, 0.2558067000, 0.4803765000, 1.1964174000", \
"0.1567299000, 0.1609433000, 0.1721916000, 0.2003875000, 0.2747726000, 0.4990674000, 1.2147833000", \
"0.1945604000, 0.1988391000, 0.2103682000, 0.2389335000, 0.3134756000, 0.5379799000, 1.2536427000", \
"0.2503916000, 0.2552684000, 0.2681369000, 0.2986444000, 0.3745037000, 0.5991342000, 1.3142215000", \
"0.3034725000, 0.3097957000, 0.3260977000, 0.3617076000, 0.4412667000, 0.6664227000, 1.3811023000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000");
values("0.0429938000, 0.0462168000, 0.0540798000, 0.0736395000, 0.1222221000, 0.2546751000, 0.6866215000", \
"0.0428160000, 0.0461840000, 0.0544970000, 0.0735851000, 0.1211185000, 0.2552474000, 0.6863130000", \
"0.0426376000, 0.0458086000, 0.0549568000, 0.0740209000, 0.1226275000, 0.2542165000, 0.6868658000", \
"0.0429655000, 0.0462480000, 0.0544612000, 0.0735675000, 0.1210600000, 0.2551038000, 0.6854565000", \
"0.0428341000, 0.0460941000, 0.0541903000, 0.0737531000, 0.1216580000, 0.2550197000, 0.6864096000", \
"0.0450817000, 0.0481145000, 0.0563018000, 0.0761587000, 0.1240651000, 0.2550036000, 0.6865623000", \
"0.0564374000, 0.0594934000, 0.0681679000, 0.0887337000, 0.1360408000, 0.2664476000, 0.6918377000");
}
related_pin : "A2";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000");
values("0.0239128000, 0.0271534000, 0.0370699000, 0.0663926000, 0.1610106000, 0.4770273000, 1.5025268000", \
"0.0238435000, 0.0272504000, 0.0372421000, 0.0665200000, 0.1608374000, 0.4781932000, 1.4985306000", \
"0.0237399000, 0.0271589000, 0.0372292000, 0.0664106000, 0.1610395000, 0.4770642000, 1.5031868000", \
"0.0238023000, 0.0272425000, 0.0370813000, 0.0662854000, 0.1610316000, 0.4780263000, 1.5017524000", \
"0.0253283000, 0.0287259000, 0.0384883000, 0.0672638000, 0.1613656000, 0.4770109000, 1.5028326000", \
"0.0299458000, 0.0337452000, 0.0434875000, 0.0716147000, 0.1635923000, 0.4762973000, 1.5007138000", \
"0.0407747000, 0.0446348000, 0.0556323000, 0.0830951000, 0.1692307000, 0.4782402000, 1.4959580000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000");
values("0.2773952000, 0.2828373000, 0.2969399000, 0.3281382000, 0.3915401000, 0.5273045000, 0.8785163000", \
"0.2799952000, 0.2854280000, 0.2995680000, 0.3306826000, 0.3942691000, 0.5301882000, 0.8804502000", \
"0.2878505000, 0.2932872000, 0.3074740000, 0.3385169000, 0.4021811000, 0.5375013000, 0.8884460000", \
"0.3099519000, 0.3153711000, 0.3295237000, 0.3604971000, 0.4239220000, 0.5596689000, 0.9111476000", \
"0.3693253000, 0.3747245000, 0.3889580000, 0.4200360000, 0.4834543000, 0.6192335000, 0.9704134000", \
"0.5098268000, 0.5153938000, 0.5295176000, 0.5607911000, 0.6239672000, 0.7603168000, 1.1117149000", \
"0.7529789000, 0.7599040000, 0.7773030000, 0.8153439000, 0.8841055000, 1.0242200000, 1.3800142000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000");
values("0.1110479000, 0.1151638000, 0.1262837000, 0.1543039000, 0.2282925000, 0.4524888000, 1.1652799000", \
"0.1160951000, 0.1201941000, 0.1313545000, 0.1593354000, 0.2332095000, 0.4570912000, 1.1728721000", \
"0.1262949000, 0.1304098000, 0.1415213000, 0.1695305000, 0.2435278000, 0.4669676000, 1.1822991000", \
"0.1460656000, 0.1501523000, 0.1613155000, 0.1892686000, 0.2632102000, 0.4872155000, 1.2013244000", \
"0.1836185000, 0.1879610000, 0.1994961000, 0.2280943000, 0.3021857000, 0.5264020000, 1.2438087000", \
"0.2365001000, 0.2415490000, 0.2545920000, 0.2853955000, 0.3614726000, 0.5856539000, 1.3021361000", \
"0.2854872000, 0.2920827000, 0.3090902000, 0.3461616000, 0.4267094000, 0.6513443000, 1.3658724000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000");
values("0.0427516000, 0.0459540000, 0.0540473000, 0.0748921000, 0.1215321000, 0.2547279000, 0.6868898000", \
"0.0427922000, 0.0459956000, 0.0547697000, 0.0736522000, 0.1217978000, 0.2549178000, 0.6863154000", \
"0.0427830000, 0.0459485000, 0.0546447000, 0.0736137000, 0.1218787000, 0.2549397000, 0.6854326000", \
"0.0428576000, 0.0461286000, 0.0539916000, 0.0741412000, 0.1215688000, 0.2549921000, 0.6870848000", \
"0.0430956000, 0.0463428000, 0.0547220000, 0.0735574000, 0.1215049000, 0.2545957000, 0.6866476000", \
"0.0454232000, 0.0485037000, 0.0565395000, 0.0748300000, 0.1222023000, 0.2554178000, 0.6869203000", \
"0.0641246000, 0.0678637000, 0.0780255000, 0.0946842000, 0.1386974000, 0.2648934000, 0.6924227000");
}
related_pin : "A3";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000");
values("0.0231624000, 0.0265384000, 0.0364289000, 0.0657385000, 0.1599573000, 0.4775295000, 1.4982469000", \
"0.0232164000, 0.0266241000, 0.0365010000, 0.0656866000, 0.1603002000, 0.4765651000, 1.5030409000", \
"0.0232900000, 0.0266516000, 0.0365303000, 0.0655934000, 0.1602909000, 0.4769416000, 1.5015771000", \
"0.0231642000, 0.0266019000, 0.0364050000, 0.0655667000, 0.1602243000, 0.4780247000, 1.4998736000", \
"0.0251638000, 0.0285734000, 0.0384667000, 0.0671093000, 0.1607044000, 0.4768108000, 1.5019355000", \
"0.0310325000, 0.0343431000, 0.0449412000, 0.0723698000, 0.1634560000, 0.4760773000, 1.5010372000", \
"0.0428709000, 0.0471168000, 0.0585563000, 0.0855852000, 0.1704072000, 0.4785917000, 1.4977196000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000");
values("0.1798884000, 0.1842811000, 0.1958874000, 0.2235323000, 0.2875499000, 0.4363642000, 0.7980317000", \
"0.1847473000, 0.1891439000, 0.2007564000, 0.2286807000, 0.2924379000, 0.4411611000, 0.8030360000", \
"0.1965174000, 0.2008173000, 0.2124604000, 0.2402093000, 0.3041265000, 0.4528170000, 0.8145651000", \
"0.2225968000, 0.2269761000, 0.2385611000, 0.2662559000, 0.3301646000, 0.4789126000, 0.8406479000", \
"0.2811233000, 0.2854791000, 0.2970047000, 0.3246641000, 0.3885965000, 0.5375727000, 0.8994000000", \
"0.3927419000, 0.3975580000, 0.4105565000, 0.4407682000, 0.5086535000, 0.6609721000, 1.0239646000", \
"0.5804688000, 0.5861794000, 0.6015243000, 0.6370933000, 0.7150790000, 0.8824114000, 1.2560522000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000");
values("0.1228419000, 0.1272559000, 0.1390930000, 0.1685002000, 0.2446634000, 0.4704938000, 1.1866442000", \
"0.1268247000, 0.1312255000, 0.1430589000, 0.1725172000, 0.2485434000, 0.4752195000, 1.1904326000", \
"0.1366578000, 0.1410508000, 0.1529261000, 0.1823712000, 0.2584787000, 0.4847660000, 1.2031407000", \
"0.1610592000, 0.1654460000, 0.1773060000, 0.2066955000, 0.2827238000, 0.5088786000, 1.2273812000", \
"0.2145764000, 0.2190063000, 0.2308226000, 0.2600239000, 0.3355853000, 0.5619346000, 1.2779251000", \
"0.2894196000, 0.2944544000, 0.3072686000, 0.3375853000, 0.4139136000, 0.6397413000, 1.3594158000", \
"0.3682289000, 0.3746690000, 0.3912481000, 0.4260677000, 0.5038518000, 0.7291139000, 1.4452093000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000");
values("0.0295786000, 0.0325736000, 0.0405721000, 0.0637195000, 0.1229063000, 0.2704127000, 0.6930111000", \
"0.0293428000, 0.0323095000, 0.0406151000, 0.0632609000, 0.1229018000, 0.2702811000, 0.6926913000", \
"0.0295602000, 0.0325387000, 0.0410767000, 0.0636086000, 0.1229147000, 0.2701370000, 0.6928036000", \
"0.0296441000, 0.0323526000, 0.0410456000, 0.0636636000, 0.1228861000, 0.2702635000, 0.6929461000", \
"0.0296198000, 0.0325670000, 0.0413529000, 0.0635578000, 0.1230838000, 0.2704930000, 0.6929465000", \
"0.0358784000, 0.0390061000, 0.0476344000, 0.0710666000, 0.1302490000, 0.2750111000, 0.6934493000", \
"0.0495209000, 0.0530483000, 0.0624386000, 0.0880169000, 0.1505446000, 0.2969490000, 0.7026522000");
}
related_pin : "B1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000");
values("0.0254994000, 0.0290467000, 0.0392715000, 0.0689834000, 0.1634745000, 0.4789511000, 1.4984879000", \
"0.0256075000, 0.0290736000, 0.0394356000, 0.0688962000, 0.1632227000, 0.4782173000, 1.5028874000", \
"0.0256901000, 0.0291805000, 0.0392800000, 0.0689555000, 0.1635447000, 0.4791726000, 1.5003232000", \
"0.0253880000, 0.0290047000, 0.0391418000, 0.0687133000, 0.1632940000, 0.4788765000, 1.5002158000", \
"0.0263353000, 0.0296940000, 0.0396035000, 0.0690251000, 0.1634426000, 0.4792951000, 1.5030384000", \
"0.0323501000, 0.0356116000, 0.0448838000, 0.0727960000, 0.1652831000, 0.4787433000, 1.5007050000", \
"0.0440457000, 0.0481102000, 0.0582553000, 0.0837788000, 0.1693355000, 0.4808101000, 1.4985173000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000");
values("0.1563498000, 0.1607132000, 0.1723696000, 0.2002537000, 0.2641203000, 0.4131478000, 0.7752374000", \
"0.1597902000, 0.1641771000, 0.1758182000, 0.2036868000, 0.2677792000, 0.4167975000, 0.7787206000", \
"0.1691352000, 0.1733856000, 0.1849535000, 0.2129602000, 0.2769606000, 0.4260820000, 0.7880583000", \
"0.1943542000, 0.1986614000, 0.2107449000, 0.2385334000, 0.3027572000, 0.4520086000, 0.8138941000", \
"0.2595313000, 0.2638225000, 0.2752859000, 0.3029345000, 0.3668527000, 0.5160483000, 0.8779824000", \
"0.3823531000, 0.3874468000, 0.4008861000, 0.4321576000, 0.4997004000, 0.6533893000, 1.0170251000", \
"0.5750908000, 0.5813734000, 0.5982075000, 0.6365936000, 0.7182769000, 0.8893892000, 1.2645140000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000");
values("0.1096369000, 0.1140901000, 0.1260426000, 0.1554752000, 0.2317209000, 0.4569075000, 1.1735680000", \
"0.1140660000, 0.1184828000, 0.1303928000, 0.1599480000, 0.2360141000, 0.4621647000, 1.1795187000", \
"0.1247170000, 0.1291329000, 0.1409516000, 0.1704706000, 0.2465801000, 0.4728312000, 1.1874501000", \
"0.1493424000, 0.1537138000, 0.1656153000, 0.1948892000, 0.2707764000, 0.4964736000, 1.2140217000", \
"0.2002453000, 0.2046982000, 0.2165936000, 0.2458956000, 0.3209036000, 0.5470814000, 1.2619231000", \
"0.2668666000, 0.2720933000, 0.2852117000, 0.3157513000, 0.3917823000, 0.6176832000, 1.3341687000", \
"0.3312898000, 0.3380530000, 0.3549651000, 0.3914100000, 0.4697485000, 0.6948718000, 1.4109246000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000");
values("0.0295097000, 0.0323250000, 0.0407369000, 0.0634212000, 0.1231931000, 0.2707848000, 0.6923234000", \
"0.0294355000, 0.0325973000, 0.0410636000, 0.0636316000, 0.1232522000, 0.2708736000, 0.6931063000", \
"0.0295571000, 0.0324043000, 0.0410426000, 0.0634039000, 0.1230552000, 0.2706134000, 0.6930100000", \
"0.0294336000, 0.0323898000, 0.0410711000, 0.0634420000, 0.1232523000, 0.2706007000, 0.6920777000", \
"0.0298633000, 0.0325331000, 0.0408954000, 0.0638586000, 0.1236296000, 0.2710591000, 0.6932042000", \
"0.0404869000, 0.0436052000, 0.0524097000, 0.0744115000, 0.1326549000, 0.2769748000, 0.6942268000", \
"0.0584583000, 0.0620433000, 0.0726980000, 0.0995353000, 0.1620075000, 0.3038573000, 0.7057341000");
}
related_pin : "B2";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000");
values("0.0254277000, 0.0289086000, 0.0392810000, 0.0687403000, 0.1630203000, 0.4779973000, 1.4994486000", \
"0.0254514000, 0.0291269000, 0.0392080000, 0.0688759000, 0.1632396000, 0.4788459000, 1.5018214000", \
"0.0254658000, 0.0289534000, 0.0392746000, 0.0687725000, 0.1630841000, 0.4777256000, 1.5021767000", \
"0.0250591000, 0.0285975000, 0.0388727000, 0.0683385000, 0.1628134000, 0.4780131000, 1.5029122000", \
"0.0265874000, 0.0301617000, 0.0402774000, 0.0693071000, 0.1629754000, 0.4775571000, 1.5021843000", \
"0.0337357000, 0.0369968000, 0.0460878000, 0.0737215000, 0.1651911000, 0.4773439000, 1.5027919000", \
"0.0463831000, 0.0504869000, 0.0607555000, 0.0858109000, 0.1705038000, 0.4802090000, 1.5010300000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
/* removed sky130_fd_sc_hd__o32ai_1 */
/* removed sky130_fd_sc_hd__o32ai_2 */
cell ("sky130_fd_sc_hd__o32ai_4") {
leakage_power () {
value : 0.0053448000;
when : "!A1&!A2&!A3&!B1&B2";
}
leakage_power () {
value : 0.0005871000;
when : "!A1&!A2&!A3&!B1&!B2";
}
leakage_power () {
value : 0.0054625000;
when : "!A1&!A2&!A3&B1&B2";
}
leakage_power () {
value : 0.0053790000;
when : "!A1&!A2&!A3&B1&!B2";
}
leakage_power () {
value : 0.0082742000;
when : "!A1&!A2&A3&!B1&B2";
}
leakage_power () {
value : 0.0045240000;
when : "!A1&!A2&A3&!B1&!B2";
}
leakage_power () {
value : 0.0044326000;
when : "!A1&!A2&A3&B1&B2";
}
leakage_power () {
value : 0.0068122000;
when : "!A1&!A2&A3&B1&!B2";
}
leakage_power () {
value : 0.0068104000;
when : "!A1&A2&!A3&!B1&B2";
}
leakage_power () {
value : 0.0045239000;
when : "!A1&A2&!A3&!B1&!B2";
}
leakage_power () {
value : 0.0029688000;
when : "!A1&A2&!A3&B1&B2";
}
leakage_power () {
value : 0.0053484000;
when : "!A1&A2&!A3&B1&!B2";
}
leakage_power () {
value : 0.0044275000;
when : "!A1&A2&A3&!B1&B2";
}
leakage_power () {
value : 0.0045203000;
when : "!A1&A2&A3&!B1&!B2";
}
leakage_power () {
value : 0.0005860000;
when : "!A1&A2&A3&B1&B2";
}
leakage_power () {
value : 0.0029656000;
when : "!A1&A2&A3&B1&!B2";
}
leakage_power () {
value : 0.0085026000;
when : "A1&!A2&!A3&!B1&B2";
}
leakage_power () {
value : 0.0045231000;
when : "A1&!A2&!A3&!B1&!B2";
}
leakage_power () {
value : 0.0046609000;
when : "A1&!A2&!A3&B1&B2";
}
leakage_power () {
value : 0.0070405000;
when : "A1&!A2&!A3&B1&!B2";
}
leakage_power () {
value : 0.0046113000;
when : "A1&!A2&A3&!B1&B2";
}
leakage_power () {
value : 0.0045279000;
when : "A1&!A2&A3&!B1&!B2";
}
leakage_power () {
value : 0.0007686000;
when : "A1&!A2&A3&B1&B2";
}
leakage_power () {
value : 0.0031482000;
when : "A1&!A2&A3&B1&!B2";
}
leakage_power () {
value : 0.0046084000;
when : "A1&A2&!A3&!B1&B2";
}
leakage_power () {
value : 0.0045240000;
when : "A1&A2&!A3&!B1&!B2";
}
leakage_power () {
value : 0.0007668000;
when : "A1&A2&!A3&B1&B2";
}
leakage_power () {
value : 0.0031464000;
when : "A1&A2&!A3&B1&!B2";
}
leakage_power () {
value : 0.0043299000;
when : "A1&A2&A3&!B1&B2";
}
leakage_power () {
value : 0.0045187000;
when : "A1&A2&A3&!B1&!B2";
}
leakage_power () {
value : 0.0004894000;
when : "A1&A2&A3&B1&B2";
}
leakage_power () {
value : 0.0028679000;
when : "A1&A2&A3&B1&!B2";
}
area : 27.526400000;
cell_footprint : "sky130_fd_sc_hd__o32ai";
cell_leakage_power : 0.0042500690;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A1") {
capacitance : 0.0086590000;
clock : "false";
direction : "input";
fall_capacitance : 0.0082560000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0158764000, 0.0158631000, 0.0158324000, 0.0158273000, 0.0158156000, 0.0157886000, 0.0157264000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.015758400, -0.015753400, -0.015741800, -0.015736900, -0.015725600, -0.015699700, -0.015640000");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0090620000;
}
pin ("A2") {
capacitance : 0.0082520000;
clock : "false";
direction : "input";
fall_capacitance : 0.0077010000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0155843000, 0.0155750000, 0.0155536000, 0.0155555000, 0.0155599000, 0.0155700000, 0.0155933000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.015522600, -0.015518000, -0.015507400, -0.015510500, -0.015517800, -0.015534500, -0.015573000");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0088020000;
}
pin ("A3") {
capacitance : 0.0084670000;
clock : "false";
direction : "input";
fall_capacitance : 0.0078110000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0157120000, 0.0157042000, 0.0156863000, 0.0156806000, 0.0156676000, 0.0156376000, 0.0155684000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.015658300, -0.015656200, -0.015651300, -0.015654200, -0.015660900, -0.015676300, -0.015711700");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0091230000;
}
pin ("B1") {
capacitance : 0.0083800000;
clock : "false";
direction : "input";
fall_capacitance : 0.0080920000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0181881000, 0.0181904000, 0.0181956000, 0.0181893000, 0.0181746000, 0.0181409000, 0.0180631000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.001575200, -0.001649800, -0.001821800, -0.001726700, -0.001507400, -0.001002000, 0.0001629000");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0086670000;
}
pin ("B2") {
capacitance : 0.0083060000;
clock : "false";
direction : "input";
fall_capacitance : 0.0079060000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0182531000, 0.0182485000, 0.0182380000, 0.0182401000, 0.0182452000, 0.0182567000, 0.0182834000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.001745800, -0.001800500, -0.001926700, -0.001833700, -0.001619500, -0.001125600, 1.2835066e-05");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0087070000;
}
pin ("Y") {
direction : "output";
function : "(!A1&!A2&!A3) | (!B1&!B2)";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000");
values("0.0345127000, 0.0332180000, 0.0299230000, 0.0211787000, -0.001287300, -0.059728500, -0.212273800", \
"0.0340475000, 0.0327624000, 0.0294331000, 0.0207095000, -0.001762300, -0.060183600, -0.212601100", \
"0.0334474000, 0.0321824000, 0.0288096000, 0.0202009000, -0.002172200, -0.060694500, -0.213201400", \
"0.0329806000, 0.0317009000, 0.0283812000, 0.0196568000, -0.002789900, -0.061107100, -0.213449500", \
"0.0326278000, 0.0313450000, 0.0280667000, 0.0195451000, -0.002800400, -0.061151700, -0.213671500", \
"0.0327239000, 0.0314313000, 0.0281450000, 0.0192910000, -0.003297500, -0.061707500, -0.213980200", \
"0.0355252000, 0.0342167000, 0.0307949000, 0.0219711000, -0.000976700, -0.060247400, -0.213221300");
}
related_pin : "A1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000");
values("0.0669955000, 0.0683560000, 0.0716935000, 0.0804267000, 0.1031608000, 0.1617628000, 0.3133855000", \
"0.0664714000, 0.0677558000, 0.0711613000, 0.0800131000, 0.1027167000, 0.1614102000, 0.3130823000", \
"0.0657432000, 0.0672523000, 0.0705277000, 0.0794216000, 0.1022995000, 0.1610793000, 0.3129967000", \
"0.0652044000, 0.0664741000, 0.0699337000, 0.0789070000, 0.1017333000, 0.1606642000, 0.3127250000", \
"0.0646385000, 0.0659424000, 0.0693394000, 0.0781185000, 0.1009948000, 0.1598506000, 0.3120094000", \
"0.0643939000, 0.0657493000, 0.0690244000, 0.0779672000, 0.1005400000, 0.1595654000, 0.3114826000", \
"0.0637495000, 0.0651534000, 0.0689613000, 0.0783318000, 0.1012411000, 0.1598400000, 0.3117722000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000");
values("0.0287912000, 0.0275086000, 0.0242443000, 0.0155855000, -0.006792800, -0.065476400, -0.218131100", \
"0.0286727000, 0.0273901000, 0.0241349000, 0.0155068000, -0.006892200, -0.065504300, -0.218183400", \
"0.0283357000, 0.0270633000, 0.0237784000, 0.0151978000, -0.007149200, -0.065612500, -0.218365900", \
"0.0276879000, 0.0263862000, 0.0231783000, 0.0146488000, -0.007625900, -0.065917600, -0.218548400", \
"0.0268126000, 0.0255293000, 0.0222788000, 0.0139828000, -0.008177000, -0.066164800, -0.218806600", \
"0.0269441000, 0.0256660000, 0.0223917000, 0.0137456000, -0.008831300, -0.067128700, -0.219056000", \
"0.0289944000, 0.0277122000, 0.0242878000, 0.0155648000, -0.007211000, -0.066249600, -0.219259800");
}
related_pin : "A2";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000");
values("0.0582765000, 0.0595533000, 0.0629435000, 0.0717570000, 0.0944415000, 0.1530367000, 0.3048388000", \
"0.0576401000, 0.0590264000, 0.0624278000, 0.0712133000, 0.0940197000, 0.1526860000, 0.3042664000", \
"0.0567585000, 0.0582696000, 0.0615724000, 0.0704792000, 0.0933686000, 0.1524677000, 0.3040001000", \
"0.0561413000, 0.0574805000, 0.0607305000, 0.0696624000, 0.0926494000, 0.1516626000, 0.3038533000", \
"0.0554207000, 0.0567217000, 0.0600729000, 0.0689485000, 0.0918638000, 0.1509370000, 0.3033154000", \
"0.0554005000, 0.0566524000, 0.0599861000, 0.0690711000, 0.0917371000, 0.1504866000, 0.3026250000", \
"0.0564215000, 0.0577032000, 0.0609004000, 0.0695175000, 0.0924083000, 0.1506142000, 0.3031254000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000");
values("0.0211797000, 0.0199340000, 0.0166707000, 0.0081186000, -0.014277100, -0.072965600, -0.226026700", \
"0.0211159000, 0.0198925000, 0.0167007000, 0.0082120000, -0.014066800, -0.072638500, -0.225554400", \
"0.0208599000, 0.0196451000, 0.0165419000, 0.0081136000, -0.014006300, -0.072430200, -0.225264000", \
"0.0201889000, 0.0189881000, 0.0158913000, 0.0076028000, -0.014356200, -0.072431900, -0.225059200", \
"0.0193992000, 0.0181967000, 0.0150703000, 0.0066859000, -0.015099100, -0.072877300, -0.225249200", \
"0.0196325000, 0.0182784000, 0.0149665000, 0.0065702000, -0.015759100, -0.073999800, -0.225778100", \
"0.0220273000, 0.0207077000, 0.0170610000, 0.0084416000, -0.014564500, -0.073412600, -0.226185900");
}
related_pin : "A3";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000");
values("0.0497270000, 0.0510053000, 0.0544311000, 0.0632882000, 0.0860527000, 0.1447346000, 0.2965584000", \
"0.0487642000, 0.0502832000, 0.0534980000, 0.0624965000, 0.0854266000, 0.1443306000, 0.2958851000", \
"0.0474610000, 0.0489171000, 0.0523407000, 0.0613211000, 0.0844777000, 0.1437470000, 0.2955945000", \
"0.0464239000, 0.0478462000, 0.0512086000, 0.0603111000, 0.0834214000, 0.1428023000, 0.2950125000", \
"0.0462426000, 0.0475865000, 0.0509483000, 0.0597831000, 0.0822104000, 0.1412933000, 0.2943264000", \
"0.0484784000, 0.0497347000, 0.0531144000, 0.0618488000, 0.0847541000, 0.1415251000, 0.2931228000", \
"0.0555177000, 0.0566994000, 0.0575593000, 0.0655306000, 0.0887282000, 0.1464065000, 0.2954459000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000");
values("0.0211092000, 0.0198347000, 0.0165468000, 0.0078357000, -0.014531800, -0.072964900, -0.225374300", \
"0.0206560000, 0.0193800000, 0.0161150000, 0.0074390000, -0.014851100, -0.073310700, -0.225806400", \
"0.0201813000, 0.0189155000, 0.0156170000, 0.0070277000, -0.015290500, -0.073537000, -0.225785300", \
"0.0193617000, 0.0181131000, 0.0148676000, 0.0062727000, -0.016007300, -0.073962300, -0.226249800", \
"0.0191386000, 0.0178138000, 0.0145117000, 0.0059267000, -0.016294100, -0.074462400, -0.226464800", \
"0.0195343000, 0.0182555000, 0.0149117000, 0.0061696000, -0.017090500, -0.075255300, -0.227103400", \
"0.0232009000, 0.0218666000, 0.0184166000, 0.0096408000, -0.012765000, -0.073041800, -0.227086300");
}
related_pin : "B1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000");
values("0.0338398000, 0.0352719000, 0.0389025000, 0.0480205000, 0.0708695000, 0.1293188000, 0.2805288000", \
"0.0330024000, 0.0344561000, 0.0381976000, 0.0474325000, 0.0705962000, 0.1293385000, 0.2807274000", \
"0.0321223000, 0.0335228000, 0.0371309000, 0.0464148000, 0.0697904000, 0.1289245000, 0.2806819000", \
"0.0315209000, 0.0328141000, 0.0363395000, 0.0453770000, 0.0686000000, 0.1280875000, 0.2801807000", \
"0.0309993000, 0.0322921000, 0.0357728000, 0.0446467000, 0.0674833000, 0.1266598000, 0.2791466000", \
"0.0310422000, 0.0323306000, 0.0356698000, 0.0444801000, 0.0673438000, 0.1262063000, 0.2779546000", \
"0.0327379000, 0.0339212000, 0.0370094000, 0.0456964000, 0.0684069000, 0.1264110000, 0.2791932000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000");
values("0.0138108000, 0.0126137000, 0.0093994000, 0.0009592000, -0.021275000, -0.079549100, -0.232181700", \
"0.0137279000, 0.0125367000, 0.0093447000, 0.0009344000, -0.021107200, -0.079233000, -0.231711600", \
"0.0136163000, 0.0123802000, 0.0092261000, 0.0008310000, -0.021168400, -0.079086800, -0.231401500", \
"0.0131038000, 0.0118807000, 0.0086542000, 0.0002614000, -0.021700300, -0.079481300, -0.231576400", \
"0.0126518000, 0.0113822000, 0.0081042000, -0.000453400, -0.022231800, -0.080295200, -0.232144100", \
"0.0126887000, 0.0114097000, 0.0080678000, -0.000485500, -0.023000700, -0.081051600, -0.233131600", \
"0.0160245000, 0.0147179000, 0.0111707000, 0.0023248000, -0.020950800, -0.079379600, -0.233327000");
}
related_pin : "B2";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000");
values("0.0245711000, 0.0260838000, 0.0298386000, 0.0390683000, 0.0621262000, 0.1205688000, 0.2718514000", \
"0.0235387000, 0.0250230000, 0.0287955000, 0.0382725000, 0.0616512000, 0.1205787000, 0.2720410000", \
"0.0226046000, 0.0240320000, 0.0277634000, 0.0370238000, 0.0606010000, 0.1202232000, 0.2719009000", \
"0.0221033000, 0.0234601000, 0.0269762000, 0.0361319000, 0.0594441000, 0.1189266000, 0.2714005000", \
"0.0223061000, 0.0236307000, 0.0269500000, 0.0356090000, 0.0586531000, 0.1176695000, 0.2702767000", \
"0.0245330000, 0.0257698000, 0.0290019000, 0.0376949000, 0.0597971000, 0.1187747000, 0.2688978000", \
"0.0307565000, 0.0322582000, 0.0356743000, 0.0425767000, 0.0636384000, 0.1206441000, 0.2738738000");
}
}
max_capacitance : 0.1538190000;
max_transition : 1.5101650000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
values("0.0634559000, 0.0653257000, 0.0700737000, 0.0814931000, 0.1092210000, 0.1765194000, 0.3435645000", \
"0.0678179000, 0.0696024000, 0.0743106000, 0.0858012000, 0.1134854000, 0.1807836000, 0.3478599000", \
"0.0769281000, 0.0788078000, 0.0834429000, 0.0949166000, 0.1227964000, 0.1898089000, 0.3570086000", \
"0.0948407000, 0.0966748000, 0.1011260000, 0.1126693000, 0.1401080000, 0.2073085000, 0.3745437000", \
"0.1250314000, 0.1272429000, 0.1320560000, 0.1460141000, 0.1764944000, 0.2463092000, 0.4136265000", \
"0.1626124000, 0.1657212000, 0.1738022000, 0.1914417000, 0.2333184000, 0.3185578000, 0.5014621000", \
"0.1828071000, 0.1875033000, 0.1992948000, 0.2272980000, 0.2899480000, 0.4174018000, 0.6581006000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
values("0.2198283000, 0.2248810000, 0.2383767000, 0.2740121000, 0.3640344000, 0.5968951000, 1.1972150000", \
"0.2237695000, 0.2291937000, 0.2431259000, 0.2785376000, 0.3693755000, 0.6014671000, 1.2029181000", \
"0.2346847000, 0.2390264000, 0.2541418000, 0.2900045000, 0.3808314000, 0.6143990000, 1.2159037000", \
"0.2591881000, 0.2644198000, 0.2779360000, 0.3132827000, 0.4047160000, 0.6390204000, 1.2405967000", \
"0.3095353000, 0.3144977000, 0.3280957000, 0.3634582000, 0.4550162000, 0.6890651000, 1.2936050000", \
"0.4062264000, 0.4122019000, 0.4277752000, 0.4657046000, 0.5620601000, 0.7949891000, 1.3972660000", \
"0.5705038000, 0.5775878000, 0.5953855000, 0.6456517000, 0.7601581000, 1.0236374000, 1.6359581000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
values("0.0526568000, 0.0546747000, 0.0599266000, 0.0736434000, 0.1082335000, 0.1968394000, 0.4273771000", \
"0.0524067000, 0.0544726000, 0.0597538000, 0.0734431000, 0.1080978000, 0.1966541000, 0.4276282000", \
"0.0518484000, 0.0539271000, 0.0592958000, 0.0730021000, 0.1077174000, 0.1966370000, 0.4273623000", \
"0.0540352000, 0.0560228000, 0.0610607000, 0.0741103000, 0.1081550000, 0.1963484000, 0.4271926000", \
"0.0676596000, 0.0695809000, 0.0749001000, 0.0876360000, 0.1193970000, 0.2018121000, 0.4275903000", \
"0.1025832000, 0.1048789000, 0.1112142000, 0.1250901000, 0.1602129000, 0.2400776000, 0.4474226000", \
"0.1717172000, 0.1751251000, 0.1835107000, 0.2027989000, 0.2472318000, 0.3423278000, 0.5526390000");
}
related_pin : "A1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
values("0.1570056000, 0.1642768000, 0.1823515000, 0.2302506000, 0.3526545000, 0.6696890000, 1.4902223000", \
"0.1567360000, 0.1638958000, 0.1820015000, 0.2298328000, 0.3526091000, 0.6686884000, 1.4915970000", \
"0.1566349000, 0.1648002000, 0.1824968000, 0.2298362000, 0.3526142000, 0.6691201000, 1.4877912000", \
"0.1570419000, 0.1636996000, 0.1822997000, 0.2306843000, 0.3527008000, 0.6696984000, 1.4894804000", \
"0.1594688000, 0.1665435000, 0.1839920000, 0.2305556000, 0.3533758000, 0.6705136000, 1.4891360000", \
"0.1840823000, 0.1911377000, 0.2083335000, 0.2547940000, 0.3679031000, 0.6731636000, 1.4870671000", \
"0.2444722000, 0.2521253000, 0.2712983000, 0.3197688000, 0.4393245000, 0.7312903000, 1.5034490000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
values("0.0598996000, 0.0615359000, 0.0658675000, 0.0761600000, 0.1016371000, 0.1652302000, 0.3268520000", \
"0.0645591000, 0.0661788000, 0.0705280000, 0.0807398000, 0.1062795000, 0.1699381000, 0.3315876000", \
"0.0740976000, 0.0756163000, 0.0797866000, 0.0901792000, 0.1158812000, 0.1793477000, 0.3409175000", \
"0.0918103000, 0.0933814000, 0.0978272000, 0.1086300000, 0.1342642000, 0.1978993000, 0.3597883000", \
"0.1191609000, 0.1213417000, 0.1269325000, 0.1404504000, 0.1705686000, 0.2385698000, 0.4009462000", \
"0.1478484000, 0.1511010000, 0.1592288000, 0.1793142000, 0.2220431000, 0.3109116000, 0.4942142000", \
"0.1478173000, 0.1533791000, 0.1664121000, 0.1965742000, 0.2645361000, 0.4001763000, 0.6494170000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
values("0.2060386000, 0.2113910000, 0.2253440000, 0.2603997000, 0.3511886000, 0.5838098000, 1.1841556000", \
"0.2088612000, 0.2144671000, 0.2283427000, 0.2629296000, 0.3542369000, 0.5874065000, 1.1880903000", \
"0.2183804000, 0.2226237000, 0.2378544000, 0.2733415000, 0.3652486000, 0.5980642000, 1.1994494000", \
"0.2429254000, 0.2491211000, 0.2631975000, 0.2985512000, 0.3901780000, 0.6245234000, 1.2267469000", \
"0.3010002000, 0.3068200000, 0.3199939000, 0.3555556000, 0.4466766000, 0.6811719000, 1.2842593000", \
"0.4215279000, 0.4281659000, 0.4438248000, 0.4853479000, 0.5820750000, 0.8160305000, 1.4187937000", \
"0.6311591000, 0.6401612000, 0.6627107000, 0.7178666000, 0.8462167000, 1.1190462000, 1.7351607000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
values("0.0416919000, 0.0436366000, 0.0486437000, 0.0614970000, 0.0947322000, 0.1814062000, 0.4068555000", \
"0.0416969000, 0.0436159000, 0.0486317000, 0.0615173000, 0.0948434000, 0.1813864000, 0.4069075000", \
"0.0415932000, 0.0435299000, 0.0485317000, 0.0614529000, 0.0946641000, 0.1811890000, 0.4070174000", \
"0.0448133000, 0.0467081000, 0.0511152000, 0.0633430000, 0.0954962000, 0.1813513000, 0.4065814000", \
"0.0599517000, 0.0618388000, 0.0667616000, 0.0793501000, 0.1097351000, 0.1883419000, 0.4075401000", \
"0.0962995000, 0.0987672000, 0.1048439000, 0.1198558000, 0.1539510000, 0.2333925000, 0.4324732000", \
"0.1656964000, 0.1689564000, 0.1784920000, 0.2005709000, 0.2462021000, 0.3435820000, 0.5470427000");
}
related_pin : "A2";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
values("0.1567602000, 0.1639096000, 0.1819757000, 0.2298642000, 0.3525033000, 0.6692148000, 1.4915819000", \
"0.1567655000, 0.1639877000, 0.1821962000, 0.2299755000, 0.3526098000, 0.6709591000, 1.4873838000", \
"0.1566157000, 0.1648403000, 0.1825320000, 0.2299705000, 0.3526985000, 0.6689391000, 1.4848284000", \
"0.1573045000, 0.1640928000, 0.1820554000, 0.2298357000, 0.3526330000, 0.6709037000, 1.4915337000", \
"0.1618326000, 0.1688797000, 0.1857155000, 0.2311088000, 0.3532922000, 0.6685512000, 1.4863508000", \
"0.1996020000, 0.2067576000, 0.2235016000, 0.2654152000, 0.3738293000, 0.6733404000, 1.4892765000", \
"0.2900615000, 0.2973877000, 0.3162180000, 0.3634872000, 0.4827837000, 0.7465049000, 1.5033821000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
values("0.0458665000, 0.0474218000, 0.0512970000, 0.0607423000, 0.0839245000, 0.1422340000, 0.2913739000", \
"0.0507340000, 0.0521896000, 0.0561530000, 0.0655117000, 0.0888533000, 0.1472680000, 0.2962445000", \
"0.0605617000, 0.0621186000, 0.0660622000, 0.0755574000, 0.0991891000, 0.1577763000, 0.3068745000", \
"0.0779344000, 0.0796842000, 0.0843266000, 0.0947308000, 0.1190141000, 0.1778749000, 0.3274388000", \
"0.1005320000, 0.1030143000, 0.1095016000, 0.1235135000, 0.1551888000, 0.2215607000, 0.3725398000", \
"0.1167183000, 0.1209041000, 0.1305330000, 0.1524252000, 0.2003993000, 0.2933072000, 0.4721626000", \
"0.0952932000, 0.1014104000, 0.1164547000, 0.1538644000, 0.2285788000, 0.3740253000, 0.6297182000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
values("0.1647324000, 0.1703224000, 0.1832449000, 0.2196188000, 0.3099044000, 0.5428016000, 1.1432353000", \
"0.1659165000, 0.1707974000, 0.1853043000, 0.2214799000, 0.3126162000, 0.5457569000, 1.1465651000", \
"0.1725368000, 0.1781564000, 0.1919072000, 0.2286517000, 0.3205957000, 0.5546860000, 1.1570772000", \
"0.1954652000, 0.2009037000, 0.2152845000, 0.2503835000, 0.3432795000, 0.5782637000, 1.1811140000", \
"0.2593066000, 0.2643418000, 0.2776627000, 0.3128824000, 0.4017976000, 0.6366405000, 1.2401778000", \
"0.3952213000, 0.4019998000, 0.4191159000, 0.4604287000, 0.5540794000, 0.7796800000, 1.3811158000", \
"0.6171946000, 0.6277217000, 0.6520804000, 0.7103356000, 0.8457113000, 1.1273439000, 1.7245027000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
values("0.0296031000, 0.0313444000, 0.0357935000, 0.0476216000, 0.0782985000, 0.1580673000, 0.3660032000", \
"0.0295309000, 0.0312678000, 0.0357772000, 0.0476371000, 0.0782991000, 0.1580126000, 0.3657256000", \
"0.0295022000, 0.0312804000, 0.0357522000, 0.0475746000, 0.0783229000, 0.1581364000, 0.3659608000", \
"0.0354624000, 0.0370795000, 0.0412579000, 0.0514992000, 0.0800763000, 0.1581108000, 0.3657758000", \
"0.0528915000, 0.0547112000, 0.0593158000, 0.0709468000, 0.0989027000, 0.1685820000, 0.3669045000", \
"0.0897749000, 0.0922227000, 0.0983631000, 0.1136274000, 0.1470062000, 0.2217774000, 0.3987694000", \
"0.1590398000, 0.1626734000, 0.1717102000, 0.1946208000, 0.2414539000, 0.3366144000, 0.5280526000");
}
related_pin : "A3";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
values("0.1568235000, 0.1636200000, 0.1822121000, 0.2302752000, 0.3526028000, 0.6687254000, 1.4861015000", \
"0.1565243000, 0.1642830000, 0.1822509000, 0.2303084000, 0.3524857000, 0.6684617000, 1.4849265000", \
"0.1567935000, 0.1641793000, 0.1824399000, 0.2294858000, 0.3527821000, 0.6683067000, 1.4915715000", \
"0.1554622000, 0.1629198000, 0.1813062000, 0.2295506000, 0.3528471000, 0.6706558000, 1.4864945000", \
"0.1621227000, 0.1687968000, 0.1859036000, 0.2306829000, 0.3512898000, 0.6685068000, 1.4907353000", \
"0.2132370000, 0.2205459000, 0.2388699000, 0.2805025000, 0.3819971000, 0.6741649000, 1.4920574000", \
"0.3042253000, 0.3142086000, 0.3394526000, 0.3967982000, 0.5190539000, 0.7858444000, 1.5101654000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
values("0.0513215000, 0.0531678000, 0.0579121000, 0.0692654000, 0.0971513000, 0.1640971000, 0.3313117000", \
"0.0550856000, 0.0569626000, 0.0616862000, 0.0730860000, 0.1010326000, 0.1680844000, 0.3352175000", \
"0.0647423000, 0.0666198000, 0.0712442000, 0.0827935000, 0.1104631000, 0.1778053000, 0.3450521000", \
"0.0893068000, 0.0911897000, 0.0955587000, 0.1064096000, 0.1334020000, 0.2004430000, 0.3677239000", \
"0.1259152000, 0.1285069000, 0.1352122000, 0.1509234000, 0.1850745000, 0.2550060000, 0.4217377000", \
"0.1635055000, 0.1674175000, 0.1765458000, 0.1995909000, 0.2530406000, 0.3541399000, 0.5471835000", \
"0.1788392000, 0.1846342000, 0.1991058000, 0.2336794000, 0.3109369000, 0.4695788000, 0.7621294000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
values("0.0792204000, 0.0834163000, 0.0939052000, 0.1199934000, 0.1859499000, 0.3524727000, 0.7822319000", \
"0.0831628000, 0.0873329000, 0.0979320000, 0.1244350000, 0.1908667000, 0.3576772000, 0.7891137000", \
"0.0947185000, 0.0987072000, 0.1090248000, 0.1356374000, 0.2027744000, 0.3713541000, 0.8052640000", \
"0.1217184000, 0.1255421000, 0.1357804000, 0.1617414000, 0.2281990000, 0.3981131000, 0.8301085000", \
"0.1698880000, 0.1751796000, 0.1881236000, 0.2184820000, 0.2858575000, 0.4544796000, 0.8884484000", \
"0.2502680000, 0.2581948000, 0.2762763000, 0.3180836000, 0.4093902000, 0.5906166000, 1.0245110000", \
"0.3842713000, 0.3961251000, 0.4246823000, 0.4880846000, 0.6185492000, 0.8623986000, 1.3428663000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
values("0.0516550000, 0.0536827000, 0.0589770000, 0.0726792000, 0.1073196000, 0.1965140000, 0.4272577000", \
"0.0517493000, 0.0537786000, 0.0591125000, 0.0727891000, 0.1073759000, 0.1966382000, 0.4273292000", \
"0.0502483000, 0.0523155000, 0.0578549000, 0.0720081000, 0.1073861000, 0.1963085000, 0.4270915000", \
"0.0567047000, 0.0584129000, 0.0631861000, 0.0751971000, 0.1077845000, 0.1960623000, 0.4271190000", \
"0.0784322000, 0.0809395000, 0.0871288000, 0.1016173000, 0.1334942000, 0.2082171000, 0.4272521000", \
"0.1214887000, 0.1251885000, 0.1342296000, 0.1547802000, 0.1958149000, 0.2838720000, 0.4693759000", \
"0.1949400000, 0.2003322000, 0.2135885000, 0.2441496000, 0.3087761000, 0.4294281000, 0.6503731000");
}
related_pin : "B1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
values("0.0967887000, 0.1019099000, 0.1151702000, 0.1495030000, 0.2376917000, 0.4639955000, 1.0527158000", \
"0.0968391000, 0.1019198000, 0.1151739000, 0.1495078000, 0.2376349000, 0.4638414000, 1.0515320000", \
"0.0969174000, 0.1019885000, 0.1152463000, 0.1495194000, 0.2376658000, 0.4638747000, 1.0532438000", \
"0.0993821000, 0.1040104000, 0.1163640000, 0.1497975000, 0.2376218000, 0.4642853000, 1.0525668000", \
"0.1202482000, 0.1239684000, 0.1344283000, 0.1635489000, 0.2436354000, 0.4640641000, 1.0520377000", \
"0.1728244000, 0.1769312000, 0.1879715000, 0.2161414000, 0.2880447000, 0.4838429000, 1.0521723000", \
"0.2770544000, 0.2818396000, 0.2932750000, 0.3252684000, 0.4035652000, 0.5930438000, 1.0968682000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
values("0.0398049000, 0.0419475000, 0.0472208000, 0.0599396000, 0.0900344000, 0.1617752000, 0.3403261000", \
"0.0440104000, 0.0462057000, 0.0513729000, 0.0642556000, 0.0944078000, 0.1664387000, 0.3451251000", \
"0.0547086000, 0.0567092000, 0.0619766000, 0.0743065000, 0.1045272000, 0.1766478000, 0.3554658000", \
"0.0797085000, 0.0819111000, 0.0873939000, 0.0997102000, 0.1285689000, 0.2004095000, 0.3794376000", \
"0.1108533000, 0.1140804000, 0.1219742000, 0.1400626000, 0.1794418000, 0.2548627000, 0.4333636000", \
"0.1407719000, 0.1455024000, 0.1570480000, 0.1838468000, 0.2424166000, 0.3558498000, 0.5599824000", \
"0.1441490000, 0.1511124000, 0.1683414000, 0.2084562000, 0.2968317000, 0.4689596000, 0.7824718000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
values("0.0558125000, 0.0600677000, 0.0707630000, 0.0975658000, 0.1635061000, 0.3322808000, 0.7619566000", \
"0.0579974000, 0.0621648000, 0.0729793000, 0.0999973000, 0.1671560000, 0.3342411000, 0.7657153000", \
"0.0677964000, 0.0717915000, 0.0824206000, 0.1087485000, 0.1761958000, 0.3464983000, 0.7770790000", \
"0.0958815000, 0.1000091000, 0.1095686000, 0.1352561000, 0.2006917000, 0.3703770000, 0.8036033000", \
"0.1441102000, 0.1504186000, 0.1655108000, 0.1981660000, 0.2663888000, 0.4331353000, 0.8674768000", \
"0.2225903000, 0.2318431000, 0.2542661000, 0.3053086000, 0.4039866000, 0.5873463000, 1.0143826000", \
"0.3625560000, 0.3751406000, 0.4066645000, 0.4785030000, 0.6261088000, 0.8996188000, 1.3742841000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
values("0.0470078000, 0.0491327000, 0.0546222000, 0.0690100000, 0.1056936000, 0.2004551000, 0.4473643000", \
"0.0466672000, 0.0489236000, 0.0545125000, 0.0691108000, 0.1057072000, 0.2004564000, 0.4473489000", \
"0.0453892000, 0.0474414000, 0.0531182000, 0.0676620000, 0.1053384000, 0.2004825000, 0.4472024000", \
"0.0544414000, 0.0561023000, 0.0609553000, 0.0726371000, 0.1061115000, 0.1997632000, 0.4472468000", \
"0.0756354000, 0.0783049000, 0.0849273000, 0.1003153000, 0.1345478000, 0.2121296000, 0.4467409000", \
"0.1171367000, 0.1209469000, 0.1303795000, 0.1524906000, 0.1984094000, 0.2868873000, 0.4863498000", \
"0.1910377000, 0.1964955000, 0.2109367000, 0.2441011000, 0.3109850000, 0.4416512000, 0.6687170000");
}
related_pin : "B2";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000");
values("0.0970667000, 0.1021988000, 0.1155891000, 0.1499743000, 0.2381218000, 0.4647097000, 1.0535203000", \
"0.0969241000, 0.1020286000, 0.1154806000, 0.1499480000, 0.2381197000, 0.4644866000, 1.0531522000", \
"0.0960438000, 0.1014145000, 0.1150225000, 0.1498218000, 0.2381010000, 0.4644991000, 1.0531443000", \
"0.1045844000, 0.1086751000, 0.1198898000, 0.1506244000, 0.2378198000, 0.4646515000, 1.0537832000", \
"0.1429665000, 0.1451605000, 0.1529327000, 0.1769429000, 0.2482767000, 0.4642505000, 1.0523895000", \
"0.2052948000, 0.2099460000, 0.2221750000, 0.2520701000, 0.3174375000, 0.4949549000, 1.0521913000", \
"0.3140391000, 0.3207013000, 0.3368382000, 0.3770839000, 0.4705830000, 0.6600374000, 1.1234288000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
}
}
/* removed sky130_fd_sc_hd__o41a_1 */
/* removed sky130_fd_sc_hd__o41a_2 */
cell ("sky130_fd_sc_hd__o41a_4") {
leakage_power () {
value : 0.0078470000;
when : "!A1&!A2&!A3&!A4&B1";
}
leakage_power () {
value : 0.0048416000;
when : "!A1&!A2&!A3&!A4&!B1";
}
leakage_power () {
value : 0.0083431000;
when : "!A1&!A2&!A3&A4&B1";
}
leakage_power () {
value : 0.0054212000;
when : "!A1&!A2&!A3&A4&!B1";
}
leakage_power () {
value : 0.0068856000;
when : "!A1&!A2&A3&!A4&B1";
}
leakage_power () {
value : 0.0054212000;
when : "!A1&!A2&A3&!A4&!B1";
}
leakage_power () {
value : 0.0049030000;
when : "!A1&!A2&A3&A4&B1";
}
leakage_power () {
value : 0.0054225000;
when : "!A1&!A2&A3&A4&!B1";
}
leakage_power () {
value : 0.0066887000;
when : "!A1&A2&!A3&!A4&B1";
}
leakage_power () {
value : 0.0054209000;
when : "!A1&A2&!A3&!A4&!B1";
}
leakage_power () {
value : 0.0048914000;
when : "!A1&A2&!A3&A4&B1";
}
leakage_power () {
value : 0.0054218000;
when : "!A1&A2&!A3&A4&!B1";
}
leakage_power () {
value : 0.0048945000;
when : "!A1&A2&A3&!A4&B1";
}
leakage_power () {
value : 0.0054189000;
when : "!A1&A2&A3&!A4&!B1";
}
leakage_power () {
value : 0.0047815000;
when : "!A1&A2&A3&A4&B1";
}
leakage_power () {
value : 0.0054229000;
when : "!A1&A2&A3&A4&!B1";
}
leakage_power () {
value : 0.0060117000;
when : "A1&!A2&!A3&!A4&B1";
}
leakage_power () {
value : 0.0054212000;
when : "A1&!A2&!A3&!A4&!B1";
}
leakage_power () {
value : 0.0048222000;
when : "A1&!A2&!A3&A4&B1";
}
leakage_power () {
value : 0.0054182000;
when : "A1&!A2&!A3&A4&!B1";
}
leakage_power () {
value : 0.0051636000;
when : "A1&!A2&A3&!A4&B1";
}
leakage_power () {
value : 0.0054218000;
when : "A1&!A2&A3&!A4&!B1";
}
leakage_power () {
value : 0.0077229000;
when : "A1&!A2&A3&A4&B1";
}
leakage_power () {
value : 0.0054226000;
when : "A1&!A2&A3&A4&!B1";
}
leakage_power () {
value : 0.0048391000;
when : "A1&A2&!A3&!A4&B1";
}
leakage_power () {
value : 0.0054212000;
when : "A1&A2&!A3&!A4&!B1";
}
leakage_power () {
value : 0.0050057000;
when : "A1&A2&!A3&A4&B1";
}
leakage_power () {
value : 0.0054252000;
when : "A1&A2&!A3&A4&!B1";
}
leakage_power () {
value : 0.0446182000;
when : "A1&A2&A3&!A4&B1";
}
leakage_power () {
value : 0.0054272000;
when : "A1&A2&A3&!A4&!B1";
}
leakage_power () {
value : 0.0076984000;
when : "A1&A2&A3&A4&B1";
}
leakage_power () {
value : 0.0054248000;
when : "A1&A2&A3&A4&!B1";
}
area : 21.270400000;
cell_footprint : "sky130_fd_sc_hd__o41a";
cell_leakage_power : 0.0069153160;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A1") {
capacitance : 0.0044650000;
clock : "false";
direction : "input";
fall_capacitance : 0.0042480000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0080646000, 0.0080569000, 0.0080392000, 0.0080422000, 0.0080492000, 0.0080654000, 0.0081028000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.008021600, -0.008019300, -0.008013800, -0.008010300, -0.008002100, -0.007983300, -0.007939900");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0046820000;
}
pin ("A2") {
capacitance : 0.0044620000;
clock : "false";
direction : "input";
fall_capacitance : 0.0041540000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0080316000, 0.0080303000, 0.0080273000, 0.0080288000, 0.0080320000, 0.0080394000, 0.0080567000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.008003900, -0.008001300, -0.007995200, -0.007993900, -0.007990900, -0.007984000, -0.007968100");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0047700000;
}
pin ("A3") {
capacitance : 0.0041920000;
clock : "false";
direction : "input";
fall_capacitance : 0.0038750000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0079123000, 0.0079071000, 0.0078952000, 0.0078955000, 0.0078960000, 0.0078971000, 0.0079000000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.007889800, -0.007884800, -0.007873400, -0.007872500, -0.007870300, -0.007865400, -0.007854000");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0045090000;
}
pin ("A4") {
capacitance : 0.0042080000;
clock : "false";
direction : "input";
fall_capacitance : 0.0038560000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0078895000, 0.0078851000, 0.0078750000, 0.0078757000, 0.0078773000, 0.0078810000, 0.0078895000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.007856100, -0.007853800, -0.007848600, -0.007844900, -0.007836300, -0.007816400, -0.007770500");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0045590000;
}
pin ("B1") {
capacitance : 0.0044470000;
clock : "false";
direction : "input";
fall_capacitance : 0.0043050000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0093262000, 0.0093268000, 0.0093283000, 0.0093259000, 0.0093206000, 0.0093083000, 0.0092799000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.000791800, -0.000838700, -0.000946600, -0.000884800, -0.000742200, -0.000413600, 0.0003440000");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0045900000;
}
pin ("X") {
direction : "output";
function : "(A1&B1) | (A2&B1) | (A3&B1) | (A4&B1)";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0016038890, 0.0051449210, 0.0165037700, 0.0529404200, 0.1698211000, 0.5447486000");
values("0.0439783000, 0.0420588000, 0.0363499000, 0.0206423000, -0.032700900, -0.216793700, -0.822394600", \
"0.0434283000, 0.0414422000, 0.0358783000, 0.0201095000, -0.033098600, -0.216902800, -0.822340600", \
"0.0430692000, 0.0411515000, 0.0356104000, 0.0198103000, -0.033166600, -0.217192500, -0.823002300", \
"0.0428186000, 0.0408255000, 0.0352140000, 0.0194362000, -0.033823700, -0.217613300, -0.823036100", \
"0.0425683000, 0.0406415000, 0.0350768000, 0.0192229000, -0.034028800, -0.218092300, -0.823637700", \
"0.0423393000, 0.0403639000, 0.0347474000, 0.0188939000, -0.034320300, -0.218315600, -0.823822900", \
"0.0492557000, 0.0471022000, 0.0407517000, 0.0218911000, -0.035200600, -0.218704500, -0.824031100");
}
related_pin : "A1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0016038890, 0.0051449210, 0.0165037700, 0.0529404200, 0.1698211000, 0.5447486000");
values("0.0403625000, 0.0423646000, 0.0487949000, 0.0687762000, 0.1295633000, 0.3183138000, 0.9190766000", \
"0.0400714000, 0.0421021000, 0.0485029000, 0.0685753000, 0.1294449000, 0.3183636000, 0.9183605000", \
"0.0398104000, 0.0417908000, 0.0482347000, 0.0682545000, 0.1290435000, 0.3181637000, 0.9185025000", \
"0.0394890000, 0.0414731000, 0.0479014000, 0.0680668000, 0.1287064000, 0.3173079000, 0.9228043000", \
"0.0398670000, 0.0418956000, 0.0482104000, 0.0678781000, 0.1281317000, 0.3170033000, 0.9183726000", \
"0.0419722000, 0.0437768000, 0.0496679000, 0.0682533000, 0.1275311000, 0.3155306000, 0.9172893000", \
"0.0437613000, 0.0454915000, 0.0512630000, 0.0695798000, 0.1282973000, 0.3173296000, 0.9207710000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0016038890, 0.0051449210, 0.0165037700, 0.0529404200, 0.1698211000, 0.5447486000");
values("0.0390438000, 0.0370633000, 0.0315110000, 0.0157321000, -0.037645600, -0.221546700, -0.827086800", \
"0.0385463000, 0.0365789000, 0.0309455000, 0.0156753000, -0.037640600, -0.221778300, -0.827494900", \
"0.0382374000, 0.0363316000, 0.0306724000, 0.0149397000, -0.038343900, -0.222106100, -0.827604400", \
"0.0378451000, 0.0359278000, 0.0303863000, 0.0145842000, -0.038396900, -0.222427800, -0.828214400", \
"0.0375523000, 0.0358627000, 0.0301477000, 0.0144437000, -0.038937600, -0.223019900, -0.828435300", \
"0.0375658000, 0.0360522000, 0.0301556000, 0.0142912000, -0.039183400, -0.223172000, -0.828700900", \
"0.0452374000, 0.0431158000, 0.0367460000, 0.0177181000, -0.040324100, -0.223202200, -0.828618300");
}
related_pin : "A2";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0016038890, 0.0051449210, 0.0165037700, 0.0529404200, 0.1698211000, 0.5447486000");
values("0.0380630000, 0.0400615000, 0.0463119000, 0.0658966000, 0.1257634000, 0.3143191000, 0.9143748000", \
"0.0380200000, 0.0400728000, 0.0462863000, 0.0658658000, 0.1257283000, 0.3142796000, 0.9144596000", \
"0.0379782000, 0.0399219000, 0.0462261000, 0.0657185000, 0.1256797000, 0.3138374000, 0.9148175000", \
"0.0376877000, 0.0396593000, 0.0459457000, 0.0655247000, 0.1253555000, 0.3134844000, 0.9149345000", \
"0.0374958000, 0.0394235000, 0.0456765000, 0.0647142000, 0.1247714000, 0.3132616000, 0.9182063000", \
"0.0389490000, 0.0407544000, 0.0466183000, 0.0654256000, 0.1240667000, 0.3119548000, 0.9128569000", \
"0.0405450000, 0.0423042000, 0.0479534000, 0.0665072000, 0.1247702000, 0.3133675000, 0.9119709000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0016038890, 0.0051449210, 0.0165037700, 0.0529404200, 0.1698211000, 0.5447486000");
values("0.0343739000, 0.0324025000, 0.0268763000, 0.0110654000, -0.041872400, -0.225945300, -0.831625100", \
"0.0342880000, 0.0322979000, 0.0266566000, 0.0109307000, -0.042362200, -0.226300700, -0.831842300", \
"0.0337146000, 0.0318592000, 0.0262641000, 0.0104792000, -0.042734800, -0.226501200, -0.832214100", \
"0.0334191000, 0.0314438000, 0.0258575000, 0.0100850000, -0.043179200, -0.226927900, -0.832288900", \
"0.0329216000, 0.0310751000, 0.0255115000, 0.0097958000, -0.043366900, -0.227558300, -0.832903100", \
"0.0331044000, 0.0311562000, 0.0259851000, 0.0099536000, -0.043370500, -0.227600000, -0.833159100", \
"0.0423261000, 0.0401158000, 0.0335169000, 0.0141243000, -0.043586700, -0.227409700, -0.832938000");
}
related_pin : "A3";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0016038890, 0.0051449210, 0.0165037700, 0.0529404200, 0.1698211000, 0.5447486000");
values("0.0358202000, 0.0377941000, 0.0439090000, 0.0632301000, 0.1228587000, 0.3107045000, 0.9105037000", \
"0.0358626000, 0.0378245000, 0.0440044000, 0.0632952000, 0.1229186000, 0.3107838000, 0.9112044000", \
"0.0356858000, 0.0376373000, 0.0438611000, 0.0632530000, 0.1228485000, 0.3108195000, 0.9109318000", \
"0.0354400000, 0.0374106000, 0.0435856000, 0.0629445000, 0.1224129000, 0.3107541000, 0.9151230000", \
"0.0352527000, 0.0371666000, 0.0432604000, 0.0621232000, 0.1217293000, 0.3097939000, 0.9109627000", \
"0.0356026000, 0.0374393000, 0.0434128000, 0.0619765000, 0.1208833000, 0.3079884000, 0.9133936000", \
"0.0370423000, 0.0387471000, 0.0445285000, 0.0626394000, 0.1220228000, 0.3101518000, 0.9072910000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0016038890, 0.0051449210, 0.0165037700, 0.0529404200, 0.1698211000, 0.5447486000");
values("0.0296892000, 0.0277885000, 0.0221692000, 0.0064379000, -0.046818000, -0.230654100, -0.836031400", \
"0.0294191000, 0.0274772000, 0.0218150000, 0.0061055000, -0.047102100, -0.231015600, -0.836423000", \
"0.0290284000, 0.0270384000, 0.0214279000, 0.0057453000, -0.047487200, -0.231538900, -0.836995600", \
"0.0284552000, 0.0264498000, 0.0208321000, 0.0052342000, -0.047985500, -0.231930400, -0.837501200", \
"0.0282014000, 0.0262540000, 0.0206234000, 0.0049771000, -0.048299200, -0.232209100, -0.837777300", \
"0.0287623000, 0.0267884000, 0.0212183000, 0.0054041000, -0.047528200, -0.231720600, -0.837305500", \
"0.0414128000, 0.0392017000, 0.0325931000, 0.0123139000, -0.045360400, -0.229491200, -0.835169600");
}
related_pin : "A4";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0016038890, 0.0051449210, 0.0165037700, 0.0529404200, 0.1698211000, 0.5447486000");
values("0.0315990000, 0.0335710000, 0.0396948000, 0.0590487000, 0.1185276000, 0.3058755000, 0.9063555000", \
"0.0316010000, 0.0335699000, 0.0397859000, 0.0590165000, 0.1185418000, 0.3058158000, 0.9077790000", \
"0.0314515000, 0.0333929000, 0.0395825000, 0.0590017000, 0.1183955000, 0.3059716000, 0.9023346000", \
"0.0311171000, 0.0330875000, 0.0392372000, 0.0584656000, 0.1179035000, 0.3044266000, 0.9021256000", \
"0.0306301000, 0.0325638000, 0.0387374000, 0.0577178000, 0.1169476000, 0.3053911000, 0.9069618000", \
"0.0311787000, 0.0330438000, 0.0388764000, 0.0576232000, 0.1160474000, 0.3031590000, 0.9059032000", \
"0.0325830000, 0.0342665000, 0.0401550000, 0.0583728000, 0.1177410000, 0.3053359000, 0.9019621000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0016038890, 0.0051449210, 0.0165037700, 0.0529404200, 0.1698211000, 0.5447486000");
values("0.0254726000, 0.0241533000, 0.0203355000, 0.0064708000, -0.046689300, -0.234649700, -0.841895400", \
"0.0251286000, 0.0238630000, 0.0200237000, 0.0061532000, -0.046977400, -0.234932000, -0.842180700", \
"0.0246352000, 0.0232545000, 0.0193606000, 0.0055166000, -0.047467300, -0.235383100, -0.842616900", \
"0.0240316000, 0.0229461000, 0.0187083000, 0.0047399000, -0.048228900, -0.235957500, -0.843130200", \
"0.0236742000, 0.0222067000, 0.0178812000, 0.0035650000, -0.049019500, -0.236335700, -0.843307400", \
"0.0318221000, 0.0300103000, 0.0243323000, 0.0064947000, -0.049059900, -0.235612500, -0.842428500", \
"0.0399853000, 0.0380160000, 0.0320851000, 0.0135664000, -0.044686800, -0.233268900, -0.839268800");
}
related_pin : "B1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0016038890, 0.0051449210, 0.0165037700, 0.0529404200, 0.1698211000, 0.5447486000");
values("0.0331971000, 0.0351517000, 0.0416173000, 0.0617114000, 0.1225435000, 0.3114694000, 0.9164569000", \
"0.0330519000, 0.0349976000, 0.0414698000, 0.0615522000, 0.1223706000, 0.3113037000, 0.9162728000", \
"0.0328083000, 0.0348558000, 0.0412755000, 0.0613813000, 0.1221784000, 0.3111827000, 0.9117541000", \
"0.0326575000, 0.0346367000, 0.0410446000, 0.0610449000, 0.1217241000, 0.3106129000, 0.9121651000", \
"0.0327938000, 0.0347461000, 0.0409619000, 0.0605559000, 0.1207347000, 0.3095516000, 0.9150723000", \
"0.0353410000, 0.0371317000, 0.0430159000, 0.0612612000, 0.1207518000, 0.3083159000, 0.9151623000", \
"0.0379209000, 0.0401051000, 0.0457781000, 0.0642517000, 0.1224170000, 0.3104794000, 0.9103267000");
}
}
max_capacitance : 0.5447490000;
max_transition : 1.5032640000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000");
values("0.4460322000, 0.4519886000, 0.4675211000, 0.5014674000, 0.5699773000, 0.7134920000, 1.0631832000", \
"0.4497962000, 0.4558108000, 0.4714082000, 0.5052580000, 0.5740716000, 0.7167658000, 1.0665929000", \
"0.4610607000, 0.4667726000, 0.4825044000, 0.5164446000, 0.5845542000, 0.7282804000, 1.0777331000", \
"0.4870039000, 0.4930262000, 0.5084254000, 0.5424898000, 0.6109259000, 0.7538081000, 1.1037488000", \
"0.5419073000, 0.5479038000, 0.5635211000, 0.5974554000, 0.6659818000, 0.8090536000, 1.1580749000", \
"0.6532471000, 0.6592472000, 0.6747865000, 0.7087438000, 0.7773094000, 0.9207025000, 1.2704546000", \
"0.8557954000, 0.8621984000, 0.8788715000, 0.9149967000, 0.9869221000, 1.1352312000, 1.4885694000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000");
values("0.1170069000, 0.1215445000, 0.1338094000, 0.1642170000, 0.2429642000, 0.4725256000, 1.1898970000", \
"0.1214504000, 0.1259674000, 0.1382323000, 0.1686346000, 0.2472977000, 0.4769404000, 1.1948121000", \
"0.1312368000, 0.1357535000, 0.1479816000, 0.1783599000, 0.2569832000, 0.4857461000, 1.2054413000", \
"0.1510106000, 0.1555515000, 0.1676887000, 0.1979555000, 0.2761217000, 0.5059154000, 1.2250447000", \
"0.1913350000, 0.1959015000, 0.2082371000, 0.2383304000, 0.3162982000, 0.5451249000, 1.2622823000", \
"0.2514433000, 0.2565593000, 0.2700352000, 0.3016992000, 0.3800912000, 0.6084176000, 1.3284666000", \
"0.3115759000, 0.3181081000, 0.3348453000, 0.3712956000, 0.4522452000, 0.6804983000, 1.3983329000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000");
values("0.0531609000, 0.0565111000, 0.0645686000, 0.0846616000, 0.1341176000, 0.2673334000, 0.6724763000", \
"0.0527596000, 0.0560011000, 0.0649656000, 0.0848552000, 0.1342564000, 0.2672857000, 0.6733554000", \
"0.0530293000, 0.0562082000, 0.0646823000, 0.0849191000, 0.1354189000, 0.2671171000, 0.6734683000", \
"0.0531124000, 0.0560247000, 0.0653036000, 0.0853508000, 0.1356062000, 0.2673917000, 0.6719931000", \
"0.0528121000, 0.0560890000, 0.0652111000, 0.0848319000, 0.1343800000, 0.2675550000, 0.6735683000", \
"0.0528112000, 0.0560622000, 0.0646741000, 0.0848628000, 0.1343545000, 0.2674743000, 0.6722591000", \
"0.0598538000, 0.0628591000, 0.0723148000, 0.0927517000, 0.1448058000, 0.2734464000, 0.6771499000");
}
related_pin : "A1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000");
values("0.0261837000, 0.0298409000, 0.0405339000, 0.0710954000, 0.1676810000, 0.4822021000, 1.5007117000", \
"0.0262293000, 0.0297414000, 0.0404969000, 0.0709349000, 0.1676666000, 0.4827144000, 1.4984134000", \
"0.0260961000, 0.0297288000, 0.0402856000, 0.0710790000, 0.1673650000, 0.4828702000, 1.5006698000", \
"0.0257904000, 0.0294179000, 0.0398831000, 0.0707435000, 0.1670675000, 0.4825699000, 1.5026804000", \
"0.0270473000, 0.0306736000, 0.0410710000, 0.0708341000, 0.1665882000, 0.4814569000, 1.5015600000", \
"0.0318055000, 0.0354000000, 0.0458847000, 0.0746605000, 0.1680678000, 0.4810743000, 1.5015368000", \
"0.0429491000, 0.0469663000, 0.0580433000, 0.0849795000, 0.1727201000, 0.4828208000, 1.5003134000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000");
values("0.4258368000, 0.4316786000, 0.4474010000, 0.4814196000, 0.5499479000, 0.6932312000, 1.0418882000", \
"0.4282430000, 0.4342410000, 0.4497539000, 0.4835377000, 0.5523051000, 0.6958131000, 1.0454223000", \
"0.4376611000, 0.4436854000, 0.4591184000, 0.4932725000, 0.5616989000, 0.7045083000, 1.0544345000", \
"0.4609423000, 0.4666608000, 0.4823879000, 0.5163096000, 0.5843776000, 0.7281055000, 1.0775832000", \
"0.5124691000, 0.5184487000, 0.5339362000, 0.5679238000, 0.6364815000, 0.7798074000, 1.1287934000", \
"0.6246329000, 0.6307632000, 0.6462929000, 0.6803469000, 0.7489669000, 0.8925095000, 1.2420894000", \
"0.8357137000, 0.8422531000, 0.8593117000, 0.8965426000, 0.9698656000, 1.1187065000, 1.4733565000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000");
values("0.1074683000, 0.1116083000, 0.1227794000, 0.1510753000, 0.2260052000, 0.4519218000, 1.1669866000", \
"0.1122534000, 0.1163901000, 0.1276129000, 0.1558746000, 0.2307931000, 0.4567370000, 1.1720462000", \
"0.1220451000, 0.1261782000, 0.1374434000, 0.1656684000, 0.2406947000, 0.4673350000, 1.1826309000", \
"0.1415334000, 0.1456577000, 0.1568256000, 0.1849578000, 0.2598884000, 0.4865582000, 1.2021963000", \
"0.1786485000, 0.1829413000, 0.1944777000, 0.2230273000, 0.2979799000, 0.5240171000, 1.2421553000", \
"0.2297966000, 0.2347339000, 0.2474873000, 0.2776716000, 0.3536867000, 0.5794319000, 1.2988502000", \
"0.2712223000, 0.2776510000, 0.2939002000, 0.3298389000, 0.4085896000, 0.6349403000, 1.3504854000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000");
values("0.0527665000, 0.0564636000, 0.0653370000, 0.0861735000, 0.1345033000, 0.2673622000, 0.6736801000", \
"0.0530818000, 0.0564181000, 0.0653109000, 0.0854497000, 0.1345728000, 0.2675729000, 0.6711371000", \
"0.0530387000, 0.0559449000, 0.0653080000, 0.0854564000, 0.1359679000, 0.2675450000, 0.6729775000", \
"0.0530263000, 0.0562030000, 0.0646892000, 0.0849079000, 0.1353329000, 0.2671809000, 0.6734902000", \
"0.0527839000, 0.0564768000, 0.0646741000, 0.0860251000, 0.1341607000, 0.2672208000, 0.6732760000", \
"0.0532201000, 0.0565904000, 0.0649693000, 0.0851975000, 0.1342992000, 0.2675332000, 0.6732490000", \
"0.0619342000, 0.0654406000, 0.0750254000, 0.0969188000, 0.1452747000, 0.2770273000, 0.6781026000");
}
related_pin : "A2";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000");
values("0.0234745000, 0.0268233000, 0.0369903000, 0.0665242000, 0.1623492000, 0.4794063000, 1.4982259000", \
"0.0234522000, 0.0268500000, 0.0369563000, 0.0665311000, 0.1623008000, 0.4794299000, 1.4988061000", \
"0.0234559000, 0.0268106000, 0.0368405000, 0.0666464000, 0.1625316000, 0.4785973000, 1.5005908000", \
"0.0233835000, 0.0267733000, 0.0367347000, 0.0664880000, 0.1624457000, 0.4785913000, 1.5016596000", \
"0.0249934000, 0.0285249000, 0.0381929000, 0.0674760000, 0.1624895000, 0.4787927000, 1.5020364000", \
"0.0306412000, 0.0340516000, 0.0439670000, 0.0717374000, 0.1645770000, 0.4783839000, 1.4988633000", \
"0.0422334000, 0.0461022000, 0.0566501000, 0.0838695000, 0.1696827000, 0.4799056000, 1.4974968000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000");
values("0.3898703000, 0.3958698000, 0.4114748000, 0.4454841000, 0.5137991000, 0.6573499000, 1.0069851000", \
"0.3921682000, 0.3981758000, 0.4137470000, 0.4477632000, 0.5163208000, 0.6596308000, 1.0081360000", \
"0.4008693000, 0.4068915000, 0.4223717000, 0.4562785000, 0.5250438000, 0.6681272000, 1.0177367000", \
"0.4239917000, 0.4300004000, 0.4455681000, 0.4794123000, 0.5481104000, 0.6907360000, 1.0406471000", \
"0.4787056000, 0.4846768000, 0.5002834000, 0.5341958000, 0.6024069000, 0.7457555000, 1.0951123000", \
"0.6082217000, 0.6143460000, 0.6296175000, 0.6635854000, 0.7321386000, 0.8756052000, 1.2251206000", \
"0.8566788000, 0.8634338000, 0.8809641000, 0.9187390000, 0.9924385000, 1.1418256000, 1.4965027000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000");
values("0.1054513000, 0.1094580000, 0.1203034000, 0.1478108000, 0.2214556000, 0.4462439000, 1.1645130000", \
"0.1102978000, 0.1143137000, 0.1251828000, 0.1527095000, 0.2265219000, 0.4521318000, 1.1657649000", \
"0.1203056000, 0.1242890000, 0.1351563000, 0.1627457000, 0.2365586000, 0.4622025000, 1.1762500000", \
"0.1400263000, 0.1440252000, 0.1548673000, 0.1823776000, 0.2561422000, 0.4810755000, 1.1980832000", \
"0.1762355000, 0.1804856000, 0.1917942000, 0.2199164000, 0.2942126000, 0.5195284000, 1.2350344000", \
"0.2229555000, 0.2279549000, 0.2409449000, 0.2713624000, 0.3469264000, 0.5720742000, 1.2908840000", \
"0.2550577000, 0.2616631000, 0.2786782000, 0.3151956000, 0.3952305000, 0.6204004000, 1.3361368000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000");
values("0.0530171000, 0.0563731000, 0.0646378000, 0.0848557000, 0.1348824000, 0.2676135000, 0.6715721000", \
"0.0527211000, 0.0560719000, 0.0645700000, 0.0863208000, 0.1344648000, 0.2673699000, 0.6735265000", \
"0.0529950000, 0.0562904000, 0.0648267000, 0.0848825000, 0.1340922000, 0.2670450000, 0.6730036000", \
"0.0527383000, 0.0559932000, 0.0650580000, 0.0848745000, 0.1347147000, 0.2674853000, 0.6719336000", \
"0.0532752000, 0.0565950000, 0.0646006000, 0.0850097000, 0.1348441000, 0.2668804000, 0.6731314000", \
"0.0532610000, 0.0565712000, 0.0657878000, 0.0869842000, 0.1347773000, 0.2678076000, 0.6730706000", \
"0.0658138000, 0.0692338000, 0.0778928000, 0.0987029000, 0.1475351000, 0.2769986000, 0.6778836000");
}
related_pin : "A3";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000");
values("0.0224415000, 0.0257493000, 0.0355794000, 0.0650219000, 0.1604506000, 0.4775591000, 1.5001380000", \
"0.0224495000, 0.0257956000, 0.0356328000, 0.0651573000, 0.1605694000, 0.4787197000, 1.4984257000", \
"0.0224976000, 0.0257958000, 0.0356377000, 0.0651633000, 0.1606354000, 0.4788505000, 1.4995934000", \
"0.0224270000, 0.0257248000, 0.0357101000, 0.0651478000, 0.1608696000, 0.4785962000, 1.5024605000", \
"0.0246893000, 0.0280560000, 0.0378781000, 0.0668346000, 0.1610973000, 0.4791171000, 1.5010397000", \
"0.0309581000, 0.0347503000, 0.0443675000, 0.0718159000, 0.1636560000, 0.4775063000, 1.4984801000", \
"0.0435880000, 0.0476591000, 0.0584437000, 0.0851800000, 0.1698472000, 0.4798442000, 1.4975327000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000");
values("0.3183442000, 0.3242281000, 0.3400256000, 0.3738921000, 0.4425905000, 0.5856670000, 0.9355270000", \
"0.3198367000, 0.3258696000, 0.3414250000, 0.3754900000, 0.4440605000, 0.5870420000, 0.9370527000", \
"0.3263274000, 0.3323077000, 0.3479716000, 0.3820110000, 0.4506076000, 0.5938992000, 0.9429654000", \
"0.3473613000, 0.3534007000, 0.3687377000, 0.4027371000, 0.4714506000, 0.6148227000, 0.9644536000", \
"0.4054137000, 0.4113994000, 0.4269095000, 0.4609297000, 0.5294972000, 0.6732119000, 1.0226120000", \
"0.5480916000, 0.5537593000, 0.5687782000, 0.6020763000, 0.6689054000, 0.8122237000, 1.1620221000", \
"0.8216861000, 0.8287880000, 0.8471848000, 0.8854573000, 0.9566201000, 1.1002005000, 1.4535849000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000");
values("0.0905773000, 0.0944103000, 0.1048869000, 0.1317671000, 0.2046523000, 0.4296258000, 1.1422416000", \
"0.0954168000, 0.0992766000, 0.1097961000, 0.1366371000, 0.2095107000, 0.4334286000, 1.1516151000", \
"0.1056008000, 0.1094434000, 0.1199465000, 0.1468976000, 0.2197758000, 0.4439794000, 1.1598087000", \
"0.1257952000, 0.1296150000, 0.1400757000, 0.1669148000, 0.2396922000, 0.4637771000, 1.1785418000", \
"0.1590401000, 0.1633071000, 0.1745545000, 0.2025172000, 0.2762048000, 0.5006867000, 1.2147990000", \
"0.1971469000, 0.2023664000, 0.2157653000, 0.2465809000, 0.3219593000, 0.5467042000, 1.2625015000", \
"0.2134756000, 0.2204485000, 0.2381255000, 0.2768072000, 0.3581948000, 0.5830144000, 1.2978342000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000");
values("0.0531094000, 0.0562611000, 0.0649954000, 0.0848952000, 0.1346227000, 0.2673708000, 0.6730666000", \
"0.0530745000, 0.0559685000, 0.0654039000, 0.0852200000, 0.1360530000, 0.2674019000, 0.6726900000", \
"0.0529407000, 0.0562908000, 0.0645191000, 0.0863997000, 0.1336662000, 0.2673499000, 0.6735383000", \
"0.0528058000, 0.0561378000, 0.0648991000, 0.0853310000, 0.1342562000, 0.2673582000, 0.6715014000", \
"0.0532231000, 0.0564456000, 0.0653528000, 0.0848352000, 0.1342954000, 0.2673648000, 0.6730859000", \
"0.0516001000, 0.0549920000, 0.0638440000, 0.0835072000, 0.1353756000, 0.2678434000, 0.6729035000", \
"0.0717438000, 0.0752958000, 0.0840183000, 0.1026138000, 0.1466097000, 0.2733901000, 0.6766001000");
}
related_pin : "A4";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000");
values("0.0211031000, 0.0245017000, 0.0341983000, 0.0633566000, 0.1594554000, 0.4779632000, 1.4991096000", \
"0.0211562000, 0.0244040000, 0.0340964000, 0.0633348000, 0.1594673000, 0.4784551000, 1.5030981000", \
"0.0212118000, 0.0245297000, 0.0341119000, 0.0635042000, 0.1595106000, 0.4765380000, 1.4994489000", \
"0.0214916000, 0.0246625000, 0.0343310000, 0.0634567000, 0.1594146000, 0.4763225000, 1.4946753000", \
"0.0248459000, 0.0280111000, 0.0374507000, 0.0660677000, 0.1603468000, 0.4787347000, 1.5002236000", \
"0.0325663000, 0.0361027000, 0.0454116000, 0.0726753000, 0.1634968000, 0.4758024000, 1.5030533000", \
"0.0470376000, 0.0514442000, 0.0627320000, 0.0893341000, 0.1714039000, 0.4792640000, 1.4940441000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000");
values("0.0789005000, 0.0817451000, 0.0893510000, 0.1078132000, 0.1527552000, 0.2680574000, 0.5930092000", \
"0.0840946000, 0.0869825000, 0.0945828000, 0.1130521000, 0.1580298000, 0.2733402000, 0.5980763000", \
"0.0971666000, 0.0999857000, 0.1075347000, 0.1260263000, 0.1710622000, 0.2864023000, 0.6112640000", \
"0.1284865000, 0.1312572000, 0.1387862000, 0.1573635000, 0.2021853000, 0.3180416000, 0.6429481000", \
"0.1924858000, 0.1957441000, 0.2043276000, 0.2242925000, 0.2709049000, 0.3869728000, 0.7120465000", \
"0.2936751000, 0.2979090000, 0.3090940000, 0.3342609000, 0.3889724000, 0.5119332000, 0.8381048000", \
"0.4559594000, 0.4614216000, 0.4757217000, 0.5081396000, 0.5780390000, 0.7197353000, 1.0533668000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000");
values("0.1044842000, 0.1089872000, 0.1212026000, 0.1515942000, 0.2301370000, 0.4596608000, 1.1792396000", \
"0.1084844000, 0.1129889000, 0.1252136000, 0.1555998000, 0.2341599000, 0.4636422000, 1.1832788000", \
"0.1187219000, 0.1232298000, 0.1353961000, 0.1657889000, 0.2442271000, 0.4739840000, 1.1925391000", \
"0.1440150000, 0.1484560000, 0.1603983000, 0.1903544000, 0.2683647000, 0.4972214000, 1.2150716000", \
"0.1947724000, 0.1992239000, 0.2111245000, 0.2408741000, 0.3177965000, 0.5471286000, 1.2666046000", \
"0.2618431000, 0.2669405000, 0.2797753000, 0.3097809000, 0.3873272000, 0.6159013000, 1.3365954000", \
"0.3296643000, 0.3360105000, 0.3521311000, 0.3869819000, 0.4646220000, 0.6926928000, 1.4109145000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000");
values("0.0160122000, 0.0181230000, 0.0238572000, 0.0406851000, 0.0881987000, 0.2190589000, 0.6411507000", \
"0.0160580000, 0.0182130000, 0.0238817000, 0.0406819000, 0.0882130000, 0.2189914000, 0.6407411000", \
"0.0161852000, 0.0181492000, 0.0238485000, 0.0405972000, 0.0881236000, 0.2190732000, 0.6404328000", \
"0.0161843000, 0.0184080000, 0.0242025000, 0.0407383000, 0.0883777000, 0.2191868000, 0.6410263000", \
"0.0213697000, 0.0234850000, 0.0293079000, 0.0448739000, 0.0907070000, 0.2198218000, 0.6405876000", \
"0.0317823000, 0.0342991000, 0.0410509000, 0.0588048000, 0.1051357000, 0.2290610000, 0.6417514000", \
"0.0477592000, 0.0508605000, 0.0593601000, 0.0818223000, 0.1348322000, 0.2568974000, 0.6472405000");
}
related_pin : "B1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000");
values("0.0260295000, 0.0296796000, 0.0400730000, 0.0708164000, 0.1673993000, 0.4821205000, 1.5023667000", \
"0.0260730000, 0.0296871000, 0.0400879000, 0.0708615000, 0.1674098000, 0.4823483000, 1.5017654000", \
"0.0258600000, 0.0294670000, 0.0399848000, 0.0709076000, 0.1673557000, 0.4829581000, 1.4996497000", \
"0.0253509000, 0.0289795000, 0.0395783000, 0.0700925000, 0.1665520000, 0.4822430000, 1.5026946000", \
"0.0266446000, 0.0302270000, 0.0404956000, 0.0704212000, 0.1657986000, 0.4816628000, 1.5029037000", \
"0.0331629000, 0.0364724000, 0.0453557000, 0.0739150000, 0.1677229000, 0.4811189000, 1.5032637000", \
"0.0454190000, 0.0490748000, 0.0589211000, 0.0839539000, 0.1707953000, 0.4838620000, 1.4998842000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
/* removed sky130_fd_sc_hd__o41ai_1 */
/* removed sky130_fd_sc_hd__o41ai_2 */
cell ("sky130_fd_sc_hd__o41ai_4") {
leakage_power () {
value : 0.0056372000;
when : "!A1&!A2&!A3&!A4&B1";
}
leakage_power () {
value : 0.0170682000;
when : "!A1&!A2&!A3&!A4&!B1";
}
leakage_power () {
value : 0.0115471000;
when : "!A1&!A2&!A3&A4&B1";
}
leakage_power () {
value : 0.0015165000;
when : "!A1&!A2&!A3&A4&!B1";
}
leakage_power () {
value : 0.0100833000;
when : "!A1&!A2&A3&!A4&B1";
}
leakage_power () {
value : 0.0015152000;
when : "!A1&!A2&A3&!A4&!B1";
}
leakage_power () {
value : 0.0077004000;
when : "!A1&!A2&A3&A4&B1";
}
leakage_power () {
value : 0.0015183000;
when : "!A1&!A2&A3&A4&!B1";
}
leakage_power () {
value : 0.0099986000;
when : "!A1&A2&!A3&!A4&B1";
}
leakage_power () {
value : 0.0015165000;
when : "!A1&A2&!A3&!A4&!B1";
}
leakage_power () {
value : 0.0077019000;
when : "!A1&A2&!A3&A4&B1";
}
leakage_power () {
value : 0.0015208000;
when : "!A1&A2&!A3&A4&!B1";
}
leakage_power () {
value : 0.0077149000;
when : "!A1&A2&A3&!A4&B1";
}
leakage_power () {
value : 0.0015176000;
when : "!A1&A2&A3&!A4&!B1";
}
leakage_power () {
value : 0.0373424000;
when : "!A1&A2&A3&A4&B1";
}
leakage_power () {
value : 0.0015071000;
when : "!A1&A2&A3&A4&!B1";
}
leakage_power () {
value : 0.0098375000;
when : "A1&!A2&!A3&!A4&B1";
}
leakage_power () {
value : 0.0015168000;
when : "A1&!A2&!A3&!A4&!B1";
}
leakage_power () {
value : 0.0076912000;
when : "A1&!A2&!A3&A4&B1";
}
leakage_power () {
value : 0.0015126000;
when : "A1&!A2&!A3&A4&!B1";
}
leakage_power () {
value : 0.0081314000;
when : "A1&!A2&A3&!A4&B1";
}
leakage_power () {
value : 0.0015284000;
when : "A1&!A2&A3&!A4&!B1";
}
leakage_power () {
value : 0.0078103000;
when : "A1&!A2&A3&A4&B1";
}
leakage_power () {
value : 0.0015149000;
when : "A1&!A2&A3&A4&!B1";
}
leakage_power () {
value : 0.0083207000;
when : "A1&A2&!A3&!A4&B1";
}
leakage_power () {
value : 0.0015105000;
when : "A1&A2&!A3&!A4&!B1";
}
leakage_power () {
value : 0.0376818000;
when : "A1&A2&!A3&A4&B1";
}
leakage_power () {
value : 0.0015146000;
when : "A1&A2&!A3&A4&!B1";
}
leakage_power () {
value : 0.0577513000;
when : "A1&A2&A3&!A4&B1";
}
leakage_power () {
value : 0.0015115000;
when : "A1&A2&A3&!A4&!B1";
}
leakage_power () {
value : 0.0373388000;
when : "A1&A2&A3&A4&B1";
}
leakage_power () {
value : 0.0015165000;
when : "A1&A2&A3&A4&!B1";
}
area : 27.526400000;
cell_footprint : "sky130_fd_sc_hd__o41ai";
cell_leakage_power : 0.0097529590;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A1") {
capacitance : 0.0086400000;
clock : "false";
direction : "input";
fall_capacitance : 0.0082090000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0158266000, 0.0158195000, 0.0158030000, 0.0157976000, 0.0157852000, 0.0157569000, 0.0156916000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.015751600, -0.015747800, -0.015739100, -0.015740600, -0.015744100, -0.015752300, -0.015770900");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0090710000;
}
pin ("A2") {
capacitance : 0.0084130000;
clock : "false";
direction : "input";
fall_capacitance : 0.0078460000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0158447000, 0.0158326000, 0.0158048000, 0.0158012000, 0.0157932000, 0.0157747000, 0.0157320000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.015802800, -0.015788600, -0.015755900, -0.015751400, -0.015741000, -0.015717000, -0.015661700");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0089800000;
}
pin ("A3") {
capacitance : 0.0083630000;
clock : "false";
direction : "input";
fall_capacitance : 0.0077500000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0158071000, 0.0157967000, 0.0157727000, 0.0157667000, 0.0157528000, 0.0157210000, 0.0156478000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.015781400, -0.015766400, -0.015731700, -0.015723100, -0.015703300, -0.015657800, -0.015552600");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0089770000;
}
pin ("A4") {
capacitance : 0.0084050000;
clock : "false";
direction : "input";
fall_capacitance : 0.0077110000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0158524000, 0.0158443000, 0.0158256000, 0.0158204000, 0.0158083000, 0.0157806000, 0.0157167000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.015811800, -0.015801500, -0.015778000, -0.015772300, -0.015759400, -0.015729700, -0.015661000");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0090990000;
}
pin ("B1") {
capacitance : 0.0086500000;
clock : "false";
direction : "input";
fall_capacitance : 0.0084760000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0184853000, 0.0184942000, 0.0185147000, 0.0185125000, 0.0185074000, 0.0184955000, 0.0184682000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.002287600, -0.002356700, -0.002515900, -0.002417300, -0.002189900, -0.001665700, -0.000457400");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0088240000;
}
pin ("Y") {
direction : "output";
function : "(!A1&!A2&!A3&!A4) | (!B1)";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0012265480, 0.0030088420, 0.0073809800, 0.0181062600, 0.0444164000, 0.1089577000");
values("0.0335820000, 0.0324435000, 0.0295460000, 0.0224904000, 0.0052049000, -0.037058000, -0.140648200", \
"0.0330832000, 0.0319430000, 0.0290717000, 0.0220002000, 0.0046753000, -0.037554100, -0.141212400", \
"0.0325117000, 0.0313173000, 0.0285060000, 0.0214064000, 0.0040939000, -0.038163400, -0.141722400", \
"0.0319710000, 0.0307878000, 0.0279193000, 0.0208373000, 0.0035437000, -0.038664400, -0.142242900", \
"0.0314140000, 0.0302145000, 0.0274018000, 0.0205042000, 0.0033479000, -0.038836100, -0.142515800", \
"0.0309295000, 0.0297733000, 0.0269733000, 0.0200110000, 0.0026365000, -0.039372800, -0.142856700", \
"0.0334235000, 0.0323313000, 0.0294552000, 0.0222344000, 0.0049136000, -0.037904700, -0.142515000");
}
related_pin : "A1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0012265480, 0.0030088420, 0.0073809800, 0.0181062600, 0.0444164000, 0.1089577000");
values("0.0650367000, 0.0661575000, 0.0691201000, 0.0761574000, 0.0934381000, 0.1358574000, 0.2393663000", \
"0.0644364000, 0.0655722000, 0.0685828000, 0.0756386000, 0.0929762000, 0.1354170000, 0.2388989000", \
"0.0637364000, 0.0649043000, 0.0679057000, 0.0750446000, 0.0925173000, 0.1350812000, 0.2387580000", \
"0.0631451000, 0.0642617000, 0.0673229000, 0.0744255000, 0.0919035000, 0.1346335000, 0.2383338000", \
"0.0626666000, 0.0638319000, 0.0668468000, 0.0738342000, 0.0913011000, 0.1340561000, 0.2378856000", \
"0.0623043000, 0.0634736000, 0.0664677000, 0.0735471000, 0.0909527000, 0.1335741000, 0.2373883000", \
"0.0624146000, 0.0635159000, 0.0664394000, 0.0736058000, 0.0910954000, 0.1334086000, 0.2373376000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0012265480, 0.0030088420, 0.0073809800, 0.0181062600, 0.0444164000, 0.1089577000");
values("0.0271840000, 0.0260238000, 0.0231744000, 0.0160986000, -0.001123100, -0.043286400, -0.147317600", \
"0.0270906000, 0.0259134000, 0.0230745000, 0.0160083000, -0.001237300, -0.043422900, -0.147418600", \
"0.0267595000, 0.0256135000, 0.0227492000, 0.0157037000, -0.001503900, -0.043685300, -0.147618000", \
"0.0261862000, 0.0250665000, 0.0222073000, 0.0152184000, -0.001970000, -0.044074200, -0.148026500", \
"0.0252255000, 0.0241158000, 0.0212779000, 0.0144184000, -0.002495500, -0.044368000, -0.148312300", \
"0.0254154000, 0.0242050000, 0.0214534000, 0.0144165000, -0.003078300, -0.045308100, -0.148707400", \
"0.0270820000, 0.0258100000, 0.0229209000, 0.0159603000, -0.001635000, -0.044271100, -0.148968000");
}
related_pin : "A2";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0012265480, 0.0030088420, 0.0073809800, 0.0181062600, 0.0444164000, 0.1089577000");
values("0.0558578000, 0.0570403000, 0.0599761000, 0.0670868000, 0.0844170000, 0.1268955000, 0.2304720000", \
"0.0553655000, 0.0563907000, 0.0592948000, 0.0665066000, 0.0838841000, 0.1263247000, 0.2298458000", \
"0.0545584000, 0.0557316000, 0.0585388000, 0.0657728000, 0.0833295000, 0.1259327000, 0.2296187000", \
"0.0537219000, 0.0549009000, 0.0579246000, 0.0650859000, 0.0825951000, 0.1254036000, 0.2290576000", \
"0.0531547000, 0.0544072000, 0.0572275000, 0.0645619000, 0.0818380000, 0.1245811000, 0.2285115000", \
"0.0528763000, 0.0540616000, 0.0569890000, 0.0641297000, 0.0815995000, 0.1241540000, 0.2279855000", \
"0.0530971000, 0.0541906000, 0.0571569000, 0.0643182000, 0.0818878000, 0.1243254000, 0.2281407000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0012265480, 0.0030088420, 0.0073809800, 0.0181062600, 0.0444164000, 0.1089577000");
values("0.0215374000, 0.0203738000, 0.0175434000, 0.0105294000, -0.006693300, -0.049160400, -0.153367900", \
"0.0214295000, 0.0202891000, 0.0174680000, 0.0105139000, -0.006704200, -0.049129000, -0.153472600", \
"0.0211045000, 0.0199938000, 0.0171904000, 0.0103153000, -0.006761500, -0.049056900, -0.153290800", \
"0.0202962000, 0.0191982000, 0.0164434000, 0.0097559000, -0.007159400, -0.049150900, -0.153211300", \
"0.0192500000, 0.0181344000, 0.0153643000, 0.0087022000, -0.008016700, -0.049627200, -0.153434900", \
"0.0192099000, 0.0180596000, 0.0152112000, 0.0083484000, -0.008616200, -0.050846900, -0.154152800", \
"0.0205341000, 0.0194331000, 0.0164513000, 0.0093695000, -0.008049600, -0.050408400, -0.154741900");
}
related_pin : "A3";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0012265480, 0.0030088420, 0.0073809800, 0.0181062600, 0.0444164000, 0.1089577000");
values("0.0475283000, 0.0487276000, 0.0516955000, 0.0587332000, 0.0761266000, 0.1184928000, 0.2219116000", \
"0.0468133000, 0.0480872000, 0.0510015000, 0.0580845000, 0.0755721000, 0.1180353000, 0.2216737000", \
"0.0459089000, 0.0472069000, 0.0501152000, 0.0572717000, 0.0749028000, 0.1175727000, 0.2212160000", \
"0.0450701000, 0.0462602000, 0.0492323000, 0.0565835000, 0.0740867000, 0.1169028000, 0.2208559000", \
"0.0445660000, 0.0457841000, 0.0486648000, 0.0557806000, 0.0733014000, 0.1161179000, 0.2201500000", \
"0.0444623000, 0.0456160000, 0.0485402000, 0.0557393000, 0.0732287000, 0.1156640000, 0.2194421000", \
"0.0451312000, 0.0463307000, 0.0491173000, 0.0561444000, 0.0734796000, 0.1161463000, 0.2198492000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0012265480, 0.0030088420, 0.0073809800, 0.0181062600, 0.0444164000, 0.1089577000");
values("0.0142543000, 0.0131060000, 0.0103101000, 0.0032949000, -0.014005400, -0.056534900, -0.160995800", \
"0.0136497000, 0.0125911000, 0.0098835000, 0.0030893000, -0.013939200, -0.056230400, -0.160619300", \
"0.0126907000, 0.0116535000, 0.0090657000, 0.0025350000, -0.014123900, -0.056127000, -0.160286200", \
"0.0116297000, 0.0105943000, 0.0080611000, 0.0016132000, -0.014733800, -0.056299500, -0.160115000", \
"0.0112440000, 0.0102436000, 0.0074503000, 0.0006120000, -0.015707600, -0.056961400, -0.160370600", \
"0.0112679000, 0.0101296000, 0.0073202000, 0.0004801000, -0.016225400, -0.058225100, -0.161189000", \
"0.0136027000, 0.0123589000, 0.0094226000, 0.0020481000, -0.015491300, -0.057858100, -0.161795400");
}
related_pin : "A4";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0012265480, 0.0030088420, 0.0073809800, 0.0181062600, 0.0444164000, 0.1089577000");
values("0.0382044000, 0.0393983000, 0.0424063000, 0.0495168000, 0.0668340000, 0.1092937000, 0.2129387000", \
"0.0371609000, 0.0383179000, 0.0413915000, 0.0485635000, 0.0661583000, 0.1088135000, 0.2125104000", \
"0.0357904000, 0.0370750000, 0.0400302000, 0.0476132000, 0.0651539000, 0.1081262000, 0.2120318000", \
"0.0349840000, 0.0361442000, 0.0389856000, 0.0465519000, 0.0642280000, 0.1074463000, 0.2114504000", \
"0.0347589000, 0.0359183000, 0.0388442000, 0.0459874000, 0.0632012000, 0.1059796000, 0.2105561000", \
"0.0367211000, 0.0377362000, 0.0405825000, 0.0477389000, 0.0652333000, 0.1065435000, 0.2103172000", \
"0.0412427000, 0.0425878000, 0.0459413000, 0.0528042000, 0.0691260000, 0.1099306000, 0.2121374000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0012265480, 0.0030088420, 0.0073809800, 0.0181062600, 0.0444164000, 0.1089577000");
values("0.0194462000, 0.0183427000, 0.0156466000, 0.0089152000, -0.008061700, -0.050084500, -0.153642700", \
"0.0189340000, 0.0178404000, 0.0151883000, 0.0084428000, -0.008421900, -0.050227500, -0.153604900", \
"0.0185334000, 0.0174224000, 0.0146848000, 0.0079166000, -0.008874100, -0.050544700, -0.153712300", \
"0.0178789000, 0.0167820000, 0.0140818000, 0.0072318000, -0.009686200, -0.051367100, -0.154172700", \
"0.0180242000, 0.0168514000, 0.0139951000, 0.0070528000, -0.009894500, -0.052037000, -0.155008800", \
"0.0186839000, 0.0174866000, 0.0145726000, 0.0074201000, -0.009932200, -0.051979700, -0.155753000", \
"0.0240363000, 0.0227711000, 0.0196540000, 0.0122375000, -0.005496300, -0.048353000, -0.155740100");
}
related_pin : "B1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0012265480, 0.0030088420, 0.0073809800, 0.0181062600, 0.0444164000, 0.1089577000");
values("0.0367253000, 0.0381901000, 0.0416180000, 0.0495376000, 0.0675671000, 0.1104151000, 0.2144057000", \
"0.0359024000, 0.0372744000, 0.0406761000, 0.0487778000, 0.0670774000, 0.1100779000, 0.2145769000", \
"0.0351943000, 0.0365940000, 0.0398264000, 0.0475050000, 0.0659873000, 0.1096563000, 0.2136787000", \
"0.0350505000, 0.0362855000, 0.0392302000, 0.0468243000, 0.0647865000, 0.1082682000, 0.2130774000", \
"0.0357339000, 0.0368471000, 0.0396455000, 0.0466875000, 0.0641756000, 0.1072048000, 0.2119991000", \
"0.0386914000, 0.0398449000, 0.0424580000, 0.0492047000, 0.0659899000, 0.1086017000, 0.2118225000", \
"0.0460743000, 0.0470550000, 0.0503741000, 0.0560320000, 0.0710716000, 0.1124830000, 0.2167992000");
}
}
max_capacitance : 0.1089580000;
max_transition : 1.4948920000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000");
values("0.0490083000, 0.0511526000, 0.0560846000, 0.0676698000, 0.0937063000, 0.1519649000, 0.2835788000", \
"0.0536368000, 0.0557651000, 0.0606135000, 0.0721033000, 0.0980456000, 0.1561501000, 0.2880506000", \
"0.0630271000, 0.0649874000, 0.0699070000, 0.0812085000, 0.1069333000, 0.1648506000, 0.2965582000", \
"0.0800484000, 0.0822212000, 0.0872914000, 0.0985812000, 0.1240464000, 0.1814922000, 0.3130041000", \
"0.1069422000, 0.1094968000, 0.1153147000, 0.1289086000, 0.1570291000, 0.2169911000, 0.3487538000", \
"0.1397497000, 0.1431039000, 0.1511453000, 0.1700394000, 0.2073803000, 0.2811576000, 0.4267670000", \
"0.1533173000, 0.1582672000, 0.1708541000, 0.1974145000, 0.2545746000, 0.3614823000, 0.5563700000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000");
values("0.2869563000, 0.2928388000, 0.3113121000, 0.3515894000, 0.4487748000, 0.6829688000, 1.2534348000", \
"0.2900632000, 0.2960218000, 0.3146005000, 0.3549624000, 0.4525935000, 0.6868908000, 1.2574926000", \
"0.3008525000, 0.3065675000, 0.3251295000, 0.3659378000, 0.4632137000, 0.6987697000, 1.2700392000", \
"0.3260999000, 0.3319910000, 0.3505796000, 0.3911735000, 0.4894476000, 0.7250353000, 1.2970159000", \
"0.3799177000, 0.3878055000, 0.4044325000, 0.4442618000, 0.5420152000, 0.7780919000, 1.3514118000", \
"0.4851879000, 0.4921095000, 0.5097973000, 0.5533713000, 0.6510785000, 0.8862163000, 1.4585120000", \
"0.6606019000, 0.6672498000, 0.6900283000, 0.7381128000, 0.8518970000, 1.1052359000, 1.6832666000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000");
values("0.0482631000, 0.0505753000, 0.0562608000, 0.0699239000, 0.1016926000, 0.1745265000, 0.3476280000", \
"0.0476068000, 0.0499514000, 0.0556194000, 0.0692252000, 0.1010610000, 0.1741124000, 0.3472198000", \
"0.0469605000, 0.0491572000, 0.0549130000, 0.0684395000, 0.1004222000, 0.1734823000, 0.3469059000", \
"0.0509562000, 0.0529424000, 0.0581727000, 0.0703212000, 0.1008020000, 0.1729656000, 0.3470362000", \
"0.0662301000, 0.0680428000, 0.0728795000, 0.0847975000, 0.1129618000, 0.1791733000, 0.3477794000", \
"0.1026806000, 0.1048377000, 0.1096522000, 0.1222225000, 0.1510881000, 0.2164035000, 0.3718094000", \
"0.1698206000, 0.1735158000, 0.1816030000, 0.1968595000, 0.2352873000, 0.3116289000, 0.4706067000");
}
related_pin : "A1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000");
values("0.1864820000, 0.1947768000, 0.2170005000, 0.2692028000, 0.3978421000, 0.7109061000, 1.4776961000", \
"0.1864812000, 0.1947891000, 0.2170494000, 0.2692639000, 0.3976831000, 0.7106363000, 1.4737536000", \
"0.1864935000, 0.1950739000, 0.2170403000, 0.2692662000, 0.3979665000, 0.7109464000, 1.4744587000", \
"0.1865171000, 0.1950474000, 0.2171293000, 0.2692656000, 0.3974930000, 0.7106837000, 1.4737628000", \
"0.1868338000, 0.1959517000, 0.2171957000, 0.2698220000, 0.3981984000, 0.7112498000, 1.4739823000", \
"0.2030238000, 0.2116545000, 0.2311655000, 0.2807555000, 0.4049114000, 0.7123855000, 1.4741923000", \
"0.2479497000, 0.2564829000, 0.2787927000, 0.3309706000, 0.4569943000, 0.7518285000, 1.4873726000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000");
values("0.0510660000, 0.0529704000, 0.0575844000, 0.0681593000, 0.0921550000, 0.1461061000, 0.2722466000", \
"0.0557837000, 0.0576590000, 0.0622711000, 0.0728504000, 0.0967531000, 0.1509027000, 0.2771068000", \
"0.0654992000, 0.0674587000, 0.0719601000, 0.0824859000, 0.1063885000, 0.1605037000, 0.2865176000", \
"0.0828810000, 0.0849953000, 0.0898961000, 0.1003802000, 0.1241868000, 0.1782309000, 0.3045288000", \
"0.1096114000, 0.1118590000, 0.1174996000, 0.1307000000, 0.1580190000, 0.2156865000, 0.3429299000", \
"0.1374388000, 0.1408656000, 0.1493646000, 0.1684950000, 0.2068076000, 0.2808742000, 0.4260592000", \
"0.1399760000, 0.1446460000, 0.1577857000, 0.1875136000, 0.2461262000, 0.3596246000, 0.5601840000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000");
values("0.2715610000, 0.2786383000, 0.2953486000, 0.3345014000, 0.4320314000, 0.6663136000, 1.2370648000", \
"0.2730878000, 0.2799412000, 0.2969436000, 0.3361289000, 0.4339776000, 0.6690949000, 1.2399712000", \
"0.2811841000, 0.2884343000, 0.3054336000, 0.3451345000, 0.4435763000, 0.6794290000, 1.2508009000", \
"0.3048165000, 0.3100361000, 0.3285525000, 0.3697202000, 0.4679518000, 0.7041988000, 1.2761617000", \
"0.3579388000, 0.3648969000, 0.3808651000, 0.4221339000, 0.5202991000, 0.7559009000, 1.3289190000", \
"0.4640342000, 0.4713980000, 0.4904427000, 0.5333780000, 0.6336264000, 0.8691236000, 1.4418947000", \
"0.6490644000, 0.6581979000, 0.6809544000, 0.7354345000, 0.8538060000, 1.1184510000, 1.6975281000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000");
values("0.0424779000, 0.0444354000, 0.0495138000, 0.0615777000, 0.0903970000, 0.1595512000, 0.3297732000", \
"0.0423466000, 0.0443054000, 0.0493844000, 0.0614210000, 0.0903383000, 0.1597429000, 0.3297302000", \
"0.0419008000, 0.0439237000, 0.0488615000, 0.0610423000, 0.0900580000, 0.1596109000, 0.3297103000", \
"0.0457983000, 0.0475899000, 0.0521186000, 0.0630011000, 0.0908105000, 0.1591785000, 0.3296706000", \
"0.0599945000, 0.0618172000, 0.0664700000, 0.0772544000, 0.1039106000, 0.1669812000, 0.3313562000", \
"0.0944545000, 0.0967843000, 0.1021694000, 0.1152098000, 0.1442397000, 0.2072179000, 0.3589196000", \
"0.1613195000, 0.1644657000, 0.1722999000, 0.1913923000, 0.2303499000, 0.3074146000, 0.4645145000");
}
related_pin : "A2";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000");
values("0.1861025000, 0.1949636000, 0.2170582000, 0.2697333000, 0.3978958000, 0.7109691000, 1.4744843000", \
"0.1864487000, 0.1951398000, 0.2162603000, 0.2695804000, 0.3979826000, 0.7109628000, 1.4744178000", \
"0.1864236000, 0.1955827000, 0.2166183000, 0.2693269000, 0.3979531000, 0.7108997000, 1.4743297000", \
"0.1865736000, 0.1950686000, 0.2170846000, 0.2690886000, 0.3978017000, 0.7108143000, 1.4733063000", \
"0.1877872000, 0.1962209000, 0.2175037000, 0.2696883000, 0.3977748000, 0.7104258000, 1.4739217000", \
"0.2134752000, 0.2217074000, 0.2418359000, 0.2898713000, 0.4108303000, 0.7137280000, 1.4786659000", \
"0.2795046000, 0.2882462000, 0.3108082000, 0.3612736000, 0.4833170000, 0.7670845000, 1.4922815000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000");
values("0.0483590000, 0.0499543000, 0.0536515000, 0.0625488000, 0.0829409000, 0.1316637000, 0.2485757000", \
"0.0530040000, 0.0546411000, 0.0583621000, 0.0672699000, 0.0877483000, 0.1364259000, 0.2534928000", \
"0.0627014000, 0.0643679000, 0.0680854000, 0.0770266000, 0.0977942000, 0.1465093000, 0.2634531000", \
"0.0797623000, 0.0813707000, 0.0855734000, 0.0953700000, 0.1168744000, 0.1658286000, 0.2831141000", \
"0.1027560000, 0.1050549000, 0.1103894000, 0.1237321000, 0.1501636000, 0.2054995000, 0.3250085000", \
"0.1202724000, 0.1246656000, 0.1332449000, 0.1526536000, 0.1933606000, 0.2707146000, 0.4127784000", \
"0.1041635000, 0.1102584000, 0.1250335000, 0.1563900000, 0.2209331000, 0.3416762000, 0.5485217000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000");
values("0.2343357000, 0.2394189000, 0.2580980000, 0.2984338000, 0.3957415000, 0.6301579000, 1.2005896000", \
"0.2350792000, 0.2409597000, 0.2594951000, 0.3003714000, 0.3979097000, 0.6326941000, 1.2036107000", \
"0.2424012000, 0.2479126000, 0.2664497000, 0.3080854000, 0.4063223000, 0.6415273000, 1.2131678000", \
"0.2656735000, 0.2729589000, 0.2893603000, 0.3305916000, 0.4284312000, 0.6650271000, 1.2379299000", \
"0.3213474000, 0.3276187000, 0.3452753000, 0.3854378000, 0.4837002000, 0.7201482000, 1.2931590000", \
"0.4400340000, 0.4480021000, 0.4675478000, 0.5116249000, 0.6147227000, 0.8505037000, 1.4237053000", \
"0.6473486000, 0.6582161000, 0.6845082000, 0.7436492000, 0.8734888000, 1.1499590000, 1.7296478000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000");
values("0.0300066000, 0.0317989000, 0.0361961000, 0.0469716000, 0.0735679000, 0.1390243000, 0.2998936000", \
"0.0300315000, 0.0317803000, 0.0361930000, 0.0469604000, 0.0736788000, 0.1391957000, 0.3000570000", \
"0.0299464000, 0.0317551000, 0.0361671000, 0.0470304000, 0.0736854000, 0.1391821000, 0.3001156000", \
"0.0347141000, 0.0363265000, 0.0404459000, 0.0502485000, 0.0754684000, 0.1392181000, 0.2999880000", \
"0.0499704000, 0.0518859000, 0.0562984000, 0.0667690000, 0.0914832000, 0.1495834000, 0.3027523000", \
"0.0845448000, 0.0871555000, 0.0927802000, 0.1061181000, 0.1347457000, 0.1962902000, 0.3360435000", \
"0.1508965000, 0.1545896000, 0.1631725000, 0.1824881000, 0.2237005000, 0.3012985000, 0.4523912000");
}
related_pin : "A3";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000");
values("0.1860858000, 0.1949031000, 0.2168151000, 0.2692054000, 0.3979022000, 0.7107781000, 1.4773428000", \
"0.1859932000, 0.1951935000, 0.2169234000, 0.2690108000, 0.3977700000, 0.7108347000, 1.4736845000", \
"0.1859763000, 0.1951674000, 0.2168084000, 0.2689977000, 0.3977796000, 0.7110261000, 1.4745091000", \
"0.1863157000, 0.1950309000, 0.2168870000, 0.2699254000, 0.3979467000, 0.7110610000, 1.4743463000", \
"0.1887261000, 0.1977632000, 0.2179084000, 0.2702566000, 0.3986328000, 0.7106660000, 1.4739298000", \
"0.2254712000, 0.2334942000, 0.2519560000, 0.2984803000, 0.4152456000, 0.7167393000, 1.4743214000", \
"0.3127773000, 0.3222217000, 0.3437242000, 0.3979010000, 0.5160199000, 0.7886937000, 1.4907872000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000");
values("0.0354414000, 0.0367848000, 0.0401123000, 0.0478034000, 0.0659550000, 0.1097377000, 0.2175224000", \
"0.0396798000, 0.0410764000, 0.0445390000, 0.0523398000, 0.0708026000, 0.1153219000, 0.2226897000", \
"0.0489392000, 0.0504667000, 0.0540858000, 0.0622526000, 0.0808556000, 0.1252091000, 0.2327279000", \
"0.0622015000, 0.0643210000, 0.0689616000, 0.0789974000, 0.1002337000, 0.1455129000, 0.2536423000", \
"0.0750949000, 0.0783047000, 0.0857154000, 0.1006273000, 0.1302369000, 0.1856950000, 0.2976527000", \
"0.0758268000, 0.0807743000, 0.0918401000, 0.1161558000, 0.1630993000, 0.2456146000, 0.3912635000", \
"0.0283805000, 0.0367053000, 0.0552368000, 0.0947133000, 0.1716271000, 0.3026897000, 0.5180431000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000");
values("0.1605711000, 0.1678798000, 0.1855995000, 0.2251851000, 0.3234600000, 0.5578819000, 1.1293945000", \
"0.1609387000, 0.1673463000, 0.1851659000, 0.2254542000, 0.3242768000, 0.5596480000, 1.1311697000", \
"0.1651043000, 0.1727499000, 0.1893662000, 0.2315074000, 0.3295775000, 0.5678807000, 1.1405776000", \
"0.1874608000, 0.1942411000, 0.2103170000, 0.2523436000, 0.3515758000, 0.5884208000, 1.1630095000", \
"0.2499389000, 0.2562588000, 0.2719996000, 0.3114279000, 0.4065110000, 0.6435445000, 1.2174921000", \
"0.3869520000, 0.3937796000, 0.4126456000, 0.4570042000, 0.5541857000, 0.7845396000, 1.3546475000", \
"0.6048227000, 0.6139396000, 0.6478315000, 0.7109457000, 0.8459337000, 1.1170691000, 1.6812499000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000");
values("0.0166804000, 0.0183641000, 0.0224932000, 0.0325987000, 0.0571481000, 0.1175230000, 0.2659324000", \
"0.0168083000, 0.0184707000, 0.0225144000, 0.0325155000, 0.0573301000, 0.1183346000, 0.2667095000", \
"0.0177456000, 0.0192321000, 0.0230706000, 0.0327956000, 0.0573242000, 0.1177650000, 0.2667257000", \
"0.0249206000, 0.0264810000, 0.0302396000, 0.0392752000, 0.0608538000, 0.1184647000, 0.2662661000", \
"0.0423043000, 0.0439598000, 0.0480689000, 0.0580795000, 0.0808581000, 0.1337222000, 0.2696960000", \
"0.0761578000, 0.0787973000, 0.0848798000, 0.0989026000, 0.1273913000, 0.1843474000, 0.3151270000", \
"0.1446580000, 0.1486109000, 0.1567620000, 0.1760168000, 0.2173615000, 0.2921123000, 0.4351862000");
}
related_pin : "A4";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000");
values("0.1839696000, 0.1930564000, 0.2147728000, 0.2684286000, 0.3975610000, 0.7110428000, 1.4758724000", \
"0.1830854000, 0.1923783000, 0.2141102000, 0.2681881000, 0.3976263000, 0.7111610000, 1.4740719000", \
"0.1813644000, 0.1908562000, 0.2132685000, 0.2674165000, 0.3979352000, 0.7108771000, 1.4792979000", \
"0.1771883000, 0.1862466000, 0.2098422000, 0.2650708000, 0.3968635000, 0.7112223000, 1.4782975000", \
"0.1813719000, 0.1893364000, 0.2108003000, 0.2615150000, 0.3921393000, 0.7131450000, 1.4748868000", \
"0.2241334000, 0.2332119000, 0.2573929000, 0.3054527000, 0.4177911000, 0.7121687000, 1.4731767000", \
"0.3060802000, 0.3191779000, 0.3446383000, 0.4099493000, 0.5434029000, 0.8115849000, 1.4948923000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000");
values("0.0370563000, 0.0391612000, 0.0442085000, 0.0559199000, 0.0819657000, 0.1399856000, 0.2717058000", \
"0.0408029000, 0.0429254000, 0.0480197000, 0.0596914000, 0.0858164000, 0.1440249000, 0.2757860000", \
"0.0519573000, 0.0539176000, 0.0586469000, 0.0698448000, 0.0957896000, 0.1540706000, 0.2860546000", \
"0.0783126000, 0.0805202000, 0.0862174000, 0.0971487000, 0.1208932000, 0.1775680000, 0.3094333000", \
"0.1158211000, 0.1189690000, 0.1262199000, 0.1420656000, 0.1743723000, 0.2352782000, 0.3648649000", \
"0.1588487000, 0.1632659000, 0.1736054000, 0.1965714000, 0.2439495000, 0.3344858000, 0.4944769000", \
"0.1919241000, 0.1982584000, 0.2131381000, 0.2466874000, 0.3161563000, 0.4495850000, 0.6927416000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000");
values("0.0235669000, 0.0252406000, 0.0290999000, 0.0380026000, 0.0584247000, 0.1067409000, 0.2244512000", \
"0.0287838000, 0.0303679000, 0.0341317000, 0.0431399000, 0.0637290000, 0.1122719000, 0.2299789000", \
"0.0417645000, 0.0436304000, 0.0473721000, 0.0559495000, 0.0764071000, 0.1252459000, 0.2430211000", \
"0.0625638000, 0.0653669000, 0.0717599000, 0.0845222000, 0.1074660000, 0.1565159000, 0.2741808000", \
"0.0954236000, 0.0998000000, 0.1097624000, 0.1301592000, 0.1676247000, 0.2297207000, 0.3475898000", \
"0.1492218000, 0.1554244000, 0.1707457000, 0.2027610000, 0.2620813000, 0.3611996000, 0.5153308000", \
"0.2442917000, 0.2541989000, 0.2765189000, 0.3234362000, 0.4138644000, 0.5705348000, 0.8202494000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000");
values("0.0458958000, 0.0481059000, 0.0537666000, 0.0673466000, 0.0991105000, 0.1726593000, 0.3468568000", \
"0.0454096000, 0.0478528000, 0.0536571000, 0.0672718000, 0.0991269000, 0.1726387000, 0.3465221000", \
"0.0448701000, 0.0468657000, 0.0523964000, 0.0657934000, 0.0985888000, 0.1724802000, 0.3464710000", \
"0.0555826000, 0.0571310000, 0.0615009000, 0.0720981000, 0.0998507000, 0.1711039000, 0.3461871000", \
"0.0762890000, 0.0791476000, 0.0860029000, 0.0995550000, 0.1301161000, 0.1863562000, 0.3463630000", \
"0.1183389000, 0.1223062000, 0.1313868000, 0.1514707000, 0.1908715000, 0.2599670000, 0.4004749000", \
"0.1870598000, 0.1931494000, 0.2069710000, 0.2363024000, 0.2937759000, 0.3989376000, 0.5750747000");
}
related_pin : "B1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000");
values("0.0232291000, 0.0251508000, 0.0302795000, 0.0433679000, 0.0741996000, 0.1475953000, 0.3223308000", \
"0.0231154000, 0.0251103000, 0.0306438000, 0.0433114000, 0.0741506000, 0.1476254000, 0.3222497000", \
"0.0275460000, 0.0291921000, 0.0330501000, 0.0439991000, 0.0742823000, 0.1474957000, 0.3221733000", \
"0.0480720000, 0.0492644000, 0.0519803000, 0.0578096000, 0.0810821000, 0.1479741000, 0.3221148000", \
"0.0869474000, 0.0879324000, 0.0911140000, 0.0994764000, 0.1192203000, 0.1691198000, 0.3238810000", \
"0.1583393000, 0.1591714000, 0.1630235000, 0.1737499000, 0.2002360000, 0.2566353000, 0.3774692000", \
"0.2937083000, 0.2941882000, 0.2968440000, 0.3081085000, 0.3441219000, 0.4265306000, 0.5725317000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
}
}
/* removed sky130_fd_sc_hd__or2_0 */
/* removed sky130_fd_sc_hd__or2_1 */
/* removed sky130_fd_sc_hd__or2_2 */
cell ("sky130_fd_sc_hd__or2_4") {
leakage_power () {
value : 0.0039006000;
when : "!A&B";
}
leakage_power () {
value : 0.0051014000;
when : "!A&!B";
}
leakage_power () {
value : 0.0019054000;
when : "A&B";
}
leakage_power () {
value : 0.0024409000;
when : "A&!B";
}
area : 8.7584000000;
cell_footprint : "sky130_fd_sc_hd__or2";
cell_leakage_power : 0.0033370700;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0024100000;
clock : "false";
direction : "input";
fall_capacitance : 0.0022750000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0038017000, 0.0037975000, 0.0037879000, 0.0037856000, 0.0037805000, 0.0037685000, 0.0037411000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.003393700, -0.003474400, -0.003660400, -0.003663000, -0.003669000, -0.003682800, -0.003714600");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0025450000;
}
pin ("B") {
capacitance : 0.0023670000;
clock : "false";
direction : "input";
fall_capacitance : 0.0021910000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0025058000, 0.0025020000, 0.0024934000, 0.0025005000, 0.0025169000, 0.0025548000, 0.0026421000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.001705600, -0.001714800, -0.001735800, -0.001737300, -0.001740800, -0.001748800, -0.001767400");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0025440000;
}
pin ("X") {
direction : "output";
function : "(A) | (B)";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015887090, 0.0050479920, 0.0160395800, 0.0509644400, 0.1619353000, 0.5145362000");
values("0.0232723000, 0.0214184000, 0.0162580000, 0.0003805000, -0.052333100, -0.229904000, -0.800944800", \
"0.0230936000, 0.0212606000, 0.0157738000, 0.0002076000, -0.052466300, -0.230045500, -0.801080000", \
"0.0228989000, 0.0210645000, 0.0157223000, 0.0002486000, -0.052504200, -0.230369400, -0.801237100", \
"0.0226394000, 0.0209322000, 0.0153566000, -0.000267100, -0.052968100, -0.230539700, -0.801379000", \
"0.0224637000, 0.0206198000, 0.0151345000, -0.000536500, -0.053238000, -0.230811600, -0.801492300", \
"0.0227526000, 0.0210745000, 0.0154117000, -0.000551000, -0.053486100, -0.231058100, -0.801681200", \
"0.0303365000, 0.0282261000, 0.0221180000, 0.0037531000, -0.051704500, -0.230395000, -0.801104500");
}
related_pin : "A";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015887090, 0.0050479920, 0.0160395800, 0.0509644400, 0.1619353000, 0.5145362000");
values("0.0286235000, 0.0305126000, 0.0363910000, 0.0545969000, 0.1112136000, 0.2889002000, 0.8544917000", \
"0.0285417000, 0.0304530000, 0.0363906000, 0.0546310000, 0.1111845000, 0.2894908000, 0.8539360000", \
"0.0284986000, 0.0304123000, 0.0363358000, 0.0546450000, 0.1112311000, 0.2894023000, 0.8537122000", \
"0.0284570000, 0.0302706000, 0.0361273000, 0.0543756000, 0.1109699000, 0.2891574000, 0.8533447000", \
"0.0284386000, 0.0302695000, 0.0359359000, 0.0538503000, 0.1104723000, 0.2890010000, 0.8528260000", \
"0.0291942000, 0.0308635000, 0.0364804000, 0.0543278000, 0.1101187000, 0.2878113000, 0.8524655000", \
"0.0309683000, 0.0326149000, 0.0381295000, 0.0555754000, 0.1117895000, 0.2895769000, 0.8524368000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015887090, 0.0050479920, 0.0160395800, 0.0509644400, 0.1619353000, 0.5145362000");
values("0.0221650000, 0.0203423000, 0.0148669000, -0.000693100, -0.053153000, -0.230833200, -0.801648600", \
"0.0220521000, 0.0202065000, 0.0150642000, -0.000604100, -0.053263000, -0.231078000, -0.801725500", \
"0.0219977000, 0.0201246000, 0.0146369000, -0.001035500, -0.053663400, -0.231161600, -0.802038400", \
"0.0216519000, 0.0198016000, 0.0143119000, -0.001174700, -0.053884000, -0.231488500, -0.802248100", \
"0.0216355000, 0.0197584000, 0.0142501000, -0.001457200, -0.053992700, -0.231630500, -0.802121300", \
"0.0223833000, 0.0204426000, 0.0147362000, -0.001384100, -0.053886300, -0.231392700, -0.801809900", \
"0.0324177000, 0.0302202000, 0.0241752000, 0.0056002000, -0.052254300, -0.230513900, -0.800898400");
}
related_pin : "B";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015887090, 0.0050479920, 0.0160395800, 0.0509644400, 0.1619353000, 0.5145362000");
values("0.0255991000, 0.0274595000, 0.0334881000, 0.0522884000, 0.1097284000, 0.2871626000, 0.8500438000", \
"0.0256228000, 0.0275842000, 0.0335285000, 0.0523005000, 0.1097024000, 0.2887230000, 0.8551200000", \
"0.0254512000, 0.0274014000, 0.0334704000, 0.0521393000, 0.1095297000, 0.2885820000, 0.8503954000", \
"0.0252886000, 0.0271336000, 0.0331422000, 0.0515506000, 0.1088528000, 0.2865570000, 0.8506712000", \
"0.0253141000, 0.0271758000, 0.0330240000, 0.0511925000, 0.1077119000, 0.2862281000, 0.8491875000", \
"0.0262430000, 0.0280133000, 0.0337712000, 0.0515624000, 0.1072580000, 0.2858998000, 0.8528188000", \
"0.0278329000, 0.0295232000, 0.0350044000, 0.0524900000, 0.1091695000, 0.2869061000, 0.8501583000");
}
}
max_capacitance : 0.5145360000;
max_transition : 1.5082430000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015887100, 0.0050479900, 0.0160396000, 0.0509644000, 0.1619350000, 0.5145360000");
values("0.2137088000, 0.2183824000, 0.2302343000, 0.2566178000, 0.3098437000, 0.4239624000, 0.7291177000", \
"0.2187554000, 0.2234216000, 0.2352952000, 0.2616577000, 0.3148669000, 0.4290656000, 0.7342330000", \
"0.2309985000, 0.2356463000, 0.2474871000, 0.2738701000, 0.3268787000, 0.4414097000, 0.7460039000", \
"0.2581295000, 0.2627427000, 0.2745648000, 0.3008716000, 0.3541238000, 0.4684144000, 0.7734041000", \
"0.3211711000, 0.3258127000, 0.3376285000, 0.3638801000, 0.4172704000, 0.5319142000, 0.8371943000", \
"0.4551697000, 0.4605309000, 0.4731016000, 0.5009789000, 0.5561339000, 0.6720682000, 0.9769994000", \
"0.6913723000, 0.6969356000, 0.7119864000, 0.7445384000, 0.8077465000, 0.9333941000, 1.2433057000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015887100, 0.0050479900, 0.0160396000, 0.0509644000, 0.1619350000, 0.5145360000");
values("0.0807491000, 0.0842950000, 0.0939403000, 0.1194046000, 0.1919323000, 0.4165965000, 1.1299173000", \
"0.0853266000, 0.0888770000, 0.0985615000, 0.1240040000, 0.1964740000, 0.4213961000, 1.1349703000", \
"0.0961611000, 0.0997108000, 0.1093915000, 0.1348787000, 0.2074188000, 0.4330450000, 1.1449347000", \
"0.1220859000, 0.1255382000, 0.1351539000, 0.1604682000, 0.2330520000, 0.4588213000, 1.1705484000", \
"0.1655284000, 0.1695724000, 0.1797901000, 0.2061790000, 0.2787501000, 0.5037983000, 1.2161099000", \
"0.2169357000, 0.2217929000, 0.2351352000, 0.2643510000, 0.3377413000, 0.5629877000, 1.2765985000", \
"0.2575608000, 0.2645745000, 0.2821473000, 0.3190986000, 0.3965888000, 0.6204084000, 1.3318943000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015887100, 0.0050479900, 0.0160396000, 0.0509644000, 0.1619350000, 0.5145360000");
values("0.0383121000, 0.0406893000, 0.0481218000, 0.0652495000, 0.1061489000, 0.2230022000, 0.6095994000", \
"0.0383303000, 0.0407013000, 0.0476939000, 0.0652069000, 0.1060085000, 0.2230218000, 0.6096217000", \
"0.0383184000, 0.0406621000, 0.0477649000, 0.0645976000, 0.1056722000, 0.2222116000, 0.6105005000", \
"0.0381354000, 0.0410542000, 0.0481913000, 0.0652971000, 0.1059275000, 0.2224328000, 0.6104021000", \
"0.0383090000, 0.0406336000, 0.0481101000, 0.0645462000, 0.1059201000, 0.2223047000, 0.6105104000", \
"0.0441205000, 0.0463884000, 0.0541662000, 0.0701226000, 0.1100020000, 0.2239820000, 0.6115812000", \
"0.0597725000, 0.0625045000, 0.0702132000, 0.0884335000, 0.1302642000, 0.2414170000, 0.6149622000");
}
related_pin : "A";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015887100, 0.0050479900, 0.0160396000, 0.0509644000, 0.1619350000, 0.5145360000");
values("0.0205169000, 0.0236607000, 0.0331820000, 0.0631427000, 0.1625263000, 0.4828211000, 1.5039689000", \
"0.0204463000, 0.0235243000, 0.0331120000, 0.0631037000, 0.1621676000, 0.4837327000, 1.5046411000", \
"0.0205054000, 0.0235554000, 0.0331820000, 0.0631510000, 0.1620977000, 0.4840823000, 1.5018004000", \
"0.0206719000, 0.0238621000, 0.0333611000, 0.0631143000, 0.1625672000, 0.4839442000, 1.5014426000", \
"0.0255581000, 0.0284943000, 0.0377331000, 0.0658835000, 0.1628919000, 0.4828858000, 1.4991135000", \
"0.0356656000, 0.0390992000, 0.0472201000, 0.0730005000, 0.1655779000, 0.4825906000, 1.4997319000", \
"0.0514482000, 0.0554553000, 0.0660413000, 0.0909883000, 0.1732682000, 0.4863337000, 1.4997638000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015887100, 0.0050479900, 0.0160396000, 0.0509644000, 0.1619350000, 0.5145360000");
values("0.1976790000, 0.2022558000, 0.2141532000, 0.2405277000, 0.2936560000, 0.4081665000, 0.7131666000", \
"0.2011334000, 0.2058005000, 0.2176500000, 0.2440178000, 0.2970023000, 0.4117454000, 0.7164324000", \
"0.2109617000, 0.2155853000, 0.2274386000, 0.2537543000, 0.3071902000, 0.4214349000, 0.7265234000", \
"0.2377717000, 0.2424574000, 0.2543642000, 0.2805555000, 0.3333799000, 0.4480584000, 0.7529937000", \
"0.3040399000, 0.3086079000, 0.3203694000, 0.3466420000, 0.3993192000, 0.5143097000, 0.8194251000", \
"0.4448855000, 0.4499710000, 0.4630451000, 0.4913479000, 0.5472223000, 0.6634500000, 0.9690264000", \
"0.6713215000, 0.6775635000, 0.6937186000, 0.7296629000, 0.7964133000, 0.9211518000, 1.2306777000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015887100, 0.0050479900, 0.0160396000, 0.0509644000, 0.1619350000, 0.5145360000");
values("0.0766843000, 0.0801989000, 0.0899554000, 0.1158205000, 0.1890230000, 0.4144321000, 1.1272705000", \
"0.0815329000, 0.0851001000, 0.0947761000, 0.1206416000, 0.1939330000, 0.4198064000, 1.1349165000", \
"0.0926256000, 0.0961906000, 0.1059665000, 0.1317210000, 0.2047044000, 0.4308927000, 1.1442847000", \
"0.1185782000, 0.1221283000, 0.1318177000, 0.1573357000, 0.2301930000, 0.4560837000, 1.1812580000", \
"0.1610377000, 0.1650624000, 0.1757984000, 0.2021894000, 0.2748573000, 0.5002060000, 1.2139014000", \
"0.2117351000, 0.2171271000, 0.2307981000, 0.2602074000, 0.3340081000, 0.5587384000, 1.2748960000", \
"0.2556143000, 0.2628765000, 0.2811337000, 0.3187899000, 0.3978068000, 0.6220622000, 1.3330393000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015887100, 0.0050479900, 0.0160396000, 0.0509644000, 0.1619350000, 0.5145360000");
values("0.0382047000, 0.0408590000, 0.0479365000, 0.0652887000, 0.1050214000, 0.2226141000, 0.6099038000", \
"0.0383267000, 0.0406863000, 0.0481449000, 0.0653628000, 0.1058043000, 0.2230118000, 0.6105391000", \
"0.0382338000, 0.0409847000, 0.0480260000, 0.0644885000, 0.1057845000, 0.2229854000, 0.6095013000", \
"0.0383132000, 0.0406645000, 0.0477107000, 0.0645892000, 0.1068408000, 0.2227683000, 0.6100032000", \
"0.0382028000, 0.0410356000, 0.0482171000, 0.0651870000, 0.1066169000, 0.2226540000, 0.6101659000", \
"0.0477268000, 0.0505309000, 0.0576597000, 0.0734782000, 0.1115982000, 0.2247476000, 0.6100723000", \
"0.0709782000, 0.0738544000, 0.0819397000, 0.1005786000, 0.1384347000, 0.2446590000, 0.6169061000");
}
related_pin : "B";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015887100, 0.0050479900, 0.0160396000, 0.0509644000, 0.1619350000, 0.5145360000");
values("0.0202628000, 0.0233756000, 0.0328938000, 0.0627924000, 0.1618441000, 0.4825502000, 1.5002392000", \
"0.0202157000, 0.0232617000, 0.0328277000, 0.0627250000, 0.1617813000, 0.4840375000, 1.5082426000", \
"0.0203363000, 0.0233693000, 0.0328515000, 0.0628739000, 0.1621113000, 0.4858338000, 1.5028366000", \
"0.0208050000, 0.0239239000, 0.0332998000, 0.0630843000, 0.1621112000, 0.4825753000, 1.5028541000", \
"0.0262351000, 0.0293671000, 0.0378597000, 0.0657330000, 0.1623505000, 0.4834794000, 1.5009581000", \
"0.0368830000, 0.0401949000, 0.0491770000, 0.0745705000, 0.1656782000, 0.4823836000, 1.5040700000", \
"0.0538846000, 0.0577029000, 0.0684114000, 0.0939876000, 0.1748017000, 0.4845890000, 1.4987890000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
/* removed sky130_fd_sc_hd__or2b_1 */
/* removed sky130_fd_sc_hd__or2b_2 */
/* removed sky130_fd_sc_hd__or2b_4 */
/* removed sky130_fd_sc_hd__or3_1 */
/* removed sky130_fd_sc_hd__or3_2 */
cell ("sky130_fd_sc_hd__or3_4") {
leakage_power () {
value : 0.0040967000;
when : "!A&!B&C";
}
leakage_power () {
value : 0.0046321000;
when : "!A&!B&!C";
}
leakage_power () {
value : 0.0020287000;
when : "!A&B&C";
}
leakage_power () {
value : 0.0024994000;
when : "!A&B&!C";
}
leakage_power () {
value : 0.0020171000;
when : "A&!B&C";
}
leakage_power () {
value : 0.0023608000;
when : "A&!B&!C";
}
leakage_power () {
value : 0.0019971000;
when : "A&B&C";
}
leakage_power () {
value : 0.0020186000;
when : "A&B&!C";
}
area : 11.260800000;
cell_footprint : "sky130_fd_sc_hd__or3";
cell_leakage_power : 0.0027063310;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0024030000;
clock : "false";
direction : "input";
fall_capacitance : 0.0022620000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0038554000, 0.0038459000, 0.0038240000, 0.0038266000, 0.0038327000, 0.0038468000, 0.0038794000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.003301300, -0.003331300, -0.003400400, -0.003415100, -0.003448900, -0.003526800, -0.003706600");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0025440000;
}
pin ("B") {
capacitance : 0.0023510000;
clock : "false";
direction : "input";
fall_capacitance : 0.0021930000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0037651000, 0.0037661000, 0.0037684000, 0.0037708000, 0.0037762000, 0.0037887000, 0.0038176000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.003286600, -0.003375600, -0.003580800, -0.003587200, -0.003601900, -0.003635700, -0.003713800");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0025090000;
}
pin ("C") {
capacitance : 0.0023010000;
clock : "false";
direction : "input";
fall_capacitance : 0.0021110000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0025669000, 0.0025655000, 0.0025623000, 0.0025698000, 0.0025871000, 0.0026271000, 0.0027193000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.001724100, -0.001719200, -0.001708100, -0.001710800, -0.001717100, -0.001731500, -0.001764900");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0024920000;
}
pin ("X") {
direction : "output";
function : "(A) | (B) | (C)";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015975370, 0.0051042490, 0.0163084500, 0.0521067100, 0.1664848000, 0.5319312000");
values("0.0255141000, 0.0235106000, 0.0176216000, 0.0013860000, -0.052050500, -0.233636200, -0.824800700", \
"0.0254915000, 0.0234275000, 0.0175912000, 0.0013077000, -0.052137200, -0.233708400, -0.824958600", \
"0.0251932000, 0.0235843000, 0.0172059000, 0.0008973000, -0.052526200, -0.233978500, -0.825138000", \
"0.0249575000, 0.0228715000, 0.0170033000, 0.0007651000, -0.052754600, -0.234274100, -0.825371000", \
"0.0247337000, 0.0226569000, 0.0168143000, 0.0007278000, -0.052906300, -0.234418400, -0.825450900", \
"0.0247041000, 0.0226766000, 0.0168149000, 0.0006638000, -0.053054200, -0.234611300, -0.825642900", \
"0.0317538000, 0.0295077000, 0.0230163000, 0.0040802000, -0.053828400, -0.234674400, -0.825591500");
}
related_pin : "A";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015975370, 0.0051042490, 0.0163084500, 0.0521067100, 0.1664848000, 0.5319312000");
values("0.0299347000, 0.0319496000, 0.0379576000, 0.0567263000, 0.1149203000, 0.2987714000, 0.8830631000", \
"0.0299204000, 0.0318373000, 0.0378169000, 0.0566522000, 0.1149092000, 0.2982869000, 0.8873712000", \
"0.0297922000, 0.0316950000, 0.0377833000, 0.0565876000, 0.1148425000, 0.2982241000, 0.8840089000", \
"0.0299190000, 0.0318942000, 0.0378799000, 0.0565631000, 0.1147243000, 0.2980506000, 0.8834338000", \
"0.0298831000, 0.0317320000, 0.0376445000, 0.0561016000, 0.1137406000, 0.2978539000, 0.8871875000", \
"0.0311214000, 0.0329043000, 0.0385587000, 0.0568393000, 0.1137600000, 0.2970050000, 0.8836660000", \
"0.0326205000, 0.0342938000, 0.0398850000, 0.0578694000, 0.1148949000, 0.2985944000, 0.8820063000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015975370, 0.0051042490, 0.0163084500, 0.0521067100, 0.1664848000, 0.5319312000");
values("0.0232521000, 0.0211033000, 0.0152832000, -0.000531500, -0.054069900, -0.235814800, -0.826810800", \
"0.0230542000, 0.0209961000, 0.0151498000, -0.000677200, -0.054170000, -0.235945500, -0.826952200", \
"0.0228477000, 0.0208783000, 0.0149655000, -0.001270800, -0.054693600, -0.236194800, -0.827243900", \
"0.0226742000, 0.0205921000, 0.0147287000, -0.001587000, -0.054755700, -0.236406200, -0.827317200", \
"0.0225760000, 0.0205138000, 0.0146485000, -0.001613800, -0.055145900, -0.236637500, -0.827515100", \
"0.0226005000, 0.0205096000, 0.0146025000, -0.001741400, -0.055311000, -0.236830200, -0.827757500", \
"0.0294169000, 0.0272438000, 0.0205255000, 0.0014032000, -0.055912000, -0.235835700, -0.827042600");
}
related_pin : "B";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015975370, 0.0051042490, 0.0163084500, 0.0521067100, 0.1664848000, 0.5319312000");
values("0.0290379000, 0.0309777000, 0.0369958000, 0.0559287000, 0.1146797000, 0.2986661000, 0.8850506000", \
"0.0290749000, 0.0310054000, 0.0369980000, 0.0560052000, 0.1147260000, 0.2991859000, 0.8883061000", \
"0.0291216000, 0.0310460000, 0.0371236000, 0.0561110000, 0.1147119000, 0.2992451000, 0.8884353000", \
"0.0290397000, 0.0310235000, 0.0370383000, 0.0558330000, 0.1143924000, 0.2989365000, 0.8881638000", \
"0.0289711000, 0.0308378000, 0.0366287000, 0.0550456000, 0.1134956000, 0.2978762000, 0.8845201000", \
"0.0297850000, 0.0315699000, 0.0371783000, 0.0554714000, 0.1129293000, 0.2966984000, 0.8827160000", \
"0.0313511000, 0.0330393000, 0.0385785000, 0.0564306000, 0.1143053000, 0.2982789000, 0.8805977000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015975370, 0.0051042490, 0.0163084500, 0.0521067100, 0.1664848000, 0.5319312000");
values("0.0222697000, 0.0202153000, 0.0144059000, -0.001967800, -0.055211900, -0.236593500, -0.827641000", \
"0.0221959000, 0.0200996000, 0.0142032000, -0.001817500, -0.055258300, -0.236817800, -0.827724000", \
"0.0220395000, 0.0199494000, 0.0140801000, -0.002204900, -0.055688800, -0.237121100, -0.828055200", \
"0.0217318000, 0.0197499000, 0.0139547000, -0.002216300, -0.055810800, -0.237287200, -0.828232100", \
"0.0215261000, 0.0194354000, 0.0135845000, -0.002592700, -0.056190800, -0.237453600, -0.828286500", \
"0.0220775000, 0.0199806000, 0.0140027000, -0.001444300, -0.055399300, -0.237362400, -0.828300200", \
"0.0321245000, 0.0298909000, 0.0230514000, 0.0034339000, -0.054364100, -0.235821900, -0.826997700");
}
related_pin : "C";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015975370, 0.0051042490, 0.0163084500, 0.0521067100, 0.1664848000, 0.5319312000");
values("0.0257994000, 0.0277347000, 0.0338848000, 0.0527346000, 0.1115687000, 0.2958618000, 0.8824956000", \
"0.0257490000, 0.0277040000, 0.0338206000, 0.0527317000, 0.1115235000, 0.2945015000, 0.8820683000", \
"0.0256538000, 0.0276162000, 0.0337165000, 0.0527662000, 0.1114048000, 0.2957524000, 0.8777199000", \
"0.0256158000, 0.0275224000, 0.0336305000, 0.0524429000, 0.1109451000, 0.2953697000, 0.8823388000", \
"0.0256737000, 0.0275188000, 0.0334146000, 0.0516441000, 0.1099686000, 0.2945569000, 0.8825168000", \
"0.0265424000, 0.0284621000, 0.0341784000, 0.0524853000, 0.1094272000, 0.2933500000, 0.8802382000", \
"0.0279431000, 0.0295961000, 0.0351437000, 0.0531570000, 0.1115391000, 0.2941553000, 0.8817169000");
}
}
max_capacitance : 0.5319310000;
max_transition : 1.5070720000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
values("0.3459759000, 0.3519301000, 0.3672774000, 0.4013956000, 0.4689475000, 0.6050974000, 0.9332050000", \
"0.3504629000, 0.3564133000, 0.3716655000, 0.4059412000, 0.4735529000, 0.6093772000, 0.9376722000", \
"0.3619217000, 0.3678751000, 0.3831757000, 0.4172194000, 0.4849762000, 0.6210951000, 0.9491448000", \
"0.3879018000, 0.3937147000, 0.4091606000, 0.4431471000, 0.5108351000, 0.6470487000, 0.9751912000", \
"0.4450830000, 0.4508968000, 0.4662083000, 0.5000853000, 0.5674502000, 0.7041038000, 1.0321195000", \
"0.5702332000, 0.5761056000, 0.5914033000, 0.6252407000, 0.6928736000, 0.8297968000, 1.1573101000", \
"0.8066398000, 0.8132645000, 0.8303217000, 0.8678373000, 0.9427552000, 1.0862934000, 1.4184540000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
values("0.0842214000, 0.0878244000, 0.0975802000, 0.1230933000, 0.1953703000, 0.4192494000, 1.1307968000", \
"0.0887973000, 0.0924025000, 0.1020998000, 0.1276477000, 0.1998561000, 0.4244325000, 1.1389891000", \
"0.0998479000, 0.1034357000, 0.1132139000, 0.1387216000, 0.2108926000, 0.4350826000, 1.1503253000", \
"0.1258950000, 0.1294419000, 0.1391071000, 0.1643502000, 0.2362366000, 0.4609243000, 1.1757864000", \
"0.1727291000, 0.1767696000, 0.1872393000, 0.2132203000, 0.2853855000, 0.5088492000, 1.2232134000", \
"0.2290893000, 0.2343430000, 0.2472672000, 0.2764051000, 0.3492003000, 0.5730623000, 1.2861322000", \
"0.2759523000, 0.2829448000, 0.3004853000, 0.3374520000, 0.4146551000, 0.6374002000, 1.3480580000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
values("0.0554249000, 0.0586787000, 0.0673611000, 0.0880915000, 0.1366495000, 0.2580715000, 0.6385142000", \
"0.0553418000, 0.0582554000, 0.0673455000, 0.0885551000, 0.1349636000, 0.2581423000, 0.6372824000", \
"0.0553636000, 0.0583989000, 0.0674031000, 0.0889105000, 0.1355402000, 0.2581226000, 0.6383712000", \
"0.0553997000, 0.0583414000, 0.0670513000, 0.0877747000, 0.1362831000, 0.2580008000, 0.6382292000", \
"0.0551651000, 0.0583483000, 0.0673033000, 0.0882174000, 0.1357026000, 0.2577308000, 0.6389781000", \
"0.0570047000, 0.0598744000, 0.0681769000, 0.0890612000, 0.1358157000, 0.2583084000, 0.6387924000", \
"0.0686798000, 0.0717879000, 0.0811113000, 0.1023196000, 0.1512418000, 0.2724840000, 0.6445931000");
}
related_pin : "A";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
values("0.0204332000, 0.0234761000, 0.0327853000, 0.0621686000, 0.1601252000, 0.4796596000, 1.4985264000", \
"0.0203640000, 0.0234207000, 0.0328129000, 0.0621878000, 0.1602102000, 0.4792802000, 1.5011704000", \
"0.0204560000, 0.0234420000, 0.0327490000, 0.0621000000, 0.1601910000, 0.4783828000, 1.5010418000", \
"0.0203585000, 0.0233621000, 0.0326725000, 0.0619858000, 0.1601535000, 0.4793868000, 1.4991167000", \
"0.0250410000, 0.0278217000, 0.0364804000, 0.0641209000, 0.1605244000, 0.4791870000, 1.5020035000", \
"0.0346662000, 0.0377596000, 0.0459025000, 0.0715005000, 0.1629469000, 0.4789252000, 1.5017667000", \
"0.0494961000, 0.0536105000, 0.0643422000, 0.0886908000, 0.1709363000, 0.4820537000, 1.4983637000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
values("0.3289307000, 0.3347550000, 0.3501545000, 0.3840742000, 0.4517262000, 0.5878463000, 0.9158468000", \
"0.3325248000, 0.3383526000, 0.3537816000, 0.3877642000, 0.4554525000, 0.5915065000, 0.9195666000", \
"0.3429934000, 0.3489844000, 0.3642702000, 0.3983222000, 0.4659464000, 0.6021949000, 0.9303607000", \
"0.3684825000, 0.3742925000, 0.3896261000, 0.4237173000, 0.4913384000, 0.6280371000, 0.9554376000", \
"0.4292613000, 0.4351625000, 0.4504693000, 0.4845531000, 0.5517768000, 0.6884379000, 1.0168567000", \
"0.5692631000, 0.5751594000, 0.5906133000, 0.6247841000, 0.6926189000, 0.8294604000, 1.1569316000", \
"0.8361279000, 0.8427015000, 0.8600776000, 0.8987137000, 0.9737414000, 1.1182566000, 1.4503452000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
values("0.0839679000, 0.0875375000, 0.0972925000, 0.1229211000, 0.1954485000, 0.4196942000, 1.1327953000", \
"0.0885846000, 0.0921888000, 0.1019298000, 0.1276231000, 0.2000398000, 0.4243317000, 1.1372094000", \
"0.0995256000, 0.1031338000, 0.1129555000, 0.1386163000, 0.2109576000, 0.4352364000, 1.1480996000", \
"0.1255216000, 0.1290965000, 0.1388406000, 0.1642785000, 0.2364704000, 0.4611993000, 1.1751685000", \
"0.1708538000, 0.1749512000, 0.1852745000, 0.2118014000, 0.2840981000, 0.5084814000, 1.2220005000", \
"0.2249340000, 0.2302365000, 0.2434590000, 0.2730581000, 0.3463367000, 0.5706722000, 1.2838133000", \
"0.2686359000, 0.2757412000, 0.2936942000, 0.3308283000, 0.4091496000, 0.6320571000, 1.3436704000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
values("0.0553561000, 0.0583394000, 0.0672179000, 0.0881909000, 0.1359003000, 0.2580871000, 0.6390817000", \
"0.0554173000, 0.0583171000, 0.0671624000, 0.0880688000, 0.1353739000, 0.2580486000, 0.6391747000", \
"0.0554389000, 0.0587904000, 0.0673590000, 0.0879570000, 0.1366249000, 0.2580341000, 0.6384760000", \
"0.0553765000, 0.0583407000, 0.0673072000, 0.0888864000, 0.1349998000, 0.2575922000, 0.6386908000", \
"0.0552410000, 0.0584023000, 0.0673554000, 0.0882764000, 0.1367096000, 0.2576012000, 0.6377047000", \
"0.0569508000, 0.0601268000, 0.0685765000, 0.0887852000, 0.1357136000, 0.2577085000, 0.6389919000", \
"0.0736922000, 0.0761565000, 0.0858827000, 0.1067121000, 0.1534132000, 0.2718409000, 0.6459367000");
}
related_pin : "B";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
values("0.0201870000, 0.0232659000, 0.0325774000, 0.0618147000, 0.1599399000, 0.4795678000, 1.5026096000", \
"0.0201994000, 0.0232222000, 0.0326083000, 0.0618511000, 0.1597870000, 0.4800760000, 1.5018807000", \
"0.0202390000, 0.0232845000, 0.0325571000, 0.0617876000, 0.1597658000, 0.4798605000, 1.5014510000", \
"0.0203197000, 0.0233630000, 0.0325951000, 0.0618945000, 0.1597921000, 0.4800952000, 1.5036409000", \
"0.0250800000, 0.0280257000, 0.0370800000, 0.0645286000, 0.1600262000, 0.4794346000, 1.5025242000", \
"0.0349193000, 0.0383346000, 0.0467592000, 0.0722114000, 0.1627270000, 0.4778110000, 1.4979511000", \
"0.0509912000, 0.0550556000, 0.0656038000, 0.0911762000, 0.1722037000, 0.4813135000, 1.4986000000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
values("0.2949677000, 0.3008004000, 0.3159917000, 0.3503244000, 0.4181522000, 0.5539835000, 0.8822564000", \
"0.2976156000, 0.3034358000, 0.3188829000, 0.3528645000, 0.4205396000, 0.5572641000, 0.8847942000", \
"0.3055687000, 0.3113421000, 0.3267971000, 0.3609155000, 0.4286358000, 0.5654451000, 0.8929234000", \
"0.3286381000, 0.3344736000, 0.3499053000, 0.3839359000, 0.4516909000, 0.5884265000, 0.9155640000", \
"0.3888136000, 0.3946206000, 0.4100035000, 0.4440982000, 0.5116114000, 0.6481958000, 0.9764881000", \
"0.5324228000, 0.5383110000, 0.5535152000, 0.5876579000, 0.6550555000, 0.7904822000, 1.1186873000", \
"0.7913129000, 0.7985643000, 0.8166291000, 0.8577409000, 0.9345733000, 1.0786332000, 1.4100765000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
values("0.0804629000, 0.0841171000, 0.0940829000, 0.1198769000, 0.1924399000, 0.4172655000, 1.1337414000", \
"0.0853003000, 0.0889518000, 0.0989196000, 0.1247174000, 0.1973201000, 0.4220023000, 1.1376146000", \
"0.0967231000, 0.1003732000, 0.1103344000, 0.1362267000, 0.2087456000, 0.4339745000, 1.1453045000", \
"0.1228214000, 0.1264381000, 0.1363085000, 0.1619038000, 0.2343577000, 0.4603581000, 1.1704490000", \
"0.1675030000, 0.1717195000, 0.1826026000, 0.2092410000, 0.2817608000, 0.5065109000, 1.2238187000", \
"0.2215470000, 0.2270924000, 0.2411013000, 0.2713802000, 0.3446588000, 0.5681314000, 1.2850137000", \
"0.2690808000, 0.2764007000, 0.2951617000, 0.3337575000, 0.4140073000, 0.6378605000, 1.3474812000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
values("0.0554015000, 0.0583384000, 0.0674927000, 0.0889060000, 0.1351814000, 0.2580363000, 0.6375613000", \
"0.0554124000, 0.0583248000, 0.0671233000, 0.0892245000, 0.1348807000, 0.2575456000, 0.6391707000", \
"0.0552789000, 0.0582638000, 0.0673539000, 0.0878847000, 0.1350002000, 0.2576948000, 0.6385562000", \
"0.0552144000, 0.0582066000, 0.0673036000, 0.0890417000, 0.1354705000, 0.2577838000, 0.6391940000", \
"0.0554137000, 0.0583199000, 0.0671738000, 0.0887097000, 0.1350381000, 0.2572246000, 0.6384019000", \
"0.0575518000, 0.0605989000, 0.0687883000, 0.0894113000, 0.1375733000, 0.2593991000, 0.6384021000", \
"0.0826437000, 0.0855698000, 0.0947989000, 0.1174094000, 0.1607158000, 0.2763350000, 0.6467580000");
}
related_pin : "C";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000");
values("0.0204222000, 0.0234652000, 0.0327905000, 0.0621264000, 0.1596693000, 0.4796953000, 1.5059775000", \
"0.0204284000, 0.0235562000, 0.0328111000, 0.0620892000, 0.1599868000, 0.4786538000, 1.5015917000", \
"0.0204285000, 0.0234938000, 0.0328243000, 0.0620479000, 0.1599879000, 0.4806552000, 1.4971254000", \
"0.0207910000, 0.0237940000, 0.0330911000, 0.0622801000, 0.1599425000, 0.4807758000, 1.5010900000", \
"0.0261740000, 0.0290487000, 0.0377731000, 0.0652784000, 0.1603542000, 0.4801560000, 1.5070716000", \
"0.0367054000, 0.0399413000, 0.0493622000, 0.0740055000, 0.1635425000, 0.4788038000, 1.5046610000", \
"0.0535327000, 0.0581115000, 0.0687030000, 0.0944681000, 0.1736763000, 0.4813303000, 1.4973982000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
/* removed sky130_fd_sc_hd__or3b_1 */
/* removed sky130_fd_sc_hd__or3b_2 */
/* removed sky130_fd_sc_hd__or3b_4 */
/* removed sky130_fd_sc_hd__or4_1 */
/* removed sky130_fd_sc_hd__or4_2 */
cell ("sky130_fd_sc_hd__or4_4") {
leakage_power () {
value : 0.0040669000;
when : "!A&!B&!C&D";
}
leakage_power () {
value : 0.0059004000;
when : "!A&!B&!C&!D";
}
leakage_power () {
value : 0.0019956000;
when : "!A&!B&C&D";
}
leakage_power () {
value : 0.0024239000;
when : "!A&!B&C&!D";
}
leakage_power () {
value : 0.0019875000;
when : "!A&B&!C&D";
}
leakage_power () {
value : 0.0023181000;
when : "!A&B&!C&!D";
}
leakage_power () {
value : 0.0019676000;
when : "!A&B&C&D";
}
leakage_power () {
value : 0.0019889000;
when : "!A&B&C&!D";
}
leakage_power () {
value : 0.0019855000;
when : "A&!B&!C&D";
}
leakage_power () {
value : 0.0022894000;
when : "A&!B&!C&!D";
}
leakage_power () {
value : 0.0019669000;
when : "A&!B&C&D";
}
leakage_power () {
value : 0.0019870000;
when : "A&!B&C&!D";
}
leakage_power () {
value : 0.0019657000;
when : "A&B&!C&D";
}
leakage_power () {
value : 0.0019915000;
when : "A&B&!C&!D";
}
leakage_power () {
value : 0.0019594000;
when : "A&B&C&D";
}
leakage_power () {
value : 0.0019700000;
when : "A&B&C&!D";
}
area : 11.260800000;
cell_footprint : "sky130_fd_sc_hd__or4";
cell_leakage_power : 0.0024227670;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0023670000;
clock : "false";
direction : "input";
fall_capacitance : 0.0022400000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0039518000, 0.0039475000, 0.0039376000, 0.0039377000, 0.0039379000, 0.0039382000, 0.0039390000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.003309400, -0.003343200, -0.003421000, -0.003436800, -0.003473200, -0.003557100, -0.003750600");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0024930000;
}
pin ("B") {
capacitance : 0.0024510000;
clock : "false";
direction : "input";
fall_capacitance : 0.0023070000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0040186000, 0.0040153000, 0.0040077000, 0.0040091000, 0.0040126000, 0.0040205000, 0.0040387000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.003348100, -0.003388100, -0.003480200, -0.003498100, -0.003539300, -0.003634300, -0.003853300");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0025950000;
}
pin ("C") {
capacitance : 0.0024460000;
clock : "false";
direction : "input";
fall_capacitance : 0.0022660000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0039025000, 0.0039009000, 0.0038971000, 0.0038971000, 0.0038971000, 0.0038970000, 0.0038968000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.003453500, -0.003528400, -0.003701000, -0.003710500, -0.003732600, -0.003783600, -0.003900900");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0026250000;
}
pin ("D") {
capacitance : 0.0023000000;
clock : "false";
direction : "input";
fall_capacitance : 0.0020960000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("0.0027252000, 0.0027229000, 0.0027176000, 0.0027245000, 0.0027405000, 0.0027774000, 0.0028624000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
values("-0.001701400, -0.001707500, -0.001721600, -0.001719700, -0.001715100, -0.001704700, -0.001680600");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0025030000;
}
pin ("X") {
direction : "output";
function : "(A) | (B) | (C) | (D)";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015989090, 0.0051130190, 0.0163505000, 0.0522859200, 0.1672008000, 0.5346778000");
values("0.0281561000, 0.0258964000, 0.0194889000, 0.0028612000, -0.051366200, -0.233357500, -0.827457000", \
"0.0279853000, 0.0260372000, 0.0199057000, 0.0028668000, -0.051556900, -0.233500000, -0.827545200", \
"0.0277519000, 0.0255106000, 0.0191379000, 0.0019609000, -0.052106300, -0.233580300, -0.827619500", \
"0.0275254000, 0.0253560000, 0.0189731000, 0.0017489000, -0.052336500, -0.233867100, -0.827809400", \
"0.0273720000, 0.0251337000, 0.0187386000, 0.0016520000, -0.052571800, -0.234077900, -0.827979900", \
"0.0272004000, 0.0250030000, 0.0185773000, 0.0016318000, -0.052675300, -0.234254000, -0.828176400", \
"0.0300004000, 0.0276878000, 0.0207812000, 0.0014401000, -0.052550200, -0.234194200, -0.828165600");
}
related_pin : "A";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015989090, 0.0051130190, 0.0163505000, 0.0522859200, 0.1672008000, 0.5346778000");
values("0.0301260000, 0.0320327000, 0.0382112000, 0.0570218000, 0.1155306000, 0.2997719000, 0.8919592000", \
"0.0300449000, 0.0319652000, 0.0381315000, 0.0569621000, 0.1154608000, 0.2995145000, 0.8918918000", \
"0.0299583000, 0.0319142000, 0.0380548000, 0.0569785000, 0.1154684000, 0.2996341000, 0.8878506000", \
"0.0300454000, 0.0319525000, 0.0380916000, 0.0569593000, 0.1154251000, 0.2993898000, 0.8907827000", \
"0.0303407000, 0.0322191000, 0.0381150000, 0.0567990000, 0.1147463000, 0.2991823000, 0.8870623000", \
"0.0316833000, 0.0334555000, 0.0391418000, 0.0572759000, 0.1149322000, 0.2988419000, 0.8917020000", \
"0.0339688000, 0.0356818000, 0.0412768000, 0.0590525000, 0.1162392000, 0.3005466000, 0.8868471000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015989090, 0.0051130190, 0.0163505000, 0.0522859200, 0.1672008000, 0.5346778000");
values("0.0257908000, 0.0235337000, 0.0171833000, 2.360000e-05, -0.053803800, -0.235620700, -0.829523200", \
"0.0256845000, 0.0234661000, 0.0170554000, 3.930000e-05, -0.054147800, -0.235634300, -0.829490900", \
"0.0254924000, 0.0232151000, 0.0168632000, -0.000264000, -0.054450400, -0.235855800, -0.829637500", \
"0.0254946000, 0.0232718000, 0.0166856000, -0.000532400, -0.054283600, -0.236089800, -0.829846700", \
"0.0251463000, 0.0229334000, 0.0167290000, -0.000646100, -0.054688600, -0.236263300, -0.830120600", \
"0.0250672000, 0.0228831000, 0.0165079000, -0.000657800, -0.054976900, -0.236561800, -0.830304500", \
"0.0272180000, 0.0248852000, 0.0180480000, -0.000665500, -0.055003700, -0.236213800, -0.830103700");
}
related_pin : "B";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015989090, 0.0051130190, 0.0163505000, 0.0522859200, 0.1672008000, 0.5346778000");
values("0.0295149000, 0.0314358000, 0.0375994000, 0.0565027000, 0.1155496000, 0.3006517000, 0.8879528000", \
"0.0294803000, 0.0314377000, 0.0374899000, 0.0566443000, 0.1156075000, 0.3003705000, 0.8930446000", \
"0.0294624000, 0.0313724000, 0.0375183000, 0.0565743000, 0.1155166000, 0.3002252000, 0.8898807000", \
"0.0296273000, 0.0315587000, 0.0376343000, 0.0565269000, 0.1152537000, 0.2998312000, 0.8929266000", \
"0.0294528000, 0.0313574000, 0.0372796000, 0.0558767000, 0.1143629000, 0.3000185000, 0.8926754000", \
"0.0309538000, 0.0327268000, 0.0384004000, 0.0567040000, 0.1140024000, 0.2986259000, 0.8881437000", \
"0.0323164000, 0.0339692000, 0.0395959000, 0.0574653000, 0.1153879000, 0.3001500000, 0.8873850000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015989090, 0.0051130190, 0.0163505000, 0.0522859200, 0.1672008000, 0.5346778000");
values("0.0238491000, 0.0216851000, 0.0152488000, -0.001934300, -0.055986100, -0.237295400, -0.831018200", \
"0.0237788000, 0.0215888000, 0.0151598000, -0.001926300, -0.056094400, -0.237394500, -0.831122900", \
"0.0236100000, 0.0213929000, 0.0149644000, -0.002120600, -0.056287900, -0.237583100, -0.831299000", \
"0.0233569000, 0.0210661000, 0.0147333000, -0.002230100, -0.056396900, -0.237870200, -0.831531100", \
"0.0232301000, 0.0209007000, 0.0145104000, -0.002119600, -0.056449900, -0.238140000, -0.831841900", \
"0.0233786000, 0.0210886000, 0.0147123000, -0.002468800, -0.056737600, -0.238339100, -0.832064700", \
"0.0254079000, 0.0230868000, 0.0161443000, -0.002347600, -0.056792900, -0.238374200, -0.831431900");
}
related_pin : "C";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015989090, 0.0051130190, 0.0163505000, 0.0522859200, 0.1672008000, 0.5346778000");
values("0.0284754000, 0.0304019000, 0.0365329000, 0.0554128000, 0.1143389000, 0.2993861000, 0.8916833000", \
"0.0285399000, 0.0304594000, 0.0366037000, 0.0554966000, 0.1143525000, 0.2992063000, 0.8885622000", \
"0.0285983000, 0.0305269000, 0.0366003000, 0.0556402000, 0.1145254000, 0.2996357000, 0.8920419000", \
"0.0286762000, 0.0305737000, 0.0366257000, 0.0554808000, 0.1141634000, 0.2992072000, 0.8869599000", \
"0.0287702000, 0.0306153000, 0.0365412000, 0.0548548000, 0.1134861000, 0.2985847000, 0.8883737000", \
"0.0298474000, 0.0316160000, 0.0372719000, 0.0555087000, 0.1132057000, 0.2971369000, 0.8911575000", \
"0.0309319000, 0.0326170000, 0.0381467000, 0.0560190000, 0.1139959000, 0.2981307000, 0.8888828000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015989090, 0.0051130190, 0.0163505000, 0.0522859200, 0.1672008000, 0.5346778000");
values("0.0228270000, 0.0205962000, 0.0143258000, -0.002766500, -0.056954800, -0.238389800, -0.832015800", \
"0.0226854000, 0.0204672000, 0.0140755000, -0.003051700, -0.057203100, -0.238515400, -0.832005900", \
"0.0223535000, 0.0201483000, 0.0138138000, -0.003379000, -0.057331200, -0.238736000, -0.832406300", \
"0.0222538000, 0.0200129000, 0.0136475000, -0.003483200, -0.057655200, -0.239001300, -0.832454400", \
"0.0219723000, 0.0197989000, 0.0133941000, -0.003687400, -0.057869600, -0.239219300, -0.832807900", \
"0.0223065000, 0.0200925000, 0.0136969000, -0.003145800, -0.057488100, -0.238996700, -0.832662200", \
"0.0290203000, 0.0265881000, 0.0194381000, -0.000584800, -0.056388500, -0.238071000, -0.831623100");
}
related_pin : "D";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0015989090, 0.0051130190, 0.0163505000, 0.0522859200, 0.1672008000, 0.5346778000");
values("0.0250182000, 0.0269475000, 0.0329997000, 0.0520989000, 0.1112281000, 0.2963141000, 0.8897799000", \
"0.0249971000, 0.0269450000, 0.0330643000, 0.0522537000, 0.1111654000, 0.2964007000, 0.8827494000", \
"0.0249411000, 0.0268849000, 0.0329996000, 0.0521537000, 0.1111267000, 0.2958377000, 0.8866489000", \
"0.0249543000, 0.0268575000, 0.0329618000, 0.0518477000, 0.1106497000, 0.2957795000, 0.8830836000", \
"0.0249898000, 0.0268378000, 0.0326264000, 0.0510261000, 0.1096715000, 0.2947796000, 0.8862220000", \
"0.0257524000, 0.0275208000, 0.0332088000, 0.0518321000, 0.1096442000, 0.2929823000, 0.8850457000", \
"0.0274773000, 0.0289880000, 0.0345559000, 0.0522320000, 0.1103551000, 0.2947956000, 0.8820250000");
}
}
max_capacitance : 0.5346780000;
max_transition : 1.5078210000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000");
values("0.4914132000, 0.4982088000, 0.5162480000, 0.5564371000, 0.6363137000, 0.7936776000, 1.1470217000", \
"0.4954120000, 0.5022173000, 0.5203575000, 0.5605744000, 0.6407498000, 0.7976570000, 1.1511713000", \
"0.5061124000, 0.5130667000, 0.5305983000, 0.5711051000, 0.6511199000, 0.8078365000, 1.1621484000", \
"0.5314365000, 0.5384135000, 0.5563630000, 0.5962234000, 0.6761890000, 0.8328743000, 1.1872786000", \
"0.5873011000, 0.5941201000, 0.6115942000, 0.6520635000, 0.7318983000, 0.8887763000, 1.2432230000", \
"0.7038614000, 0.7106193000, 0.7283524000, 0.7692376000, 0.8495202000, 1.0071419000, 1.3610394000", \
"0.9354281000, 0.9425728000, 0.9609944000, 1.0037359000, 1.0865630000, 1.2485422000, 1.6055099000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000");
values("0.0876665000, 0.0914850000, 0.1018409000, 0.1282909000, 0.2016966000, 0.4268333000, 1.1413070000", \
"0.0922595000, 0.0960948000, 0.1064385000, 0.1328672000, 0.2062900000, 0.4314621000, 1.1452620000", \
"0.1032796000, 0.1071288000, 0.1174100000, 0.1438671000, 0.2173836000, 0.4424535000, 1.1548764000", \
"0.1300736000, 0.1338521000, 0.1440402000, 0.1701876000, 0.2427525000, 0.4678474000, 1.1817560000", \
"0.1793437000, 0.1835504000, 0.1943527000, 0.2211278000, 0.2937061000, 0.5187229000, 1.2318099000", \
"0.2397252000, 0.2451299000, 0.2585070000, 0.2876349000, 0.3612992000, 0.5851170000, 1.3005148000", \
"0.2947162000, 0.3017531000, 0.3194419000, 0.3567017000, 0.4349419000, 0.6586778000, 1.3688634000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000");
values("0.0721265000, 0.0752971000, 0.0854764000, 0.1097659000, 0.1646583000, 0.2931584000, 0.6781201000", \
"0.0721038000, 0.0756131000, 0.0860699000, 0.1107579000, 0.1636138000, 0.2946543000, 0.6782400000", \
"0.0722579000, 0.0759717000, 0.0854235000, 0.1094144000, 0.1644540000, 0.2957461000, 0.6784009000", \
"0.0722971000, 0.0760519000, 0.0858136000, 0.1093954000, 0.1648248000, 0.2958235000, 0.6776397000", \
"0.0723350000, 0.0760569000, 0.0856061000, 0.1094786000, 0.1631982000, 0.2956620000, 0.6769875000", \
"0.0720267000, 0.0757059000, 0.0854246000, 0.1106935000, 0.1647953000, 0.2943387000, 0.6768753000", \
"0.0808025000, 0.0844916000, 0.0944700000, 0.1190932000, 0.1755423000, 0.3006082000, 0.6797883000");
}
related_pin : "A";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000");
values("0.0218532000, 0.0249930000, 0.0345172000, 0.0642888000, 0.1619624000, 0.4801233000, 1.5016410000", \
"0.0217560000, 0.0248926000, 0.0344779000, 0.0642158000, 0.1619003000, 0.4800937000, 1.4986263000", \
"0.0216805000, 0.0248891000, 0.0343955000, 0.0640968000, 0.1615609000, 0.4791532000, 1.5002369000", \
"0.0213129000, 0.0245416000, 0.0341004000, 0.0637475000, 0.1613394000, 0.4800055000, 1.4996466000", \
"0.0258693000, 0.0289207000, 0.0377112000, 0.0654402000, 0.1612808000, 0.4803584000, 1.4972457000", \
"0.0351003000, 0.0382410000, 0.0469625000, 0.0731255000, 0.1638551000, 0.4787382000, 1.5029858000", \
"0.0497124000, 0.0535986000, 0.0648038000, 0.0889062000, 0.1716188000, 0.4818649000, 1.4988587000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000");
values("0.4724322000, 0.4792221000, 0.4972090000, 0.5375278000, 0.6171499000, 0.7747223000, 1.1281616000", \
"0.4756401000, 0.4825544000, 0.5004768000, 0.5409160000, 0.6206838000, 0.7776795000, 1.1319068000", \
"0.4855485000, 0.4924666000, 0.5100228000, 0.5505307000, 0.6303847000, 0.7872357000, 1.1417696000", \
"0.5102955000, 0.5170872000, 0.5353725000, 0.5754005000, 0.6554365000, 0.8129576000, 1.1666070000", \
"0.5669324000, 0.5736043000, 0.5914942000, 0.6315348000, 0.7109291000, 0.8684277000, 1.2226873000", \
"0.6922470000, 0.6991123000, 0.7170506000, 0.7572592000, 0.8371817000, 0.9949090000, 1.3491634000", \
"0.9485567000, 0.9557439000, 0.9757921000, 1.0186871000, 1.1026913000, 1.2646244000, 1.6222537000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000");
values("0.0867381000, 0.0904582000, 0.1005953000, 0.1266934000, 0.1997952000, 0.4241539000, 1.1369080000", \
"0.0913392000, 0.0950548000, 0.1051577000, 0.1313640000, 0.2043104000, 0.4293156000, 1.1444630000", \
"0.1022697000, 0.1059879000, 0.1161163000, 0.1422317000, 0.2152844000, 0.4404405000, 1.1532491000", \
"0.1282260000, 0.1318990000, 0.1419221000, 0.1678094000, 0.2404795000, 0.4651073000, 1.1803655000", \
"0.1759205000, 0.1800426000, 0.1905849000, 0.2171874000, 0.2898969000, 0.5145707000, 1.2290472000", \
"0.2333694000, 0.2387717000, 0.2519710000, 0.2816094000, 0.3550474000, 0.5785067000, 1.2949590000", \
"0.2806537000, 0.2877081000, 0.3055773000, 0.3429197000, 0.4210527000, 0.6447772000, 1.3562133000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000");
values("0.0721369000, 0.0752950000, 0.0855465000, 0.1094088000, 0.1654661000, 0.2934692000, 0.6776344000", \
"0.0720191000, 0.0757554000, 0.0856911000, 0.1101784000, 0.1629585000, 0.2956226000, 0.6774825000", \
"0.0721390000, 0.0759190000, 0.0859097000, 0.1095660000, 0.1633346000, 0.2957425000, 0.6770353000", \
"0.0719774000, 0.0755703000, 0.0857557000, 0.1093914000, 0.1635911000, 0.2951396000, 0.6775303000", \
"0.0720147000, 0.0757951000, 0.0855107000, 0.1095179000, 0.1637763000, 0.2947341000, 0.6777080000", \
"0.0719245000, 0.0756796000, 0.0861399000, 0.1105288000, 0.1628068000, 0.2927503000, 0.6773420000", \
"0.0828268000, 0.0864246000, 0.0963311000, 0.1217217000, 0.1741559000, 0.3042412000, 0.6807104000");
}
related_pin : "B";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000");
values("0.0209719000, 0.0239567000, 0.0333849000, 0.0627871000, 0.1601547000, 0.4794958000, 1.4974526000", \
"0.0208369000, 0.0239364000, 0.0334700000, 0.0627568000, 0.1603059000, 0.4787872000, 1.5026667000", \
"0.0209663000, 0.0239782000, 0.0334066000, 0.0627015000, 0.1600573000, 0.4795613000, 1.5024837000", \
"0.0206374000, 0.0237975000, 0.0332682000, 0.0625345000, 0.1600028000, 0.4780420000, 1.5031244000", \
"0.0250284000, 0.0282485000, 0.0371115000, 0.0647583000, 0.1604680000, 0.4793601000, 1.5011046000", \
"0.0345356000, 0.0377455000, 0.0462755000, 0.0720021000, 0.1631732000, 0.4781063000, 1.4988718000", \
"0.0490917000, 0.0533878000, 0.0643977000, 0.0899277000, 0.1714147000, 0.4821970000, 1.4969771000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000");
values("0.4418807000, 0.4488891000, 0.4664235000, 0.5069158000, 0.5868996000, 0.7435859000, 1.0980891000", \
"0.4445943000, 0.4514996000, 0.4694387000, 0.5099116000, 0.5896360000, 0.7465351000, 1.1009655000", \
"0.4533574000, 0.4602407000, 0.4781372000, 0.5186041000, 0.5982890000, 0.7552363000, 1.1096412000", \
"0.4769170000, 0.4838238000, 0.5017543000, 0.5420148000, 0.6219139000, 0.7786352000, 1.1330378000", \
"0.5346943000, 0.5412298000, 0.5589479000, 0.5998075000, 0.6798167000, 0.8374552000, 1.1908099000", \
"0.6730183000, 0.6797946000, 0.6978303000, 0.7374207000, 0.8180894000, 0.9756824000, 1.3297131000", \
"0.9572609000, 0.9644725000, 0.9835041000, 1.0267118000, 1.1113941000, 1.2745849000, 1.6318085000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000");
values("0.0844826000, 0.0881436000, 0.0981324000, 0.1239143000, 0.1964714000, 0.4208459000, 1.1357778000", \
"0.0891848000, 0.0928407000, 0.1028475000, 0.1286490000, 0.2012214000, 0.4251366000, 1.1387719000", \
"0.1001732000, 0.1038482000, 0.1138331000, 0.1397212000, 0.2121873000, 0.4365779000, 1.1509407000", \
"0.1262631000, 0.1298458000, 0.1397633000, 0.1654756000, 0.2378105000, 0.4621777000, 1.1744404000", \
"0.1715578000, 0.1756967000, 0.1864958000, 0.2130282000, 0.2850936000, 0.5091467000, 1.2229444000", \
"0.2244281000, 0.2297898000, 0.2430995000, 0.2728755000, 0.3467281000, 0.5700772000, 1.2857582000", \
"0.2664264000, 0.2736608000, 0.2916754000, 0.3294091000, 0.4085970000, 0.6320247000, 1.3437592000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000");
values("0.0720153000, 0.0760472000, 0.0854036000, 0.1094325000, 0.1641890000, 0.2958080000, 0.6780149000", \
"0.0719680000, 0.0757111000, 0.0856800000, 0.1100532000, 0.1627886000, 0.2950385000, 0.6773994000", \
"0.0721150000, 0.0757134000, 0.0856817000, 0.1101191000, 0.1628393000, 0.2952348000, 0.6773969000", \
"0.0721861000, 0.0754861000, 0.0857704000, 0.1102934000, 0.1632075000, 0.2957077000, 0.6781329000", \
"0.0723980000, 0.0759748000, 0.0854999000, 0.1106629000, 0.1634653000, 0.2947326000, 0.6777718000", \
"0.0719725000, 0.0754802000, 0.0854916000, 0.1094973000, 0.1636278000, 0.2949587000, 0.6775374000", \
"0.0863607000, 0.0898707000, 0.0993048000, 0.1231514000, 0.1759940000, 0.3034848000, 0.6820781000");
}
related_pin : "C";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000");
values("0.0203705000, 0.0234009000, 0.0327803000, 0.0620360000, 0.1596959000, 0.4794524000, 1.5032330000", \
"0.0203720000, 0.0233747000, 0.0327970000, 0.0620747000, 0.1598071000, 0.4789732000, 1.5027922000", \
"0.0203728000, 0.0234620000, 0.0328390000, 0.0621247000, 0.1597114000, 0.4793249000, 1.5036045000", \
"0.0204061000, 0.0234869000, 0.0328737000, 0.0620540000, 0.1594867000, 0.4794112000, 1.4980129000", \
"0.0251062000, 0.0280091000, 0.0369603000, 0.0648657000, 0.1601632000, 0.4782964000, 1.5024892000", \
"0.0349741000, 0.0383373000, 0.0469247000, 0.0728376000, 0.1631816000, 0.4781155000, 1.5031505000", \
"0.0504795000, 0.0547223000, 0.0655039000, 0.0903282000, 0.1719642000, 0.4805662000, 1.4974287000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000");
values("0.3813238000, 0.3881033000, 0.4062667000, 0.4465796000, 0.5267912000, 0.6843936000, 1.0382832000", \
"0.3833307000, 0.3902084000, 0.4081976000, 0.4485751000, 0.5284352000, 0.6866759000, 1.0398002000", \
"0.3897890000, 0.3965730000, 0.4148154000, 0.4549935000, 0.5345916000, 0.6926517000, 1.0466294000", \
"0.4108263000, 0.4178054000, 0.4357883000, 0.4760966000, 0.5558218000, 0.7130652000, 1.0677543000", \
"0.4670594000, 0.4739400000, 0.4917197000, 0.5320892000, 0.6117828000, 0.7698807000, 1.1239542000", \
"0.6041318000, 0.6108469000, 0.6288502000, 0.6684924000, 0.7482291000, 0.9060322000, 1.2601723000", \
"0.8876311000, 0.8950318000, 0.9146594000, 0.9596105000, 1.0445348000, 1.2050720000, 1.5598055000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000");
values("0.0799526000, 0.0836222000, 0.0935958000, 0.1196012000, 0.1922097000, 0.4164080000, 1.1306661000", \
"0.0847993000, 0.0884987000, 0.0985258000, 0.1245399000, 0.1970194000, 0.4220985000, 1.1367322000", \
"0.0962979000, 0.0999738000, 0.1100090000, 0.1360098000, 0.2085645000, 0.4326670000, 1.1480622000", \
"0.1224688000, 0.1261138000, 0.1360443000, 0.1617455000, 0.2342534000, 0.4584973000, 1.1803181000", \
"0.1670537000, 0.1713336000, 0.1820017000, 0.2090197000, 0.2815883000, 0.5066764000, 1.2233594000", \
"0.2205325000, 0.2260931000, 0.2398993000, 0.2706881000, 0.3446589000, 0.5676691000, 1.2816701000", \
"0.2666100000, 0.2739894000, 0.2928676000, 0.3316845000, 0.4118550000, 0.6346407000, 1.3460347000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000");
values("0.0721094000, 0.0757485000, 0.0853991000, 0.1102182000, 0.1636287000, 0.2949277000, 0.6774472000", \
"0.0720850000, 0.0756534000, 0.0856010000, 0.1097430000, 0.1629011000, 0.2942364000, 0.6774201000", \
"0.0720454000, 0.0757922000, 0.0857076000, 0.1095061000, 0.1657032000, 0.2948487000, 0.6779049000", \
"0.0720805000, 0.0756803000, 0.0855245000, 0.1099386000, 0.1628697000, 0.2951759000, 0.6769116000", \
"0.0719738000, 0.0756657000, 0.0857907000, 0.1104909000, 0.1646554000, 0.2944696000, 0.6776833000", \
"0.0710425000, 0.0747836000, 0.0849714000, 0.1097349000, 0.1630538000, 0.2951388000, 0.6774531000", \
"0.0926359000, 0.0962525000, 0.1067757000, 0.1293265000, 0.1788368000, 0.3021770000, 0.6827988000");
}
related_pin : "D";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000");
values("0.0203235000, 0.0233212000, 0.0327923000, 0.0619983000, 0.1594258000, 0.4793169000, 1.5078210000", \
"0.0202687000, 0.0233601000, 0.0326964000, 0.0619609000, 0.1595193000, 0.4795909000, 1.5009630000", \
"0.0202888000, 0.0233818000, 0.0327412000, 0.0619775000, 0.1594910000, 0.4779732000, 1.5072819000", \
"0.0206923000, 0.0237199000, 0.0330189000, 0.0622141000, 0.1595768000, 0.4800960000, 1.5008944000", \
"0.0258873000, 0.0287249000, 0.0379360000, 0.0652505000, 0.1599714000, 0.4802740000, 1.5057989000", \
"0.0364676000, 0.0397831000, 0.0485324000, 0.0737051000, 0.1636386000, 0.4781420000, 1.5047640000", \
"0.0533065000, 0.0569287000, 0.0680990000, 0.0941629000, 0.1736471000, 0.4812970000, 1.4984752000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
/* removed sky130_fd_sc_hd__or4b_1 */
/* removed sky130_fd_sc_hd__or4b_2 */
/* removed sky130_fd_sc_hd__or4b_4 */
/* removed sky130_fd_sc_hd__or4bb_1 */
/* removed sky130_fd_sc_hd__or4bb_2 */
/* removed sky130_fd_sc_hd__or4bb_4 */
/* removed sky130_fd_sc_hd__probe_p_8 */
/* removed sky130_fd_sc_hd__probec_p_8 */
/* removed sky130_fd_sc_hd__sdfbbn_1 */
/* removed sky130_fd_sc_hd__sdfbbn_2 */
/* removed sky130_fd_sc_hd__sdfbbp_1 */
/* removed sky130_fd_sc_hd__sdfrbp_1 */
/* removed sky130_fd_sc_hd__sdfrbp_2 */
/* removed sky130_fd_sc_hd__sdfrtn_1 */
/* removed sky130_fd_sc_hd__sdfrtp_1 */
/* removed sky130_fd_sc_hd__sdfrtp_2 */
/* removed sky130_fd_sc_hd__sdfrtp_4 */
/* removed sky130_fd_sc_hd__sdfsbp_1 */
/* removed sky130_fd_sc_hd__sdfsbp_2 */
/* removed sky130_fd_sc_hd__sdfstp_1 */
/* removed sky130_fd_sc_hd__sdfstp_2 */
/* removed sky130_fd_sc_hd__sdfstp_4 */
/* removed sky130_fd_sc_hd__sdfxbp_1 */
/* removed sky130_fd_sc_hd__sdfxbp_2 */
/* removed sky130_fd_sc_hd__sdfxtp_1 */
/* removed sky130_fd_sc_hd__sdfxtp_2 */
/* removed sky130_fd_sc_hd__sdfxtp_4 */
/* removed sky130_fd_sc_hd__sdlclkp_1 */
/* removed sky130_fd_sc_hd__sdlclkp_2 */
/* removed sky130_fd_sc_hd__sdlclkp_4 */
/* removed sky130_fd_sc_hd__sedfxbp_1 */
/* removed sky130_fd_sc_hd__sedfxbp_2 */
/* removed sky130_fd_sc_hd__sedfxtp_1 */
/* removed sky130_fd_sc_hd__sedfxtp_2 */
/* removed sky130_fd_sc_hd__sedfxtp_4 */
/* removed sky130_fd_sc_hd__xnor2_1 */
/* removed sky130_fd_sc_hd__xnor2_2 */
cell ("sky130_fd_sc_hd__xnor2_4") {
leakage_power () {
value : 0.0124488000;
when : "!A&B";
}
leakage_power () {
value : 0.0030378000;
when : "!A&!B";
}
leakage_power () {
value : 0.0095704000;
when : "A&B";
}
leakage_power () {
value : 0.0114222000;
when : "A&!B";
}
area : 27.526400000;
cell_footprint : "sky130_fd_sc_hd__xnor2";
cell_leakage_power : 0.0091197900;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0167660000;
clock : "false";
direction : "input";
fall_capacitance : 0.0160650000;
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0174670000;
}
pin ("B") {
capacitance : 0.0159280000;
clock : "false";
direction : "input";
fall_capacitance : 0.0155930000;
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0162640000;
}
pin ("Y") {
direction : "output";
function : "(!A&!B) | (A&B)";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0013699110, 0.0037533110, 0.0102834000, 0.0281746900, 0.0771936200, 0.2114967000");
values("0.0861683000, 0.0849669000, 0.0817112000, 0.0723498000, 0.0448304000, -0.033528800, -0.250532500", \
"0.0854098000, 0.0842507000, 0.0809507000, 0.0715678000, 0.0440876000, -0.034265800, -0.251284500", \
"0.0844064000, 0.0832269000, 0.0799157000, 0.0705323000, 0.0430365000, -0.035263800, -0.252270800", \
"0.0834855000, 0.0822490000, 0.0789020000, 0.0694165000, 0.0418942000, -0.036253200, -0.252962400", \
"0.0828424000, 0.0815461000, 0.0780734000, 0.0683942000, 0.0408448000, -0.037150900, -0.253720000", \
"0.0829061000, 0.0815432000, 0.0778106000, 0.0672899000, 0.0419586000, -0.035929700, -0.252185000", \
"0.0899691000, 0.0885668000, 0.0846191000, 0.0742724000, 0.0454649000, -0.032752000, -0.246819900");
}
related_pin : "A";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0013699110, 0.0037533110, 0.0102834000, 0.0281746900, 0.0771936200, 0.2114967000");
values("0.0136436000, 0.0152459000, 0.0195099000, 0.0306936000, 0.0595276000, 0.1373701000, 0.3520755000", \
"0.0128029000, 0.0143906000, 0.0186987000, 0.0299152000, 0.0587407000, 0.1367737000, 0.3520425000", \
"0.0115224000, 0.0131076000, 0.0173700000, 0.0285636000, 0.0576689000, 0.1357751000, 0.3502504000", \
"0.0101932000, 0.0117577000, 0.0159615000, 0.0271519000, 0.0565577000, 0.1351076000, 0.3504466000", \
"0.0103902000, 0.0116827000, 0.0152402000, 0.0265135000, 0.0560448000, 0.1349531000, 0.3485163000", \
"0.0109148000, 0.0123474000, 0.0162744000, 0.0270687000, 0.0564115000, 0.1352957000, 0.3488588000", \
"0.0145992000, 0.0159890000, 0.0198998000, 0.0304659000, 0.0599496000, 0.1398034000, 0.3558579000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0013699110, 0.0037533110, 0.0102834000, 0.0281746900, 0.0771936200, 0.2114967000");
values("0.0790930000, 0.0775545000, 0.0733835000, 0.0621171000, 0.0316626000, -0.049392500, -0.268064900", \
"0.0780305000, 0.0765009000, 0.0723421000, 0.0611166000, 0.0307753000, -0.050350100, -0.268843700", \
"0.0765652000, 0.0750929000, 0.0709932000, 0.0597613000, 0.0295752000, -0.051381100, -0.270117500", \
"0.0750556000, 0.0735685000, 0.0695593000, 0.0585215000, 0.0286677000, -0.052265000, -0.271017000", \
"0.0742472000, 0.0728281000, 0.0688480000, 0.0580302000, 0.0285942000, -0.051848600, -0.270807100", \
"0.0734923000, 0.0720580000, 0.0681685000, 0.0574827000, 0.0305907000, -0.049193100, -0.267955300", \
"0.0803848000, 0.0790098000, 0.0750520000, 0.0646781000, 0.0355196000, -0.043896100, -0.260613900");
}
related_pin : "B";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0013699110, 0.0037533110, 0.0102834000, 0.0281746900, 0.0771936200, 0.2114967000");
values("0.0196642000, 0.0212621000, 0.0255293000, 0.0365849000, 0.0651743000, 0.1427970000, 0.3577892000", \
"0.0187797000, 0.0203829000, 0.0246557000, 0.0357347000, 0.0644194000, 0.1422832000, 0.3570270000", \
"0.0175395000, 0.0191196000, 0.0232904000, 0.0343918000, 0.0633834000, 0.1413930000, 0.3564265000", \
"0.0162728000, 0.0177732000, 0.0219004000, 0.0329743000, 0.0623457000, 0.1409122000, 0.3547493000", \
"0.0167131000, 0.0180354000, 0.0215443000, 0.0326183000, 0.0618786000, 0.1407320000, 0.3548660000", \
"0.0187681000, 0.0202427000, 0.0241446000, 0.0350650000, 0.0642625000, 0.1421638000, 0.3566139000", \
"0.0243999000, 0.0257853000, 0.0296621000, 0.0403712000, 0.0695040000, 0.1487362000, 0.3640341000");
}
}
max_capacitance : 0.2114970000;
max_transition : 1.5007420000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000");
values("0.0433706000, 0.0453367000, 0.0503733000, 0.0633054000, 0.0969206000, 0.1851898000, 0.4236227000", \
"0.0480967000, 0.0500034000, 0.0551038000, 0.0681853000, 0.1017158000, 0.1900716000, 0.4284222000", \
"0.0565350000, 0.0584672000, 0.0635131000, 0.0767980000, 0.1105450000, 0.1989932000, 0.4374227000", \
"0.0702501000, 0.0725969000, 0.0784502000, 0.0929049000, 0.1275176000, 0.2162940000, 0.4550099000", \
"0.0901600000, 0.0930594000, 0.1009606000, 0.1191904000, 0.1612806000, 0.2559837000, 0.4957786000", \
"0.1054088000, 0.1098723000, 0.1217440000, 0.1499850000, 0.2108479000, 0.3316891000, 0.5890547000", \
"0.0863427000, 0.0937807000, 0.1128108000, 0.1575348000, 0.2537223000, 0.4362713000, 0.7689356000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000");
values("0.1114710000, 0.1159225000, 0.1279386000, 0.1601830000, 0.2462169000, 0.4795539000, 1.1168017000", \
"0.1154140000, 0.1199479000, 0.1317152000, 0.1645107000, 0.2510678000, 0.4850341000, 1.1214271000", \
"0.1267482000, 0.1312834000, 0.1432508000, 0.1760819000, 0.2633923000, 0.4976572000, 1.1340502000", \
"0.1531366000, 0.1577899000, 0.1697835000, 0.2024614000, 0.2899263000, 0.5247492000, 1.1615574000", \
"0.2087516000, 0.2137615000, 0.2271152000, 0.2612723000, 0.3481232000, 0.5830891000, 1.2207824000", \
"0.3047221000, 0.3117797000, 0.3297603000, 0.3731633000, 0.4778287000, 0.7181901000, 1.3567074000", \
"0.4655082000, 0.4759718000, 0.5032751000, 0.5683992000, 0.7123726000, 1.0126332000, 1.6691007000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000");
values("0.0328246000, 0.0349202000, 0.0406929000, 0.0566569000, 0.1005987000, 0.2213272000, 0.5536658000", \
"0.0328307000, 0.0349267000, 0.0407527000, 0.0566906000, 0.1006304000, 0.2216905000, 0.5535692000", \
"0.0330285000, 0.0350741000, 0.0407761000, 0.0566482000, 0.1006038000, 0.2215482000, 0.5539212000", \
"0.0381891000, 0.0402402000, 0.0457347000, 0.0605680000, 0.1020319000, 0.2213741000, 0.5537846000", \
"0.0528662000, 0.0551479000, 0.0612054000, 0.0767116000, 0.1171954000, 0.2276661000, 0.5541576000", \
"0.0862318000, 0.0892385000, 0.0974563000, 0.1168106000, 0.1621629000, 0.2701728000, 0.5679035000", \
"0.1504814000, 0.1547636000, 0.1666395000, 0.1947200000, 0.2557399000, 0.3821312000, 0.6713600000");
}
related_pin : "A";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000");
values("0.0806455000, 0.0866377000, 0.1022302000, 0.1455467000, 0.2639252000, 0.5877244000, 1.4780006000", \
"0.0807608000, 0.0862568000, 0.1022006000, 0.1454700000, 0.2639924000, 0.5879292000, 1.4735243000", \
"0.0806518000, 0.0866005000, 0.1022020000, 0.1457652000, 0.2639654000, 0.5875345000, 1.4723487000", \
"0.0806905000, 0.0864962000, 0.1023047000, 0.1456960000, 0.2641573000, 0.5870808000, 1.4719675000", \
"0.0948051000, 0.1000885000, 0.1136087000, 0.1530348000, 0.2656347000, 0.5877778000, 1.4730354000", \
"0.1321308000, 0.1379456000, 0.1535767000, 0.1946746000, 0.2986135000, 0.5955604000, 1.4732890000", \
"0.2150811000, 0.2229177000, 0.2413604000, 0.2890561000, 0.4027265000, 0.6834220000, 1.4891730000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000");
values("0.1062858000, 0.1087184000, 0.1148508000, 0.1294900000, 0.1631937000, 0.2463063000, 0.4692751000", \
"0.1109650000, 0.1133853000, 0.1195172000, 0.1341725000, 0.1679648000, 0.2511905000, 0.4735897000", \
"0.1233158000, 0.1257255000, 0.1318603000, 0.1464399000, 0.1802085000, 0.2634250000, 0.4866414000", \
"0.1538985000, 0.1563087000, 0.1623731000, 0.1768919000, 0.2106528000, 0.2940814000, 0.5174504000", \
"0.2209495000, 0.2234359000, 0.2297303000, 0.2446790000, 0.2786351000, 0.3622533000, 0.5868593000", \
"0.3322874000, 0.3353366000, 0.3428404000, 0.3594038000, 0.3956097000, 0.4807889000, 0.7044242000", \
"0.5116579000, 0.5157257000, 0.5255807000, 0.5466497000, 0.5880311000, 0.6758343000, 0.8996423000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000");
values("0.0828554000, 0.0854638000, 0.0919658000, 0.1074610000, 0.1439198000, 0.2384544000, 0.4981587000", \
"0.0869906000, 0.0895855000, 0.0961219000, 0.1116350000, 0.1481469000, 0.2430497000, 0.5034999000", \
"0.0952302000, 0.0978347000, 0.1043053000, 0.1197494000, 0.1565794000, 0.2514040000, 0.5131093000", \
"0.1121669000, 0.1147845000, 0.1213999000, 0.1371206000, 0.1743873000, 0.2698650000, 0.5306749000", \
"0.1377052000, 0.1404602000, 0.1473918000, 0.1638586000, 0.2022901000, 0.2991414000, 0.5592872000", \
"0.1641376000, 0.1674327000, 0.1751902000, 0.1928799000, 0.2325532000, 0.3301521000, 0.5909532000", \
"0.1655742000, 0.1698450000, 0.1801487000, 0.2022838000, 0.2465093000, 0.3450240000, 0.6062227000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000");
values("0.0245147000, 0.0266235000, 0.0323319000, 0.0473995000, 0.0872301000, 0.1980620000, 0.5066865000", \
"0.0244099000, 0.0265732000, 0.0324562000, 0.0475182000, 0.0873148000, 0.1979621000, 0.5072415000", \
"0.0246390000, 0.0268236000, 0.0323972000, 0.0475006000, 0.0873023000, 0.1980191000, 0.5064518000", \
"0.0245954000, 0.0266876000, 0.0324038000, 0.0476320000, 0.0873316000, 0.1980978000, 0.5073702000", \
"0.0269713000, 0.0291588000, 0.0347540000, 0.0493969000, 0.0884532000, 0.1983438000, 0.5081293000", \
"0.0356032000, 0.0374691000, 0.0428560000, 0.0567494000, 0.0939170000, 0.2016021000, 0.5080946000", \
"0.0504165000, 0.0527444000, 0.0582930000, 0.0724478000, 0.1063927000, 0.2066192000, 0.5105575000");
}
related_pin : "A";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000");
values("0.0255694000, 0.0278147000, 0.0340677000, 0.0511225000, 0.0984484000, 0.2318277000, 0.6032659000", \
"0.0255030000, 0.0277907000, 0.0341078000, 0.0510815000, 0.0985051000, 0.2320978000, 0.6027592000", \
"0.0255634000, 0.0278500000, 0.0341277000, 0.0511075000, 0.0984393000, 0.2320118000, 0.6038733000", \
"0.0264438000, 0.0288015000, 0.0349743000, 0.0516880000, 0.0986900000, 0.2313666000, 0.6020711000", \
"0.0293351000, 0.0314647000, 0.0376875000, 0.0541248000, 0.1005765000, 0.2320812000, 0.6002693000", \
"0.0362512000, 0.0382865000, 0.0445000000, 0.0597736000, 0.1034032000, 0.2336652000, 0.6010125000", \
"0.0508186000, 0.0528307000, 0.0590053000, 0.0737918000, 0.1132466000, 0.2365962000, 0.6050034000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000");
values("0.0352815000, 0.0370315000, 0.0415947000, 0.0533460000, 0.0840623000, 0.1665917000, 0.3890193000", \
"0.0395347000, 0.0413298000, 0.0460695000, 0.0582550000, 0.0894908000, 0.1715187000, 0.3944696000", \
"0.0475697000, 0.0495175000, 0.0545225000, 0.0670635000, 0.0989002000, 0.1816361000, 0.4043040000", \
"0.0586230000, 0.0610559000, 0.0673226000, 0.0820550000, 0.1159612000, 0.1995792000, 0.4234630000", \
"0.0691877000, 0.0729020000, 0.0821645000, 0.1035775000, 0.1475503000, 0.2401087000, 0.4651209000", \
"0.0664912000, 0.0725607000, 0.0868812000, 0.1208933000, 0.1890794000, 0.3155613000, 0.5622409000", \
"0.0155959000, 0.0255836000, 0.0518217000, 0.1062293000, 0.2156762000, 0.4094078000, 0.7434722000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000");
values("0.0894431000, 0.0940604000, 0.1063377000, 0.1388589000, 0.2255568000, 0.4589984000, 1.0956753000", \
"0.0911656000, 0.0960730000, 0.1082078000, 0.1414389000, 0.2284199000, 0.4623537000, 1.0987684000", \
"0.1003240000, 0.1050921000, 0.1172614000, 0.1504534000, 0.2379830000, 0.4727090000, 1.1098718000", \
"0.1275764000, 0.1322033000, 0.1443194000, 0.1768991000, 0.2638104000, 0.4990175000, 1.1371351000", \
"0.1903227000, 0.1958274000, 0.2098645000, 0.2437265000, 0.3290452000, 0.5633177000, 1.2016934000", \
"0.2923067000, 0.3004558000, 0.3212257000, 0.3719020000, 0.4815066000, 0.7168229000, 1.3526478000", \
"0.4629533000, 0.4741891000, 0.5028059000, 0.5788611000, 0.7409546000, 1.0652395000, 1.7065545000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000");
values("0.0176550000, 0.0198276000, 0.0255669000, 0.0413932000, 0.0838727000, 0.1976204000, 0.5072198000", \
"0.0179207000, 0.0200812000, 0.0259553000, 0.0418130000, 0.0840755000, 0.1979208000, 0.5064345000", \
"0.0191497000, 0.0212100000, 0.0267788000, 0.0422002000, 0.0844718000, 0.1975018000, 0.5076811000", \
"0.0255271000, 0.0275647000, 0.0331186000, 0.0477009000, 0.0864259000, 0.1980653000, 0.5083056000", \
"0.0419680000, 0.0442507000, 0.0506386000, 0.0661861000, 0.1044096000, 0.2055870000, 0.5078955000", \
"0.0759757000, 0.0790331000, 0.0876781000, 0.1078272000, 0.1524845000, 0.2544421000, 0.5260616000", \
"0.1440202000, 0.1483476000, 0.1601621000, 0.1883906000, 0.2486804000, 0.3709742000, 0.6412829000");
}
related_pin : "B";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000");
values("0.0803624000, 0.0862636000, 0.1022039000, 0.1455623000, 0.2642236000, 0.5871529000, 1.4710115000", \
"0.0803251000, 0.0862608000, 0.1020876000, 0.1456593000, 0.2638403000, 0.5873961000, 1.4722475000", \
"0.0799587000, 0.0859307000, 0.1017819000, 0.1455501000, 0.2638417000, 0.5876576000, 1.4710231000", \
"0.0810427000, 0.0863967000, 0.1016107000, 0.1447076000, 0.2639333000, 0.5871530000, 1.4732598000", \
"0.1068121000, 0.1118868000, 0.1242415000, 0.1596634000, 0.2662308000, 0.5874368000, 1.4728216000", \
"0.1534365000, 0.1598113000, 0.1787846000, 0.2235031000, 0.3208799000, 0.5991684000, 1.4729828000", \
"0.2295785000, 0.2403665000, 0.2672158000, 0.3342095000, 0.4682147000, 0.7345262000, 1.5007421000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000");
values("0.0935093000, 0.0954990000, 0.1006497000, 0.1136166000, 0.1460953000, 0.2324437000, 0.4693996000", \
"0.0981714000, 0.1001499000, 0.1053114000, 0.1183277000, 0.1510089000, 0.2374563000, 0.4744277000", \
"0.1104030000, 0.1123714000, 0.1176958000, 0.1307968000, 0.1635261000, 0.2499519000, 0.4866218000", \
"0.1407252000, 0.1427933000, 0.1482483000, 0.1615412000, 0.1945963000, 0.2812332000, 0.5181434000", \
"0.2013146000, 0.2035931000, 0.2094098000, 0.2236051000, 0.2579722000, 0.3450789000, 0.5816173000", \
"0.2978895000, 0.3007057000, 0.3077162000, 0.3240106000, 0.3605816000, 0.4493251000, 0.6868556000", \
"0.4558866000, 0.4596746000, 0.4690985000, 0.4898670000, 0.5321134000, 0.6235362000, 0.8612472000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000");
values("0.0778196000, 0.0804114000, 0.0869172000, 0.1022356000, 0.1384243000, 0.2329697000, 0.4928489000", \
"0.0812731000, 0.0838694000, 0.0903779000, 0.1057578000, 0.1420294000, 0.2368998000, 0.4956549000", \
"0.0902659000, 0.0928219000, 0.0993841000, 0.1147507000, 0.1514599000, 0.2461453000, 0.5060897000", \
"0.1095344000, 0.1121835000, 0.1187825000, 0.1345597000, 0.1717429000, 0.2672413000, 0.5276065000", \
"0.1345677000, 0.1372654000, 0.1440891000, 0.1602968000, 0.1989527000, 0.2959328000, 0.5555164000", \
"0.1585782000, 0.1617941000, 0.1694113000, 0.1866177000, 0.2256317000, 0.3222964000, 0.5842075000", \
"0.1567505000, 0.1609748000, 0.1708803000, 0.1928089000, 0.2346894000, 0.3315348000, 0.5939198000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000");
values("0.0368622000, 0.0390765000, 0.0449064000, 0.0605744000, 0.1029867000, 0.2216907000, 0.5540532000", \
"0.0369148000, 0.0391043000, 0.0449080000, 0.0605773000, 0.1029674000, 0.2219741000, 0.5535201000", \
"0.0367973000, 0.0390669000, 0.0449984000, 0.0605389000, 0.1029331000, 0.2219649000, 0.5543047000", \
"0.0370990000, 0.0394040000, 0.0451916000, 0.0608244000, 0.1029317000, 0.2219647000, 0.5543093000", \
"0.0361728000, 0.0385302000, 0.0446525000, 0.0612725000, 0.1032341000, 0.2220998000, 0.5544427000", \
"0.0391234000, 0.0415246000, 0.0474518000, 0.0630629000, 0.1040902000, 0.2215390000, 0.5529634000", \
"0.0494114000, 0.0520519000, 0.0591301000, 0.0756065000, 0.1142857000, 0.2233734000, 0.5509738000");
}
related_pin : "B";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000");
values("0.0255269000, 0.0278232000, 0.0341731000, 0.0511804000, 0.0986566000, 0.2316991000, 0.6024007000", \
"0.0255228000, 0.0278273000, 0.0341737000, 0.0512187000, 0.0985469000, 0.2318790000, 0.6050904000", \
"0.0255345000, 0.0279013000, 0.0341683000, 0.0511861000, 0.0984896000, 0.2319595000, 0.6034798000", \
"0.0270024000, 0.0293665000, 0.0355487000, 0.0522590000, 0.0989446000, 0.2314975000, 0.6026815000", \
"0.0293326000, 0.0314843000, 0.0376244000, 0.0542786000, 0.1013152000, 0.2329248000, 0.6020465000", \
"0.0371289000, 0.0393486000, 0.0445492000, 0.0594313000, 0.1032342000, 0.2348178000, 0.6018213000", \
"0.0519052000, 0.0542855000, 0.0598419000, 0.0740121000, 0.1125662000, 0.2364119000, 0.6056086000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
/* removed sky130_fd_sc_hd__xnor3_1 */
/* removed sky130_fd_sc_hd__xnor3_2 */
/* removed sky130_fd_sc_hd__xnor3_4 */
/* removed sky130_fd_sc_hd__xor2_1 */
/* removed sky130_fd_sc_hd__xor2_2 */
cell ("sky130_fd_sc_hd__xor2_4") {
leakage_power () {
value : 0.0071544000;
when : "!A&B";
}
leakage_power () {
value : 0.0107585000;
when : "!A&!B";
}
leakage_power () {
value : 0.0056040000;
when : "A&B";
}
leakage_power () {
value : 0.0060047000;
when : "A&!B";
}
area : 27.526400000;
cell_footprint : "sky130_fd_sc_hd__xor2";
cell_leakage_power : 0.0073803710;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0173590000;
clock : "false";
direction : "input";
fall_capacitance : 0.0166090000;
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0181100000;
}
pin ("B") {
capacitance : 0.0150830000;
clock : "false";
direction : "input";
fall_capacitance : 0.0144120000;
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0157550000;
}
pin ("X") {
direction : "output";
function : "(A&!B) | (!A&B)";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0013786650, 0.0038014330, 0.0104818100, 0.0289017900, 0.0796917700, 0.2197365000");
values("-0.009353200, -0.010762300, -0.014632000, -0.025274800, -0.054785000, -0.136565300, -0.362923600", \
"-0.010240800, -0.011609600, -0.015454600, -0.026091800, -0.055569400, -0.137404700, -0.363764100", \
"-0.011272300, -0.012630100, -0.016426900, -0.026952900, -0.056280500, -0.138080900, -0.364624900", \
"-0.012300700, -0.013629200, -0.017353500, -0.027797400, -0.057036300, -0.138586100, -0.364914600", \
"-0.012574600, -0.013950200, -0.017842500, -0.028217400, -0.057313200, -0.138687800, -0.364837300", \
"-0.011353300, -0.012758900, -0.016668300, -0.027355600, -0.057695800, -0.139744700, -0.365479200", \
"-0.008667900, -0.010139100, -0.014189800, -0.025209600, -0.055645000, -0.138132000, -0.365525200");
}
related_pin : "A";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0013786650, 0.0038014330, 0.0104818100, 0.0289017900, 0.0796917700, 0.2197365000");
values("0.0738198000, 0.0753531000, 0.0792946000, 0.0901744000, 0.1199423000, 0.2012191000, 0.4251799000", \
"0.0731748000, 0.0746199000, 0.0786184000, 0.0896336000, 0.1194524000, 0.2010247000, 0.4249036000", \
"0.0722850000, 0.0738445000, 0.0778100000, 0.0889312000, 0.1190668000, 0.2008785000, 0.4248206000", \
"0.0718295000, 0.0732238000, 0.0771736000, 0.0881723000, 0.1182779000, 0.2002234000, 0.4244880000", \
"0.0711848000, 0.0725912000, 0.0765118000, 0.0873854000, 0.1172710000, 0.1993973000, 0.4238938000", \
"0.0710156000, 0.0724653000, 0.0764309000, 0.0874079000, 0.1173448000, 0.1992413000, 0.4235285000", \
"0.0701391000, 0.0715192000, 0.0753463000, 0.0858004000, 0.1175061000, 0.1994338000, 0.4239562000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0013786650, 0.0038014330, 0.0104818100, 0.0289017900, 0.0796917700, 0.2197365000");
values("-0.002064100, -0.003456100, -0.007309900, -0.017992200, -0.047502500, -0.129515200, -0.356010400", \
"-0.002647800, -0.004026400, -0.007846600, -0.018449000, -0.047976600, -0.129872100, -0.356420700", \
"-0.003471100, -0.004833300, -0.008601100, -0.019061000, -0.048440200, -0.130265800, -0.356612300", \
"-0.004352900, -0.005654600, -0.009397200, -0.019845000, -0.049035100, -0.130568200, -0.356970700", \
"-0.004318000, -0.005718400, -0.009493400, -0.020080200, -0.049320500, -0.130688300, -0.356844400", \
"-0.002391200, -0.003845500, -0.007817000, -0.018645900, -0.049286200, -0.131171300, -0.357154100", \
"0.0029354000, 0.0014294000, -0.002768600, -0.014040800, -0.044844200, -0.128155300, -0.356244200");
}
related_pin : "B";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000");
index_2("0.0005000000, 0.0013786650, 0.0038014330, 0.0104818100, 0.0289017900, 0.0796917700, 0.2197365000");
values("0.0519886000, 0.0535976000, 0.0578817000, 0.0690903000, 0.0990387000, 0.1810936000, 0.4072389000", \
"0.0511053000, 0.0526723000, 0.0570279000, 0.0683969000, 0.0987314000, 0.1806366000, 0.4051989000", \
"0.0501969000, 0.0517430000, 0.0559635000, 0.0673814000, 0.0980315000, 0.1802728000, 0.4069269000", \
"0.0496505000, 0.0511488000, 0.0552254000, 0.0664763000, 0.0969992000, 0.1794975000, 0.4065757000", \
"0.0489505000, 0.0504705000, 0.0544899000, 0.0654526000, 0.0956693000, 0.1789110000, 0.4056357000", \
"0.0490106000, 0.0504402000, 0.0544267000, 0.0654591000, 0.0957292000, 0.1779419000, 0.4044345000", \
"0.0493743000, 0.0506929000, 0.0543723000, 0.0649597000, 0.0956659000, 0.1780672000, 0.4040065000");
}
}
max_capacitance : 0.2197360000;
max_transition : 1.4976190000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013786600, 0.0038014300, 0.0104818000, 0.0289018000, 0.0796918000, 0.2197360000");
values("0.1498213000, 0.1521022000, 0.1579420000, 0.1706484000, 0.1970509000, 0.2525050000, 0.3819992000", \
"0.1534217000, 0.1557036000, 0.1614396000, 0.1743502000, 0.2006676000, 0.2561700000, 0.3856208000", \
"0.1647692000, 0.1671180000, 0.1728084000, 0.1857164000, 0.2121356000, 0.2676604000, 0.3971745000", \
"0.1917592000, 0.1940444000, 0.1996949000, 0.2124735000, 0.2389546000, 0.2946570000, 0.4242198000", \
"0.2489901000, 0.2513319000, 0.2570763000, 0.2699971000, 0.2970482000, 0.3529857000, 0.4825390000", \
"0.3493355000, 0.3519830000, 0.3585081000, 0.3732342000, 0.4035063000, 0.4634976000, 0.5967257000", \
"0.5210429000, 0.5242347000, 0.5321462000, 0.5498254000, 0.5856142000, 0.6531658000, 0.7920983000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013786600, 0.0038014300, 0.0104818000, 0.0289018000, 0.0796918000, 0.2197360000");
values("0.0824702000, 0.0871205000, 0.0999131000, 0.1338755000, 0.2240030000, 0.4655699000, 1.1281149000", \
"0.0874779000, 0.0920609000, 0.1048119000, 0.1390157000, 0.2289731000, 0.4702864000, 1.1320492000", \
"0.0981387000, 0.1029224000, 0.1155759000, 0.1494399000, 0.2399153000, 0.4819025000, 1.1451019000", \
"0.1172658000, 0.1218137000, 0.1340927000, 0.1675947000, 0.2578120000, 0.5002633000, 1.1628895000", \
"0.1412810000, 0.1457323000, 0.1579043000, 0.1911819000, 0.2805902000, 0.5237397000, 1.1871472000", \
"0.1650427000, 0.1694110000, 0.1813255000, 0.2141437000, 0.3030956000, 0.5453783000, 1.2113351000", \
"0.1650627000, 0.1701666000, 0.1825782000, 0.2148724000, 0.3027608000, 0.5447091000, 1.2088036000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013786600, 0.0038014300, 0.0104818000, 0.0289018000, 0.0796918000, 0.2197360000");
values("0.0258631000, 0.0272958000, 0.0313648000, 0.0411783000, 0.0647598000, 0.1230203000, 0.2829630000", \
"0.0256963000, 0.0271844000, 0.0315704000, 0.0411118000, 0.0647809000, 0.1230484000, 0.2835780000", \
"0.0258039000, 0.0273211000, 0.0312231000, 0.0410294000, 0.0645500000, 0.1230651000, 0.2836416000", \
"0.0260212000, 0.0275553000, 0.0313922000, 0.0413212000, 0.0649676000, 0.1230437000, 0.2837963000", \
"0.0275022000, 0.0292852000, 0.0327963000, 0.0426531000, 0.0658314000, 0.1234046000, 0.2838002000", \
"0.0331190000, 0.0349436000, 0.0391283000, 0.0493380000, 0.0727733000, 0.1308584000, 0.2875061000", \
"0.0455791000, 0.0474508000, 0.0522486000, 0.0630046000, 0.0882908000, 0.1446539000, 0.2969336000");
}
related_pin : "A";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013786600, 0.0038014300, 0.0104818000, 0.0289018000, 0.0796918000, 0.2197360000");
values("0.0545477000, 0.0604694000, 0.0769636000, 0.1211413000, 0.2415684000, 0.5726916000, 1.4837939000", \
"0.0545630000, 0.0605890000, 0.0768845000, 0.1213245000, 0.2417088000, 0.5729234000, 1.4837653000", \
"0.0545522000, 0.0605333000, 0.0766708000, 0.1213620000, 0.2420048000, 0.5720606000, 1.4826771000", \
"0.0544345000, 0.0603335000, 0.0767580000, 0.1211477000, 0.2416003000, 0.5729405000, 1.4834963000", \
"0.0544386000, 0.0602949000, 0.0761584000, 0.1210499000, 0.2410216000, 0.5727535000, 1.4836791000", \
"0.0571354000, 0.0624336000, 0.0778807000, 0.1210058000, 0.2418170000, 0.5696867000, 1.4809503000", \
"0.0658208000, 0.0709332000, 0.0845831000, 0.1240170000, 0.2422272000, 0.5753099000, 1.4770219000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013786600, 0.0038014300, 0.0104818000, 0.0289018000, 0.0796918000, 0.2197360000");
values("0.0436636000, 0.0453758000, 0.0500607000, 0.0622142000, 0.0935156000, 0.1764752000, 0.4019771000", \
"0.0474098000, 0.0492156000, 0.0538442000, 0.0660680000, 0.0973631000, 0.1804029000, 0.4067191000", \
"0.0558684000, 0.0576227000, 0.0623161000, 0.0745588000, 0.1060547000, 0.1891348000, 0.4148759000", \
"0.0716920000, 0.0738656000, 0.0795040000, 0.0928811000, 0.1253473000, 0.2089834000, 0.4350223000", \
"0.0934183000, 0.0964121000, 0.1038103000, 0.1220940000, 0.1633954000, 0.2538585000, 0.4806054000", \
"0.1102166000, 0.1148162000, 0.1264197000, 0.1548898000, 0.2165235000, 0.3371814000, 0.5852526000", \
"0.0965449000, 0.1035638000, 0.1216761000, 0.1659232000, 0.2629996000, 0.4488046000, 0.7811597000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013786600, 0.0038014300, 0.0104818000, 0.0289018000, 0.0796918000, 0.2197360000");
values("0.1152663000, 0.1197115000, 0.1313149000, 0.1631730000, 0.2484311000, 0.4815269000, 1.1207800000", \
"0.1186809000, 0.1230035000, 0.1350982000, 0.1670509000, 0.2528935000, 0.4858588000, 1.1268465000", \
"0.1299064000, 0.1346329000, 0.1461559000, 0.1787279000, 0.2649009000, 0.4987368000, 1.1391225000", \
"0.1576167000, 0.1618373000, 0.1735779000, 0.2056609000, 0.2920834000, 0.5261697000, 1.1680041000", \
"0.2135563000, 0.2185918000, 0.2316387000, 0.2650153000, 0.3510895000, 0.5853523000, 1.2264606000", \
"0.3105179000, 0.3172884000, 0.3343643000, 0.3780825000, 0.4819104000, 0.7215010000, 1.3631631000", \
"0.4673313000, 0.4784170000, 0.5059216000, 0.5718557000, 0.7176837000, 1.0207096000, 1.6803030000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013786600, 0.0038014300, 0.0104818000, 0.0289018000, 0.0796918000, 0.2197360000");
values("0.0306255000, 0.0326024000, 0.0378873000, 0.0527912000, 0.0940997000, 0.2090309000, 0.5265505000", \
"0.0305594000, 0.0324557000, 0.0378828000, 0.0527709000, 0.0940672000, 0.2090063000, 0.5275455000", \
"0.0306991000, 0.0326035000, 0.0377471000, 0.0525482000, 0.0939461000, 0.2089878000, 0.5271354000", \
"0.0372694000, 0.0391281000, 0.0442891000, 0.0573073000, 0.0956952000, 0.2088595000, 0.5270412000", \
"0.0547171000, 0.0568965000, 0.0624743000, 0.0775606000, 0.1147396000, 0.2168991000, 0.5271663000", \
"0.0898452000, 0.0929725000, 0.1005826000, 0.1199536000, 0.1638825000, 0.2662955000, 0.5465849000", \
"0.1543681000, 0.1592050000, 0.1713063000, 0.1991683000, 0.2610707000, 0.3866281000, 0.6630076000");
}
related_pin : "A";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013786600, 0.0038014300, 0.0104818000, 0.0289018000, 0.0796918000, 0.2197360000");
values("0.0759070000, 0.0815424000, 0.0969157000, 0.1392374000, 0.2551032000, 0.5748853000, 1.4477172000", \
"0.0758997000, 0.0814693000, 0.0970385000, 0.1394350000, 0.2552114000, 0.5728129000, 1.4494465000", \
"0.0759521000, 0.0815833000, 0.0969367000, 0.1392081000, 0.2553012000, 0.5729696000, 1.4523986000", \
"0.0763891000, 0.0818078000, 0.0972177000, 0.1394148000, 0.2551341000, 0.5731693000, 1.4486934000", \
"0.0891667000, 0.0940859000, 0.1075588000, 0.1461725000, 0.2565225000, 0.5730347000, 1.4510028000", \
"0.1258456000, 0.1314789000, 0.1469706000, 0.1871109000, 0.2883875000, 0.5812781000, 1.4505315000", \
"0.2082668000, 0.2155849000, 0.2345399000, 0.2825965000, 0.3955398000, 0.6695067000, 1.4652712000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013786600, 0.0038014300, 0.0104818000, 0.0289018000, 0.0796918000, 0.2197360000");
values("0.1234675000, 0.1257397000, 0.1314267000, 0.1441660000, 0.1704285000, 0.2255801000, 0.3551103000", \
"0.1257263000, 0.1280011000, 0.1336729000, 0.1464812000, 0.1726047000, 0.2281072000, 0.3576422000", \
"0.1357807000, 0.1380280000, 0.1436779000, 0.1561082000, 0.1826469000, 0.2380935000, 0.3677224000", \
"0.1633535000, 0.1655912000, 0.1712241000, 0.1839759000, 0.2104805000, 0.2661422000, 0.3956312000", \
"0.2281192000, 0.2304337000, 0.2361473000, 0.2490715000, 0.2760102000, 0.3319250000, 0.4617683000", \
"0.3382702000, 0.3410712000, 0.3480520000, 0.3630874000, 0.3926597000, 0.4516459000, 0.5842897000", \
"0.5182355000, 0.5217422000, 0.5303887000, 0.5492988000, 0.5854496000, 0.6497775000, 0.7852521000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013786600, 0.0038014300, 0.0104818000, 0.0289018000, 0.0796918000, 0.2197360000");
values("0.1048461000, 0.1090026000, 0.1202696000, 0.1508533000, 0.2326286000, 0.4605297000, 1.0983631000", \
"0.1089455000, 0.1132897000, 0.1248770000, 0.1553579000, 0.2377169000, 0.4659305000, 1.1020401000", \
"0.1158189000, 0.1200469000, 0.1314675000, 0.1626685000, 0.2467387000, 0.4762303000, 1.1137002000", \
"0.1271718000, 0.1314447000, 0.1432418000, 0.1750644000, 0.2602975000, 0.4919463000, 1.1289183000", \
"0.1421417000, 0.1466329000, 0.1587245000, 0.1908896000, 0.2762768000, 0.5097093000, 1.1477884000", \
"0.1528475000, 0.1572159000, 0.1692436000, 0.2012987000, 0.2877989000, 0.5225921000, 1.1631662000", \
"0.1370997000, 0.1420376000, 0.1546755000, 0.1862847000, 0.2713418000, 0.5062086000, 1.1492168000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013786600, 0.0038014300, 0.0104818000, 0.0289018000, 0.0796918000, 0.2197360000");
values("0.0258575000, 0.0273784000, 0.0314467000, 0.0412008000, 0.0649258000, 0.1233208000, 0.2832658000", \
"0.0258729000, 0.0274055000, 0.0312688000, 0.0410916000, 0.0651922000, 0.1232537000, 0.2836712000", \
"0.0259981000, 0.0276253000, 0.0314951000, 0.0412600000, 0.0650397000, 0.1233299000, 0.2833123000", \
"0.0259508000, 0.0274180000, 0.0316281000, 0.0412997000, 0.0649301000, 0.1232317000, 0.2834941000", \
"0.0284681000, 0.0300106000, 0.0338473000, 0.0433398000, 0.0664746000, 0.1241942000, 0.2833802000", \
"0.0388366000, 0.0399122000, 0.0435851000, 0.0523870000, 0.0742222000, 0.1301786000, 0.2887548000", \
"0.0540890000, 0.0561388000, 0.0601321000, 0.0708778000, 0.0922304000, 0.1432362000, 0.2948055000");
}
related_pin : "B";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013786600, 0.0038014300, 0.0104818000, 0.0289018000, 0.0796918000, 0.2197360000");
values("0.0752745000, 0.0809621000, 0.0964575000, 0.1392060000, 0.2550944000, 0.5730705000, 1.4496204000", \
"0.0752050000, 0.0809003000, 0.0964027000, 0.1392268000, 0.2552087000, 0.5730071000, 1.4516470000", \
"0.0749725000, 0.0807146000, 0.0962014000, 0.1390923000, 0.2551570000, 0.5730953000, 1.4500535000", \
"0.0730962000, 0.0790643000, 0.0953033000, 0.1388982000, 0.2553379000, 0.5732365000, 1.4477179000", \
"0.0634505000, 0.0694468000, 0.0857219000, 0.1310455000, 0.2496870000, 0.5735373000, 1.4495534000", \
"0.0600312000, 0.0657071000, 0.0809499000, 0.1233164000, 0.2413889000, 0.5642955000, 1.4474065000", \
"0.0678604000, 0.0728150000, 0.0866056000, 0.1252394000, 0.2386312000, 0.5594238000, 1.4371977000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013786600, 0.0038014300, 0.0104818000, 0.0289018000, 0.0796918000, 0.2197360000");
values("0.0335696000, 0.0353220000, 0.0400256000, 0.0521365000, 0.0835456000, 0.1666051000, 0.3921810000", \
"0.0372863000, 0.0390635000, 0.0437525000, 0.0559946000, 0.0874337000, 0.1704923000, 0.3960374000", \
"0.0471907000, 0.0488378000, 0.0533741000, 0.0655429000, 0.0970316000, 0.1802304000, 0.4057602000", \
"0.0654116000, 0.0676545000, 0.0737674000, 0.0881423000, 0.1203320000, 0.2030385000, 0.4290612000", \
"0.0853982000, 0.0889033000, 0.0976499000, 0.1192638000, 0.1662422000, 0.2579159000, 0.4832924000", \
"0.0984199000, 0.1037521000, 0.1173286000, 0.1492809000, 0.2212170000, 0.3586011000, 0.6101371000", \
"0.0782870000, 0.0860682000, 0.1062972000, 0.1560700000, 0.2647008000, 0.4752755000, 0.8508662000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013786600, 0.0038014300, 0.0104818000, 0.0289018000, 0.0796918000, 0.2197360000");
values("0.0850781000, 0.0899409000, 0.1027952000, 0.1360149000, 0.2242358000, 0.4678875000, 1.1311460000", \
"0.0886134000, 0.0933029000, 0.1062009000, 0.1398852000, 0.2295681000, 0.4708945000, 1.1332277000", \
"0.0999498000, 0.1045024000, 0.1171481000, 0.1511322000, 0.2411198000, 0.4838029000, 1.1488448000", \
"0.1271868000, 0.1316210000, 0.1438976000, 0.1771318000, 0.2671072000, 0.5104442000, 1.1746953000", \
"0.1760873000, 0.1820284000, 0.1968326000, 0.2342847000, 0.3243756000, 0.5695979000, 1.2362262000", \
"0.2554318000, 0.2639361000, 0.2866622000, 0.3366414000, 0.4498133000, 0.7008774000, 1.3679664000", \
"0.3808998000, 0.3945671000, 0.4288706000, 0.5088539000, 0.6710317000, 0.9935092000, 1.6783878000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013786600, 0.0038014300, 0.0104818000, 0.0289018000, 0.0796918000, 0.2197360000");
values("0.0309668000, 0.0327628000, 0.0380972000, 0.0529457000, 0.0941547000, 0.2089584000, 0.5270855000", \
"0.0302730000, 0.0322728000, 0.0377722000, 0.0527265000, 0.0940481000, 0.2088934000, 0.5268767000", \
"0.0309543000, 0.0327341000, 0.0378132000, 0.0522565000, 0.0938198000, 0.2088570000, 0.5272688000", \
"0.0413029000, 0.0433314000, 0.0487315000, 0.0615735000, 0.0973744000, 0.2087784000, 0.5270357000", \
"0.0626560000, 0.0653913000, 0.0731504000, 0.0897430000, 0.1288506000, 0.2224151000, 0.5268013000", \
"0.1012147000, 0.1055305000, 0.1163309000, 0.1414359000, 0.1938118000, 0.2997774000, 0.5583131000", \
"0.1664303000, 0.1732680000, 0.1904969000, 0.2303589000, 0.3080212000, 0.4561075000, 0.7360311000");
}
related_pin : "B";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000");
index_2("0.0005000000, 0.0013786600, 0.0038014300, 0.0104818000, 0.0289018000, 0.0796918000, 0.2197360000");
values("0.0556082000, 0.0614255000, 0.0774347000, 0.1211863000, 0.2412494000, 0.5733722000, 1.4860994000", \
"0.0554051000, 0.0614012000, 0.0774506000, 0.1207148000, 0.2412002000, 0.5710328000, 1.4839330000", \
"0.0557944000, 0.0615850000, 0.0772878000, 0.1212796000, 0.2414769000, 0.5705666000, 1.4904959000", \
"0.0571563000, 0.0627295000, 0.0781564000, 0.1216595000, 0.2415536000, 0.5706252000, 1.4912858000", \
"0.0738758000, 0.0792944000, 0.0933395000, 0.1314809000, 0.2439562000, 0.5744571000, 1.4866004000", \
"0.1113906000, 0.1170693000, 0.1336293000, 0.1749030000, 0.2802974000, 0.5800641000, 1.4839238000", \
"0.1937913000, 0.2011665000, 0.2219989000, 0.2710132000, 0.3889577000, 0.6707701000, 1.4976191000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
}
}
/* removed sky130_fd_sc_hd__xor3_1 */
/* removed sky130_fd_sc_hd__xor3_2 */
/* removed sky130_fd_sc_hd__xor3_4 */
}