blob: 176f7cd6be1627c4ea0d946a9bda8141cfbef83d [file] [log] [blame]
Startpoint: _66104_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Endpoint: _62058_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: min
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ _66104_/CLK (sky130_fd_sc_hd__dfxtp_4)
0.21 0.21 ^ _66104_/Q (sky130_fd_sc_hd__dfxtp_4)
0.00 0.21 ^ _62058_/D (sky130_fd_sc_hd__dfxtp_4)
0.21 data arrival time
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 clock reconvergence pessimism
0.00 ^ _62058_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.02 -0.02 library hold time
-0.02 data required time
---------------------------------------------------------
-0.02 data required time
-0.21 data arrival time
---------------------------------------------------------
0.23 slack (MET)
Startpoint: wbs_adr_i[19] (input port clocked by wb_clk_i)
Endpoint: _62243_ (rising edge-triggered flip-flop clocked by wb_clk_i)
Path Group: wb_clk_i
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock wb_clk_i (rise edge)
0.00 0.00 clock network delay (ideal)
4.00 4.00 v input external delay
0.00 4.00 v wbs_adr_i[19] (in)
1.04 5.04 v _48136_/X (sky130_fd_sc_hd__or4_4)
1.02 6.06 v _48137_/X (sky130_fd_sc_hd__or4_4)
0.50 6.56 v _48138_/X (sky130_fd_sc_hd__or2_4)
0.45 7.01 v _48139_/X (sky130_fd_sc_hd__or2_4)
1.01 8.02 v _48688_/X (sky130_fd_sc_hd__or4_4)
0.12 8.14 ^ _48689_/Y (sky130_fd_sc_hd__inv_2)
0.22 8.37 ^ _60741_/X (sky130_fd_sc_hd__mux2_1)
0.20 8.57 ^ _48694_/X (sky130_fd_sc_hd__or4_4)
18.04 26.60 ^ _48695_/X (sky130_fd_sc_hd__buf_4)
3.81 30.41 ^ _48701_/X (sky130_fd_sc_hd__o21a_4)
0.88 31.29 ^ psn_inst_psn_buff_14/X (sky130_fd_sc_hd__buf_8)
0.87 32.16 v _55220_/X (sky130_fd_sc_hd__mux2_1)
0.00 32.16 v _62243_/D (sky130_fd_sc_hd__dfxtp_4)
32.16 data arrival time
20.00 20.00 clock wb_clk_i (rise edge)
0.00 20.00 clock network delay (ideal)
0.00 20.00 clock reconvergence pessimism
20.00 ^ _62243_/CLK (sky130_fd_sc_hd__dfxtp_4)
-0.30 19.70 library setup time
19.70 data required time
---------------------------------------------------------
19.70 data required time
-32.16 data arrival time
---------------------------------------------------------
-12.46 slack (VIOLATED)