blob: 45751070aa837d9e560ba3f1cf7606b308cd0404 [file] [log] [blame]
library ("sky130_fd_sc_hd__ss_100C_1v60") {
define(clk_width,library,string);
define(def_sim_opt,library,string);
define(default_arc_mode,library,string);
define(default_constraint_arc_mode,library,string);
define(driver_model,library,string);
define(leakage_sim_opt,library,string);
define(min_pulse_width_mode,library,string);
define(simulator,library,string);
define(switching_power_split_model,library,string);
define(sim_opt,timing,string);
define(violation_delay_degrade_pct,timing,string);
technology("cmos");
delay_model : "table_lookup";
bus_naming_style : "%s[%d]";
time_unit : "1ns";
voltage_unit : "1V";
leakage_power_unit : "1nW";
current_unit : "1mA";
pulling_resistance_unit : "1kohm";
capacitive_load_unit(1.0000000000, "pf");
revision : 1.0000000000;
default_cell_leakage_power : 0.0000000000;
default_fanout_load : 0.0000000000;
default_inout_pin_cap : 0.0000000000;
default_input_pin_cap : 0.0000000000;
default_max_transition : 1.5000000000;
default_output_pin_cap : 0.0000000000;
default_arc_mode : "worst_edges";
default_constraint_arc_mode : "worst";
default_leakage_power_density : 0.0000000000;
default_operating_conditions : "ss_100C_1v60";
clk_width : "4.000000e-08";
operating_conditions ("ss_100C_1v60") {
voltage : 1.6000000000;
process : 1.0000000000;
temperature : 100.00000000;
tree_type : "balanced_tree";
}
power_lut_template ("power_inputs_1") {
variable_1 : "input_transition_time";
index_1("1, 2, 3, 4, 5, 6, 7");
}
power_lut_template ("power_outputs_1") {
variable_1 : "input_transition_time";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7");
index_2("1, 2, 3, 4, 5, 6, 7");
}
lu_table_template ("constraint_3_0_1") {
variable_1 : "related_pin_transition";
index_1("1, 2, 3");
}
lu_table_template ("del_1_7_7") {
variable_1 : "input_net_transition";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7");
index_2("1, 2, 3, 4, 5, 6, 7");
}
lu_table_template ("driver_waveform_template") {
variable_1 : "input_net_transition";
variable_2 : "normalized_voltage";
index_1("1, 2");
index_2("1, 2");
}
lu_table_template ("vio_3_3_1") {
variable_1 : "related_pin_transition";
variable_2 : "constrained_pin_transition";
index_1("1, 2, 3");
index_2("1, 2, 3");
}
normalized_driver_waveform ("driver_waveform_template") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236068000, 0.6299605000, 1.7747683000, 2.5000000000, 5.0000000000");
index_2("0.0000000000, 0.5000000000, 1.0000000000");
driver_waveform_name : "ramp";
values("0.0000000000, 0.0083333333, 0.0166666670", \
"0.0000000000, 0.0234772430, 0.0469544850", \
"0.0000000000, 0.0661417100, 0.1322834200", \
"0.0000000000, 0.1863390000, 0.3726780000", \
"0.0000000000, 0.5249671000, 1.0499342000", \
"0.0000000000, 1.4789736000, 2.9579472000", \
"0.0000000000, 2.0833333000, 4.1666667000", \
"0.0000000000, 4.1666667000, 8.3333333000");
}
library_features("report_delay_calculation");
voltage_map("VPWR", 1.6000000000);
voltage_map("VSS", 0.0000000000);
voltage_map("KAPWR", 1.6000000000);
voltage_map("LOWLVPWR", 1.6000000000);
voltage_map("VGND", 0.0000000000);
voltage_map("VNB", 0.0000000000);
voltage_map("VPB", 1.6000000000);
voltage_map("VPWRIN", 1.6000000000);
driver_model : "ramp";
in_place_swap_mode : "match_footprint";
input_threshold_pct_fall : 50.000000000;
input_threshold_pct_rise : 50.000000000;
min_pulse_width_mode : "max";
nom_process : 1.0000000000;
nom_temperature : 100.00000000;
nom_voltage : 1.6000000000;
output_threshold_pct_fall : 50.000000000;
output_threshold_pct_rise : 50.000000000;
simulation : "true";
slew_derate_from_library : 1.0000000000;
slew_lower_threshold_pct_fall : 20.000000000;
slew_lower_threshold_pct_rise : 20.000000000;
slew_upper_threshold_pct_fall : 80.000000000;
slew_upper_threshold_pct_rise : 80.000000000;
switching_power_split_model : "true";
/* removed sky130_fd_sc_hd__a2111o_1 */
/* removed sky130_fd_sc_hd__a2111o_2 */
cell ("sky130_fd_sc_hd__a2111o_4") {
leakage_power () {
value : 13.227786000;
when : "A1&!A2&!B1&!C1&!D1";
}
leakage_power () {
value : 3.7229109000;
when : "A1&!A2&!B1&C1&D1";
}
leakage_power () {
value : 6.4028187000;
when : "A1&!A2&!B1&C1&!D1";
}
leakage_power () {
value : 3.7102770000;
when : "A1&!A2&B1&!C1&D1";
}
leakage_power () {
value : 6.1321717000;
when : "A1&!A2&B1&!C1&!D1";
}
leakage_power () {
value : 3.5558807000;
when : "A1&!A2&B1&C1&D1";
}
leakage_power () {
value : 3.7002799000;
when : "A1&!A2&B1&C1&!D1";
}
leakage_power () {
value : 3.9665626000;
when : "A1&A2&!B1&!C1&D1";
}
leakage_power () {
value : 8.2879597000;
when : "A1&A2&!B1&!C1&!D1";
}
leakage_power () {
value : 3.6069190000;
when : "A1&A2&!B1&C1&D1";
}
leakage_power () {
value : 3.9388759000;
when : "A1&A2&!B1&C1&!D1";
}
leakage_power () {
value : 3.6019838000;
when : "A1&A2&B1&!C1&D1";
}
leakage_power () {
value : 3.9302726000;
when : "A1&A2&B1&!C1&!D1";
}
leakage_power () {
value : 3.5208119000;
when : "A1&A2&B1&C1&D1";
}
leakage_power () {
value : 3.5976324000;
when : "A1&A2&B1&C1&!D1";
}
leakage_power () {
value : 7.9488043000;
when : "!A1&!A2&!B1&!C1&D1";
}
leakage_power () {
value : 12.124497900;
when : "!A1&!A2&!B1&!C1&!D1";
}
leakage_power () {
value : 3.7229135000;
when : "!A1&!A2&!B1&C1&D1";
}
leakage_power () {
value : 6.4029728000;
when : "!A1&!A2&!B1&C1&!D1";
}
leakage_power () {
value : 3.7102792000;
when : "!A1&!A2&B1&!C1&D1";
}
leakage_power () {
value : 6.1322969000;
when : "!A1&!A2&B1&!C1&!D1";
}
leakage_power () {
value : 3.5558816000;
when : "!A1&!A2&B1&C1&D1";
}
leakage_power () {
value : 3.7002821000;
when : "!A1&!A2&B1&C1&!D1";
}
leakage_power () {
value : 7.9484481000;
when : "!A1&A2&!B1&!C1&D1";
}
leakage_power () {
value : 13.611669100;
when : "!A1&A2&!B1&!C1&!D1";
}
leakage_power () {
value : 3.7229122000;
when : "!A1&A2&!B1&C1&D1";
}
leakage_power () {
value : 6.4028232000;
when : "!A1&A2&!B1&C1&!D1";
}
leakage_power () {
value : 3.7102781000;
when : "!A1&A2&B1&!C1&D1";
}
leakage_power () {
value : 6.1321703000;
when : "!A1&A2&B1&!C1&!D1";
}
leakage_power () {
value : 3.5558816000;
when : "!A1&A2&B1&C1&D1";
}
leakage_power () {
value : 3.7002812000;
when : "!A1&A2&B1&C1&!D1";
}
leakage_power () {
value : 7.9484455000;
when : "A1&!A2&!B1&!C1&D1";
}
area : 21.270400000;
cell_footprint : "sky130_fd_sc_hd__a2111o";
cell_leakage_power : 5.5916870000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A1") {
capacitance : 0.0043760000;
clock : "false";
direction : "input";
fall_capacitance : 0.0042280000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0073818000, 0.0073506000, 0.0072997000, 0.0072870000, 0.0072882000, 0.0073284000, 0.0074786000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.006043200, -0.006084500, -0.006159800, -0.006165000, -0.006138900, -0.006024200, -0.005660600");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0045240000;
}
pin ("A2") {
capacitance : 0.0045030000;
clock : "false";
direction : "input";
fall_capacitance : 0.0042780000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0067644000, 0.0067286000, 0.0066648000, 0.0066491000, 0.0066422000, 0.0066596000, 0.0067459000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.006693900, -0.006705000, -0.006695200, -0.006722800, -0.006759700, -0.006822500, -0.006958800");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0047300000;
}
pin ("B1") {
capacitance : 0.0045200000;
clock : "false";
direction : "input";
fall_capacitance : 0.0042610000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0066557000, 0.0066420000, 0.0066308000, 0.0066143000, 0.0065949000, 0.0065675000, 0.0065174000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.005659900, -0.005722700, -0.005857200, -0.005899300, -0.005975400, -0.006147300, -0.006589700");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0047780000;
}
pin ("C1") {
capacitance : 0.0044570000;
clock : "false";
direction : "input";
fall_capacitance : 0.0041480000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0066488000, 0.0066329000, 0.0066155000, 0.0066013000, 0.0065886000, 0.0065799000, 0.0065827000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.005984100, -0.006082000, -0.006316100, -0.006347400, -0.006394000, -0.006483500, -0.006694000");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0047650000;
}
pin ("D1") {
capacitance : 0.0043660000;
clock : "false";
direction : "input";
fall_capacitance : 0.0040380000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0051384000, 0.0051061000, 0.0050424000, 0.0050485000, 0.0050929000, 0.0052450000, 0.0057010000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.003034400, -0.003050700, -0.003057500, -0.003079200, -0.003101400, -0.003125100, -0.003152300");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0046940000;
}
pin ("X") {
direction : "output";
function : "(A1&A2) | (B1) | (C1) | (D1)";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018161290, 0.0065966500, 0.0239607400, 0.0870315800, 0.3161212000, 1.1482340000");
values("0.0304369000, 0.0289601000, 0.0243349000, 0.0060438000, -0.068957800, -0.359812500, -1.424681500", \
"0.0303650000, 0.0288966000, 0.0242392000, 0.0060772000, -0.068996800, -0.359835900, -1.424707900", \
"0.0299823000, 0.0286436000, 0.0239968000, 0.0057347000, -0.069262400, -0.360101000, -1.424943400", \
"0.0295521000, 0.0281237000, 0.0236206000, 0.0053720000, -0.069833200, -0.360596100, -1.425407300", \
"0.0290763000, 0.0276418000, 0.0229887000, 0.0048279000, -0.070378000, -0.361132900, -1.425898900", \
"0.0286421000, 0.0272635000, 0.0227637000, 0.0043882000, -0.070680800, -0.361375400, -1.426054600", \
"0.0398836000, 0.0381308000, 0.0319525000, 0.0099291000, -0.070149500, -0.361828700, -1.426257800");
}
related_pin : "A1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018161290, 0.0065966500, 0.0239607400, 0.0870315800, 0.3161212000, 1.1482340000");
values("0.0315165000, 0.0333044000, 0.0401057000, 0.0639182000, 0.1453751000, 0.4361145000, 1.4920912000", \
"0.0313941000, 0.0332219000, 0.0400899000, 0.0637187000, 0.1452145000, 0.4363202000, 1.4930580000", \
"0.0312143000, 0.0330615000, 0.0398953000, 0.0634933000, 0.1450357000, 0.4377562000, 1.4948902000", \
"0.0308730000, 0.0327659000, 0.0395230000, 0.0632264000, 0.1446745000, 0.4375014000, 1.4947327000", \
"0.0309399000, 0.0327586000, 0.0394100000, 0.0625050000, 0.1440609000, 0.4350182000, 1.4899599000", \
"0.0327444000, 0.0344693000, 0.0406187000, 0.0629198000, 0.1433409000, 0.4341354000, 1.4899274000", \
"0.0355440000, 0.0372300000, 0.0431339000, 0.0654880000, 0.1455585000, 0.4359643000, 1.4888534000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018161290, 0.0065966500, 0.0239607400, 0.0870315800, 0.3161212000, 1.1482340000");
values("0.0351355000, 0.0337257000, 0.0290596000, 0.0106448000, -0.064668300, -0.355754500, -1.420611100", \
"0.0347660000, 0.0333111000, 0.0286994000, 0.0103145000, -0.064854900, -0.355912000, -1.420764100", \
"0.0345892000, 0.0331500000, 0.0285614000, 0.0102115000, -0.065012700, -0.356007600, -1.420917000", \
"0.0343356000, 0.0328745000, 0.0282355000, 0.0099081000, -0.065306900, -0.356269700, -1.421120700", \
"0.0340015000, 0.0324776000, 0.0279504000, 0.0096922000, -0.065623500, -0.356595100, -1.421436900", \
"0.0339691000, 0.0325272000, 0.0278209000, 0.0093900000, -0.065933200, -0.356724100, -1.421436600", \
"0.0452525000, 0.0435092000, 0.0368546000, 0.0148086000, -0.065002000, -0.356946900, -1.421422700");
}
related_pin : "A2";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018161290, 0.0065966500, 0.0239607400, 0.0870315800, 0.3161212000, 1.1482340000");
values("0.0320205000, 0.0338636000, 0.0406110000, 0.0644553000, 0.1457293000, 0.4364255000, 1.4933347000", \
"0.0318898000, 0.0337322000, 0.0405722000, 0.0641621000, 0.1455592000, 0.4380715000, 1.4950119000", \
"0.0316438000, 0.0334718000, 0.0404049000, 0.0638931000, 0.1453740000, 0.4380862000, 1.4954671000", \
"0.0313889000, 0.0332300000, 0.0400765000, 0.0636350000, 0.1452222000, 0.4357277000, 1.4905623000", \
"0.0314316000, 0.0332901000, 0.0399983000, 0.0633165000, 0.1447867000, 0.4358600000, 1.4922154000", \
"0.0335828000, 0.0353183000, 0.0415737000, 0.0638443000, 0.1446918000, 0.4347019000, 1.4952083000", \
"0.0357934000, 0.0374567000, 0.0435193000, 0.0658340000, 0.1459879000, 0.4370416000, 1.4948841000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018161290, 0.0065966500, 0.0239607400, 0.0870315800, 0.3161212000, 1.1482340000");
values("0.0313605000, 0.0299082000, 0.0252938000, 0.0069076000, -0.068248500, -0.359064500, -1.423815400", \
"0.0312321000, 0.0297684000, 0.0251360000, 0.0070274000, -0.068301500, -0.359245800, -1.423885200", \
"0.0310764000, 0.0296005000, 0.0249651000, 0.0066810000, -0.068542500, -0.359476700, -1.424156600", \
"0.0306070000, 0.0291472000, 0.0245139000, 0.0061599000, -0.068982700, -0.359808100, -1.424505500", \
"0.0302798000, 0.0288175000, 0.0242793000, 0.0059720000, -0.069291900, -0.360163100, -1.424772800", \
"0.0303159000, 0.0288078000, 0.0241474000, 0.0057330000, -0.069612700, -0.360280800, -1.424787800", \
"0.0416072000, 0.0398502000, 0.0335753000, 0.0113748000, -0.068734600, -0.360382000, -1.424719600");
}
related_pin : "B1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018161290, 0.0065966500, 0.0239607400, 0.0870315800, 0.3161212000, 1.1482340000");
values("0.0290796000, 0.0310588000, 0.0379070000, 0.0613409000, 0.1423693000, 0.4343337000, 1.4881458000", \
"0.0291332000, 0.0310856000, 0.0379596000, 0.0613740000, 0.1423032000, 0.4346493000, 1.4915104000", \
"0.0291599000, 0.0311334000, 0.0379991000, 0.0613951000, 0.1423657000, 0.4345915000, 1.4880958000", \
"0.0290705000, 0.0309731000, 0.0377252000, 0.0609329000, 0.1418810000, 0.4344070000, 1.4921750000", \
"0.0287772000, 0.0306175000, 0.0372373000, 0.0601591000, 0.1412027000, 0.4319099000, 1.4919644000", \
"0.0301945000, 0.0319166000, 0.0381500000, 0.0606484000, 0.1410337000, 0.4307079000, 1.4873340000", \
"0.0328338000, 0.0344878000, 0.0405445000, 0.0629848000, 0.1437050000, 0.4341205000, 1.4867961000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018161290, 0.0065966500, 0.0239607400, 0.0870315800, 0.3161212000, 1.1482340000");
values("0.0275444000, 0.0260873000, 0.0214754000, 0.0030987000, -0.072045600, -0.362774500, -1.427311000", \
"0.0274633000, 0.0260180000, 0.0213924000, 0.0031024000, -0.072145800, -0.362843400, -1.427493200", \
"0.0271374000, 0.0256839000, 0.0211040000, 0.0027369000, -0.072428200, -0.363114400, -1.427717200", \
"0.0267792000, 0.0253573000, 0.0207312000, 0.0023610000, -0.072747900, -0.363471100, -1.428086400", \
"0.0265476000, 0.0251486000, 0.0203982000, 0.0020837000, -0.073161400, -0.363800200, -1.428273400", \
"0.0261769000, 0.0247275000, 0.0201972000, 0.0018787000, -0.073540600, -0.364107000, -1.428477500", \
"0.0384143000, 0.0366098000, 0.0302587000, 0.0079694000, -0.072391400, -0.363969700, -1.428168700");
}
related_pin : "C1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018161290, 0.0065966500, 0.0239607400, 0.0870315800, 0.3161212000, 1.1482340000");
values("0.0275753000, 0.0295103000, 0.0362876000, 0.0594623000, 0.1401997000, 0.4304168000, 1.4893979000", \
"0.0277035000, 0.0296237000, 0.0363929000, 0.0595722000, 0.1402277000, 0.4325024000, 1.4858485000", \
"0.0278641000, 0.0297981000, 0.0365630000, 0.0597245000, 0.1404136000, 0.4326215000, 1.4869804000", \
"0.0276007000, 0.0295098000, 0.0361654000, 0.0592311000, 0.1401273000, 0.4306031000, 1.4855944000", \
"0.0271277000, 0.0288965000, 0.0354213000, 0.0582129000, 0.1389668000, 0.4300148000, 1.4897564000", \
"0.0278708000, 0.0295808000, 0.0358017000, 0.0585365000, 0.1386748000, 0.4283469000, 1.4888117000", \
"0.0302951000, 0.0319320000, 0.0379855000, 0.0604238000, 0.1412221000, 0.4315001000, 1.4840592000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018161290, 0.0065966500, 0.0239607400, 0.0870315800, 0.3161212000, 1.1482340000");
values("0.0252898000, 0.0236296000, 0.0190379000, 0.0006860000, -0.074389200, -0.364932700, -1.429483900", \
"0.0250722000, 0.0234831000, 0.0189287000, 0.0005177000, -0.074492600, -0.365073100, -1.429563900", \
"0.0247036000, 0.0232358000, 0.0187294000, 0.0004200000, -0.074706700, -0.365364900, -1.429800800", \
"0.0243590000, 0.0228952000, 0.0182135000, -8.49000e-05, -0.075201100, -0.365760600, -1.430232100", \
"0.0239633000, 0.0225074000, 0.0179137000, -0.000479000, -0.075564100, -0.366117400, -1.430575800", \
"0.0242138000, 0.0226683000, 0.0179182000, -0.000454000, -0.075890800, -0.366374300, -1.430608500", \
"0.0385666000, 0.0357003000, 0.0302281000, 0.0076830000, -0.072921200, -0.364893900, -1.429022500");
}
related_pin : "D1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018161290, 0.0065966500, 0.0239607400, 0.0870315800, 0.3161212000, 1.1482340000");
values("0.0212778000, 0.0232042000, 0.0299375000, 0.0530936000, 0.1336244000, 0.4214287000, 1.4770958000", \
"0.0212841000, 0.0232208000, 0.0300081000, 0.0531635000, 0.1335756000, 0.4244217000, 1.4811508000", \
"0.0211987000, 0.0231467000, 0.0298878000, 0.0530130000, 0.1336405000, 0.4215617000, 1.4796211000", \
"0.0207657000, 0.0226600000, 0.0292784000, 0.0522444000, 0.1330471000, 0.4240951000, 1.4758758000", \
"0.0203717000, 0.0221499000, 0.0286005000, 0.0514589000, 0.1320897000, 0.4230544000, 1.4740114000", \
"0.0206607000, 0.0223865000, 0.0286078000, 0.0513536000, 0.1314271000, 0.4230892000, 1.4783747000", \
"0.0231720000, 0.0248360000, 0.0308473000, 0.0531001000, 0.1340414000, 0.4258009000, 1.4788993000");
}
}
max_capacitance : 0.3378390000;
max_transition : 1.5002330000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018161300, 0.0065966500, 0.0239607000, 0.0870316000, 0.3161210000, 1.1482300000");
values("0.7649271000, 0.7785707000, 0.8164707000, 0.9034194000, 1.0879652000, 1.5164696000, 2.7980160000", \
"0.7720548000, 0.7857118000, 0.8235457000, 0.9107631000, 1.0952617000, 1.5237073000, 2.8042852000", \
"0.7909556000, 0.8047162000, 0.8425189000, 0.9296355000, 1.1141983000, 1.5427660000, 2.8243026000", \
"0.8458119000, 0.8594979000, 0.8971050000, 0.9837648000, 1.1675219000, 1.5971556000, 2.8799170000", \
"0.9876291000, 1.0012763000, 1.0392829000, 1.1256560000, 1.3094534000, 1.7391258000, 3.0209113000", \
"1.3169228000, 1.3310953000, 1.3709497000, 1.4601947000, 1.6463143000, 2.0784061000, 3.3623062000", \
"1.9342350000, 1.9510917000, 1.9971567000, 2.1008297000, 2.3143292000, 2.7777627000, 4.0805266000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018161300, 0.0065966500, 0.0239607000, 0.0870316000, 0.3161210000, 1.1482300000");
values("0.2388872000, 0.2485395000, 0.2773673000, 0.3519819000, 0.5638270000, 1.2785621000, 3.8426275000", \
"0.2454048000, 0.2553251000, 0.2840204000, 0.3585086000, 0.5703308000, 1.2840706000, 3.8501620000", \
"0.2661756000, 0.2760941000, 0.3048244000, 0.3791559000, 0.5906809000, 1.3071881000, 3.8644914000", \
"0.3267688000, 0.3365657000, 0.3649590000, 0.4390210000, 0.6499398000, 1.3665487000, 3.9225241000", \
"0.5023184000, 0.5122304000, 0.5402978000, 0.6126815000, 0.8223838000, 1.5352472000, 4.1025285000", \
"0.8334716000, 0.8463426000, 0.8799134000, 0.9581906000, 1.1699757000, 1.8824684000, 4.4500559000", \
"1.3528436000, 1.3712698000, 1.4209831000, 1.5272353000, 1.7494944000, 2.4591991000, 5.0203379000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018161300, 0.0065966500, 0.0239607000, 0.0870316000, 0.3161210000, 1.1482300000");
values("0.0858897000, 0.0937640000, 0.1154204000, 0.1716255000, 0.3210752000, 0.7680238000, 2.4466784000", \
"0.0858125000, 0.0936904000, 0.1154056000, 0.1741310000, 0.3208801000, 0.7671775000, 2.4470364000", \
"0.0861280000, 0.0936655000, 0.1153802000, 0.1716387000, 0.3210506000, 0.7678194000, 2.4466797000", \
"0.0859514000, 0.0937391000, 0.1154457000, 0.1743869000, 0.3216312000, 0.7665270000, 2.4435709000", \
"0.0857529000, 0.0941553000, 0.1167785000, 0.1727053000, 0.3213535000, 0.7674668000, 2.4467806000", \
"0.0915915000, 0.1005501000, 0.1231175000, 0.1784399000, 0.3258557000, 0.7684792000, 2.4474489000", \
"0.1146256000, 0.1237104000, 0.1491838000, 0.2106676000, 0.3689716000, 0.8123808000, 2.4533788000");
}
related_pin : "A1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018161300, 0.0065966500, 0.0239607000, 0.0870316000, 0.3161210000, 1.1482300000");
values("0.0493523000, 0.0572237000, 0.0805649000, 0.1537207000, 0.4174951000, 1.4054496000, 4.9964184000", \
"0.0492023000, 0.0565221000, 0.0799864000, 0.1535398000, 0.4179363000, 1.4047936000, 4.9950919000", \
"0.0492944000, 0.0565848000, 0.0797944000, 0.1532137000, 0.4177204000, 1.4039585000, 4.9917714000", \
"0.0488863000, 0.0563173000, 0.0795572000, 0.1526128000, 0.4171488000, 1.4055208000, 4.9901843000", \
"0.0501986000, 0.0571424000, 0.0792595000, 0.1519441000, 0.4151612000, 1.4016928000, 4.9908442000", \
"0.0701197000, 0.0772935000, 0.0972679000, 0.1656014000, 0.4200202000, 1.4031974000, 4.9883755000", \
"0.1133454000, 0.1222906000, 0.1473207000, 0.2092619000, 0.4372120000, 1.4049960000, 4.9959512000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018161300, 0.0065966500, 0.0239607000, 0.0870316000, 0.3161210000, 1.1482300000");
values("0.8320879000, 0.8459565000, 0.8841309000, 0.9719759000, 1.1569048000, 1.5879204000, 2.8747422000", \
"0.8382280000, 0.8521963000, 0.8903870000, 0.9777261000, 1.1637026000, 1.5946056000, 2.8808504000", \
"0.8593849000, 0.8733030000, 0.9116287000, 0.9989332000, 1.1851761000, 1.6158019000, 2.9024636000", \
"0.9183395000, 0.9322594000, 0.9705533000, 1.0578433000, 1.2436780000, 1.6743299000, 2.9584497000", \
"1.0620759000, 1.0753778000, 1.1140530000, 1.2011558000, 1.3868083000, 1.8177024000, 3.1036993000", \
"1.3963745000, 1.4106072000, 1.4504813000, 1.5387095000, 1.7252827000, 2.1577864000, 3.4447354000", \
"2.0395399000, 2.0565545000, 2.1010238000, 2.2026851000, 2.4136970000, 2.8770553000, 4.1784372000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018161300, 0.0065966500, 0.0239607000, 0.0870316000, 0.3161210000, 1.1482300000");
values("0.2475559000, 0.2574890000, 0.2859434000, 0.3605982000, 0.5721165000, 1.2859096000, 3.8506647000", \
"0.2554484000, 0.2653749000, 0.2941466000, 0.3685511000, 0.5798679000, 1.2958302000, 3.8542654000", \
"0.2758834000, 0.2857736000, 0.3146497000, 0.3888156000, 0.6000581000, 1.3161640000, 3.8765497000", \
"0.3294652000, 0.3393116000, 0.3678590000, 0.4419961000, 0.6532940000, 1.3676463000, 3.9336538000", \
"0.4754257000, 0.4854493000, 0.5142379000, 0.5879238000, 0.7980482000, 1.5118585000, 4.0770765000", \
"0.7806378000, 0.7924189000, 0.8257158000, 0.9047674000, 1.1187463000, 1.8316914000, 4.3981947000", \
"1.3183282000, 1.3348249000, 1.3794081000, 1.4757746000, 1.7035510000, 2.4175899000, 4.9760320000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018161300, 0.0065966500, 0.0239607000, 0.0870316000, 0.3161210000, 1.1482300000");
values("0.0896533000, 0.0964460000, 0.1184888000, 0.1769985000, 0.3244754000, 0.7692204000, 2.4463833000", \
"0.0890481000, 0.0969053000, 0.1183326000, 0.1745688000, 0.3236024000, 0.7690690000, 2.4517508000", \
"0.0893628000, 0.0974184000, 0.1184329000, 0.1749268000, 0.3227012000, 0.7689898000, 2.4506521000", \
"0.0888722000, 0.0967305000, 0.1188617000, 0.1738930000, 0.3236154000, 0.7677009000, 2.4509121000", \
"0.0890048000, 0.0963731000, 0.1184879000, 0.1749352000, 0.3240243000, 0.7693204000, 2.4503344000", \
"0.0926995000, 0.1004999000, 0.1224459000, 0.1781437000, 0.3272392000, 0.7704815000, 2.4517754000", \
"0.1149330000, 0.1228554000, 0.1482651000, 0.2112371000, 0.3645786000, 0.8075528000, 2.4599593000");
}
related_pin : "A2";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018161300, 0.0065966500, 0.0239607000, 0.0870316000, 0.3161210000, 1.1482300000");
values("0.0492550000, 0.0565800000, 0.0804641000, 0.1536920000, 0.4182108000, 1.4030406000, 4.9961204000", \
"0.0493202000, 0.0566502000, 0.0798578000, 0.1534369000, 0.4177705000, 1.4024211000, 4.9929025000", \
"0.0492527000, 0.0564441000, 0.0796372000, 0.1531877000, 0.4178186000, 1.4061221000, 4.9939968000", \
"0.0487786000, 0.0564212000, 0.0796443000, 0.1529129000, 0.4179277000, 1.4008462000, 4.9909525000", \
"0.0508680000, 0.0582124000, 0.0801001000, 0.1527903000, 0.4158352000, 1.4048080000, 4.9950533000", \
"0.0623411000, 0.0698619000, 0.0931680000, 0.1646359000, 0.4206465000, 1.4035699000, 4.9973070000", \
"0.0959714000, 0.1046381000, 0.1294873000, 0.2010937000, 0.4345285000, 1.4039072000, 4.9956070000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018161300, 0.0065966500, 0.0239607000, 0.0870316000, 0.3161210000, 1.1482300000");
values("0.7997867000, 0.8137320000, 0.8518917000, 0.9392848000, 1.1253188000, 1.5563262000, 2.8411640000", \
"0.8040878000, 0.8180319000, 0.8563545000, 0.9437132000, 1.1289449000, 1.5601360000, 2.8472862000", \
"0.8202872000, 0.8342954000, 0.8725842000, 0.9604947000, 1.1452940000, 1.5767040000, 2.8632876000", \
"0.8720410000, 0.8859584000, 0.9241676000, 1.0114976000, 1.1971311000, 1.6283099000, 2.9128824000", \
"1.0093372000, 1.0233527000, 1.0613349000, 1.1483939000, 1.3346484000, 1.7658004000, 3.0530851000", \
"1.3565153000, 1.3702971000, 1.4109642000, 1.5013031000, 1.6891694000, 2.1224844000, 3.4083014000", \
"2.0531994000, 2.0709392000, 2.1191495000, 2.2275279000, 2.4438345000, 2.9102252000, 4.2145882000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018161300, 0.0065966500, 0.0239607000, 0.0870316000, 0.3161210000, 1.1482300000");
values("0.1332398000, 0.1409124000, 0.1634424000, 0.2261878000, 0.4250463000, 1.1326090000, 3.6872558000", \
"0.1415670000, 0.1492220000, 0.1716829000, 0.2343986000, 0.4337810000, 1.1402903000, 3.6920207000", \
"0.1649993000, 0.1726184000, 0.1950877000, 0.2576724000, 0.4569508000, 1.1639841000, 3.7159957000", \
"0.2330852000, 0.2405413000, 0.2624971000, 0.3244081000, 0.5237000000, 1.2306486000, 3.7809764000", \
"0.3857268000, 0.3945121000, 0.4191702000, 0.4835692000, 0.6824099000, 1.3881020000, 3.9493186000", \
"0.6434335000, 0.6566291000, 0.6903625000, 0.7656967000, 0.9686701000, 1.6748234000, 4.2314774000", \
"1.0254536000, 1.0472399000, 1.1020782000, 1.2110940000, 1.4351034000, 2.1404500000, 4.6938911000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018161300, 0.0065966500, 0.0239607000, 0.0870316000, 0.3161210000, 1.1482300000");
values("0.0889485000, 0.0967948000, 0.1186334000, 0.1742643000, 0.3235273000, 0.7685639000, 2.4498905000", \
"0.0889005000, 0.0967651000, 0.1188058000, 0.1771785000, 0.3241473000, 0.7695744000, 2.4508835000", \
"0.0888427000, 0.0963062000, 0.1181337000, 0.1765351000, 0.3243929000, 0.7685033000, 2.4447611000", \
"0.0888761000, 0.0967280000, 0.1186976000, 0.1740253000, 0.3232332000, 0.7675079000, 2.4508624000", \
"0.0895778000, 0.0974403000, 0.1193648000, 0.1755249000, 0.3237915000, 0.7693245000, 2.4478499000", \
"0.0960398000, 0.1031859000, 0.1257604000, 0.1804751000, 0.3283673000, 0.7714233000, 2.4499540000", \
"0.1266354000, 0.1353654000, 0.1594446000, 0.2199199000, 0.3716775000, 0.8126186000, 2.4628013000");
}
related_pin : "B1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018161300, 0.0065966500, 0.0239607000, 0.0870316000, 0.3161210000, 1.1482300000");
values("0.0358450000, 0.0416418000, 0.0613844000, 0.1320172000, 0.4013561000, 1.3896966000, 4.9851563000", \
"0.0355662000, 0.0414821000, 0.0614155000, 0.1321615000, 0.4011281000, 1.3925021000, 4.9784190000", \
"0.0354968000, 0.0414770000, 0.0612325000, 0.1319070000, 0.4013832000, 1.3930088000, 4.9832192000", \
"0.0350589000, 0.0411613000, 0.0609903000, 0.1319116000, 0.4008212000, 1.3931140000, 4.9813558000", \
"0.0449966000, 0.0505375000, 0.0686297000, 0.1353789000, 0.4007875000, 1.3908229000, 4.9879714000", \
"0.0711120000, 0.0777364000, 0.0942979000, 0.1531316000, 0.4054349000, 1.3879169000, 4.9851900000", \
"0.1229325000, 0.1329178000, 0.1554341000, 0.2075144000, 0.4256385000, 1.4038652000, 4.9755737000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018161300, 0.0065966500, 0.0239607000, 0.0870316000, 0.3161210000, 1.1482300000");
values("0.7350719000, 0.7490268000, 0.7871703000, 0.8745437000, 1.0606090000, 1.4917944000, 2.7770872000", \
"0.7384081000, 0.7525927000, 0.7910769000, 0.8782130000, 1.0633022000, 1.4949725000, 2.7812201000", \
"0.7530963000, 0.7669803000, 0.8053071000, 0.8926660000, 1.0789121000, 1.5098971000, 2.7969831000", \
"0.8027216000, 0.8166891000, 0.8547591000, 0.9420420000, 1.1282483000, 1.5592623000, 2.8463043000", \
"0.9447023000, 0.9586485000, 0.9972324000, 1.0839429000, 1.2702449000, 1.7016049000, 2.9873357000", \
"1.3320858000, 1.3466731000, 1.3875706000, 1.4787326000, 1.6680277000, 2.1014586000, 3.3876533000", \
"2.1028572000, 2.1221782000, 2.1734785000, 2.2861333000, 2.5043050000, 2.9669291000, 4.2721426000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018161300, 0.0065966500, 0.0239607000, 0.0870316000, 0.3161210000, 1.1482300000");
values("0.1327542000, 0.1403346000, 0.1626203000, 0.2247506000, 0.4228181000, 1.1270794000, 3.6856671000", \
"0.1409378000, 0.1485327000, 0.1707851000, 0.2329025000, 0.4303894000, 1.1367760000, 3.6904411000", \
"0.1643171000, 0.1719117000, 0.1942230000, 0.2562371000, 0.4539988000, 1.1607405000, 3.7156445000", \
"0.2311741000, 0.2386968000, 0.2607999000, 0.3226425000, 0.5204238000, 1.2256558000, 3.7765306000", \
"0.3762909000, 0.3852380000, 0.4101785000, 0.4751700000, 0.6742379000, 1.3799186000, 3.9402597000", \
"0.6170818000, 0.6307616000, 0.6657058000, 0.7428109000, 0.9464861000, 1.6518806000, 4.2092783000", \
"0.9611695000, 0.9839312000, 1.0415001000, 1.1549799000, 1.3831114000, 2.0891076000, 4.6396886000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018161300, 0.0065966500, 0.0239607000, 0.0870316000, 0.3161210000, 1.1482300000");
values("0.0889716000, 0.0968281000, 0.1186253000, 0.1741428000, 0.3234989000, 0.7687275000, 2.4492497000", \
"0.0890072000, 0.0964328000, 0.1196787000, 0.1746397000, 0.3234798000, 0.7704574000, 2.4462175000", \
"0.0886280000, 0.0963294000, 0.1183851000, 0.1746634000, 0.3231596000, 0.7690509000, 2.4504883000", \
"0.0888128000, 0.0965402000, 0.1183899000, 0.1745994000, 0.3232644000, 0.7690649000, 2.4511224000", \
"0.0891232000, 0.0975136000, 0.1197074000, 0.1752725000, 0.3225186000, 0.7693364000, 2.4497389000", \
"0.0973242000, 0.1047558000, 0.1279204000, 0.1834057000, 0.3281419000, 0.7709120000, 2.4482527000", \
"0.1404925000, 0.1502912000, 0.1756033000, 0.2321261000, 0.3731613000, 0.8094768000, 2.4657658000");
}
related_pin : "C1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018161300, 0.0065966500, 0.0239607000, 0.0870316000, 0.3161210000, 1.1482300000");
values("0.0349188000, 0.0408253000, 0.0606004000, 0.1310562000, 0.4000240000, 1.3902450000, 4.9847970000", \
"0.0348722000, 0.0409094000, 0.0606422000, 0.1310413000, 0.3989871000, 1.3940057000, 4.9857554000", \
"0.0348713000, 0.0409000000, 0.0605629000, 0.1309022000, 0.3991641000, 1.3932849000, 4.9845670000", \
"0.0352024000, 0.0410997000, 0.0607725000, 0.1309781000, 0.3998472000, 1.3923556000, 4.9834576000", \
"0.0453038000, 0.0507809000, 0.0693146000, 0.1356652000, 0.4002137000, 1.3936716000, 4.9876201000", \
"0.0736206000, 0.0800964000, 0.0967890000, 0.1551010000, 0.4055933000, 1.3894840000, 4.9849733000", \
"0.1289143000, 0.1401729000, 0.1634833000, 0.2152054000, 0.4299498000, 1.3945086000, 4.9817146000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018161300, 0.0065966500, 0.0239607000, 0.0870316000, 0.3161210000, 1.1482300000");
values("0.6037940000, 0.6177246000, 0.6561131000, 0.7435272000, 0.9293545000, 1.3608324000, 2.6456466000", \
"0.6066636000, 0.6204962000, 0.6587969000, 0.7464082000, 0.9322851000, 1.3634918000, 2.6503448000", \
"0.6190202000, 0.6330311000, 0.6709361000, 0.7580557000, 0.9445836000, 1.3760125000, 2.6635243000", \
"0.6650104000, 0.6787104000, 0.7171460000, 0.8042211000, 0.9907314000, 1.4222351000, 2.7082274000", \
"0.8168658000, 0.8300469000, 0.8689285000, 0.9564026000, 1.1424078000, 1.5735666000, 2.8605840000", \
"1.2449071000, 1.2591024000, 1.2986452000, 1.3858845000, 1.5717103000, 2.0043200000, 3.2901770000", \
"2.0187663000, 2.0374180000, 2.0952722000, 2.2100721000, 2.4185249000, 2.8643758000, 4.1652863000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018161300, 0.0065966500, 0.0239607000, 0.0870316000, 0.3161210000, 1.1482300000");
values("0.1221537000, 0.1297417000, 0.1521291000, 0.2141741000, 0.4108996000, 1.1164772000, 3.6684211000", \
"0.1305436000, 0.1381515000, 0.1605264000, 0.2226099000, 0.4198334000, 1.1244601000, 3.6811507000", \
"0.1544127000, 0.1619778000, 0.1842886000, 0.2462545000, 0.4432093000, 1.1476848000, 3.7112713000", \
"0.2206987000, 0.2282632000, 0.2503775000, 0.3122085000, 0.5100692000, 1.2158642000, 3.7633967000", \
"0.3581543000, 0.3675370000, 0.3933499000, 0.4593747000, 0.6584346000, 1.3631184000, 3.9249319000", \
"0.5853016000, 0.6002727000, 0.6379534000, 0.7184478000, 0.9234348000, 1.6282279000, 4.1857091000", \
"0.9106979000, 0.9351949000, 0.9987555000, 1.1214031000, 1.3576709000, 2.0655795000, 4.6149249000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018161300, 0.0065966500, 0.0239607000, 0.0870316000, 0.3161210000, 1.1482300000");
values("0.0895517000, 0.0973989000, 0.1185493000, 0.1738459000, 0.3227957000, 0.7673240000, 2.4508136000", \
"0.0892986000, 0.0970050000, 0.1185312000, 0.1748282000, 0.3237638000, 0.7691500000, 2.4516966000", \
"0.0895792000, 0.0974366000, 0.1191613000, 0.1756365000, 0.3237069000, 0.7692136000, 2.4485793000", \
"0.0888462000, 0.0963719000, 0.1179011000, 0.1750359000, 0.3223332000, 0.7692303000, 2.4492990000", \
"0.0889659000, 0.0978897000, 0.1193066000, 0.1744419000, 0.3233848000, 0.7690454000, 2.4515552000", \
"0.0988722000, 0.1052468000, 0.1257588000, 0.1792770000, 0.3251743000, 0.7695817000, 2.4493747000", \
"0.1581335000, 0.1677864000, 0.1904251000, 0.2402845000, 0.3650514000, 0.7952072000, 2.4634190000");
}
related_pin : "D1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018161300, 0.0065966500, 0.0239607000, 0.0870316000, 0.3161210000, 1.1482300000");
values("0.0345124000, 0.0403500000, 0.0600263000, 0.1302867000, 0.3991595000, 1.3910633000, 4.9894884000", \
"0.0345125000, 0.0403466000, 0.0600782000, 0.1303494000, 0.3990030000, 1.3972213000, 4.9790265000", \
"0.0343824000, 0.0404413000, 0.0600218000, 0.1302970000, 0.3991316000, 1.3902762000, 4.9987259000", \
"0.0353911000, 0.0411523000, 0.0606413000, 0.1306716000, 0.3996120000, 1.3982960000, 4.9813500000", \
"0.0479805000, 0.0534393000, 0.0714900000, 0.1369814000, 0.3997399000, 1.3959300000, 4.9795994000", \
"0.0801232000, 0.0866955000, 0.1035091000, 0.1602698000, 0.4059172000, 1.3888296000, 4.9754072000", \
"0.1428809000, 0.1551451000, 0.1796038000, 0.2321368000, 0.4379407000, 1.3980896000, 4.9762670000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
/* removed sky130_fd_sc_hd__a2111oi_0 */
/* removed sky130_fd_sc_hd__a2111oi_1 */
/* removed sky130_fd_sc_hd__a2111oi_2 */
cell ("sky130_fd_sc_hd__a2111oi_4") {
leakage_power () {
value : 5.9573448000;
when : "!A1&!A2&!B1&!C1&D1";
}
leakage_power () {
value : 11.753171800;
when : "!A1&!A2&!B1&!C1&!D1";
}
leakage_power () {
value : 0.4155550000;
when : "!A1&!A2&!B1&C1&D1";
}
leakage_power () {
value : 3.8719215000;
when : "!A1&!A2&!B1&C1&!D1";
}
leakage_power () {
value : 0.3916170000;
when : "!A1&!A2&B1&!C1&D1";
}
leakage_power () {
value : 3.4379048000;
when : "!A1&!A2&B1&!C1&!D1";
}
leakage_power () {
value : 0.2077545000;
when : "!A1&!A2&B1&C1&D1";
}
leakage_power () {
value : 0.3788989000;
when : "!A1&!A2&B1&C1&!D1";
}
leakage_power () {
value : 5.9570389000;
when : "!A1&A2&!B1&!C1&D1";
}
leakage_power () {
value : 15.035396900;
when : "!A1&A2&!B1&!C1&!D1";
}
leakage_power () {
value : 0.4155534000;
when : "!A1&A2&!B1&C1&D1";
}
leakage_power () {
value : 3.8717958000;
when : "!A1&A2&!B1&C1&!D1";
}
leakage_power () {
value : 0.3916156000;
when : "!A1&A2&B1&!C1&D1";
}
leakage_power () {
value : 3.4378040000;
when : "!A1&A2&B1&!C1&!D1";
}
leakage_power () {
value : 0.2077540000;
when : "!A1&A2&B1&C1&D1";
}
leakage_power () {
value : 0.3788976000;
when : "!A1&A2&B1&C1&!D1";
}
leakage_power () {
value : 5.9570051000;
when : "A1&!A2&!B1&!C1&D1";
}
leakage_power () {
value : 14.263684500;
when : "A1&!A2&!B1&!C1&!D1";
}
leakage_power () {
value : 0.4155532000;
when : "A1&!A2&!B1&C1&D1";
}
leakage_power () {
value : 3.8717820000;
when : "A1&!A2&!B1&C1&!D1";
}
leakage_power () {
value : 0.3916149000;
when : "A1&!A2&B1&!C1&D1";
}
leakage_power () {
value : 3.4377969000;
when : "A1&!A2&B1&!C1&!D1";
}
leakage_power () {
value : 0.2077540000;
when : "A1&!A2&B1&C1&D1";
}
leakage_power () {
value : 0.3788976000;
when : "A1&!A2&B1&C1&!D1";
}
leakage_power () {
value : 0.5758992000;
when : "A1&A2&!B1&!C1&D1";
}
leakage_power () {
value : 5.0714832000;
when : "A1&A2&!B1&!C1&!D1";
}
leakage_power () {
value : 0.2497086000;
when : "A1&A2&!B1&C1&D1";
}
leakage_power () {
value : 0.5520983000;
when : "A1&A2&!B1&C1&!D1";
}
leakage_power () {
value : 0.2422265000;
when : "A1&A2&B1&!C1&D1";
}
leakage_power () {
value : 0.5434105000;
when : "A1&A2&B1&!C1&!D1";
}
leakage_power () {
value : 0.1575523000;
when : "A1&A2&B1&C1&D1";
}
leakage_power () {
value : 0.2371297000;
when : "A1&A2&B1&C1&!D1";
}
area : 27.526400000;
cell_footprint : "sky130_fd_sc_hd__a2111oi";
cell_leakage_power : 2.8957380000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A1") {
capacitance : 0.0085160000;
clock : "false";
direction : "input";
fall_capacitance : 0.0082570000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0147456000, 0.0147224000, 0.0146726000, 0.0146724000, 0.0146875000, 0.0147453000, 0.0149239000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.011070400, -0.011127800, -0.011269000, -0.011238100, -0.011130700, -0.010807800, -0.009877700");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0087740000;
}
pin ("A2") {
capacitance : 0.0087880000;
clock : "false";
direction : "input";
fall_capacitance : 0.0084150000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0132000000, 0.0131758000, 0.0131238000, 0.0131198000, 0.0131245000, 0.0131540000, 0.0132530000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.013108300, -0.013108200, -0.013087700, -0.013102100, -0.013122100, -0.013158200, -0.013239700");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0091620000;
}
pin ("B1") {
capacitance : 0.0085460000;
clock : "false";
direction : "input";
fall_capacitance : 0.0080790000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0147488000, 0.0147380000, 0.0147103000, 0.0147092000, 0.0147085000, 0.0147090000, 0.0147126000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.011001100, -0.011086400, -0.011305700, -0.011353800, -0.011468200, -0.011769200, -0.012596200");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0090140000;
}
pin ("C1") {
capacitance : 0.0084770000;
clock : "false";
direction : "input";
fall_capacitance : 0.0079210000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0134680000, 0.0134527000, 0.0134121000, 0.0134132000, 0.0134189000, 0.0134372000, 0.0134913000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.011655800, -0.011826900, -0.012288800, -0.012316400, -0.012373700, -0.012514900, -0.012892000");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0090330000;
}
pin ("D1") {
capacitance : 0.0085010000;
clock : "false";
direction : "input";
fall_capacitance : 0.0078560000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0074153000, 0.0073906000, 0.0073236000, 0.0073561000, 0.0074505000, 0.0077187000, 0.0084769000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.005833000, -0.005840400, -0.005844500, -0.005853300, -0.005861200, -0.005866600, -0.005865200");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0091460000;
}
pin ("Y") {
direction : "output";
function : "(!A1&!B1&!C1&!D1) | (!A2&!B1&!C1&!D1)";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0013949310, 0.0038916670, 0.0108572200, 0.0302901400, 0.0845053300, 0.2357583000");
values("0.0243107000, 0.0231846000, 0.0200089000, 0.0111425000, -0.013609400, -0.082191800, -0.274478700", \
"0.0240677000, 0.0229205000, 0.0197148000, 0.0108613000, -0.013901200, -0.082424000, -0.274770700", \
"0.0236607000, 0.0225297000, 0.0193059000, 0.0104558000, -0.014265400, -0.082823900, -0.275165800", \
"0.0227939000, 0.0216742000, 0.0185206000, 0.0097028000, -0.014965900, -0.083479500, -0.275687700", \
"0.0221298000, 0.0210362000, 0.0178831000, 0.0092273000, -0.015415000, -0.083785300, -0.276069400", \
"0.0218288000, 0.0206834000, 0.0174909000, 0.0086141000, -0.016002700, -0.084320800, -0.276565100", \
"0.0248973000, 0.0237212000, 0.0204437000, 0.0113328000, -0.013508300, -0.083323000, -0.277279600");
}
related_pin : "A1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0013949310, 0.0038916670, 0.0108572200, 0.0302901400, 0.0845053300, 0.2357583000");
values("0.0446263000, 0.0458357000, 0.0490466000, 0.0579480000, 0.0826270000, 0.1509748000, 0.3424548000", \
"0.0442917000, 0.0454783000, 0.0487414000, 0.0577110000, 0.0823933000, 0.1511159000, 0.3427132000", \
"0.0436289000, 0.0447392000, 0.0480374000, 0.0571925000, 0.0821438000, 0.1511285000, 0.3425083000", \
"0.0428590000, 0.0439463000, 0.0472121000, 0.0563183000, 0.0816648000, 0.1508990000, 0.3427766000", \
"0.0422542000, 0.0433791000, 0.0466527000, 0.0555530000, 0.0804453000, 0.1496380000, 0.3418171000", \
"0.0420387000, 0.0432220000, 0.0464409000, 0.0553205000, 0.0800288000, 0.1487972000, 0.3411745000", \
"0.0409625000, 0.0421139000, 0.0453201000, 0.0541102000, 0.0799709000, 0.1481900000, 0.3402010000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0013949310, 0.0038916670, 0.0108572200, 0.0302901400, 0.0845053300, 0.2357583000");
values("0.0260867000, 0.0249487000, 0.0217724000, 0.0129488000, -0.011848000, -0.080380500, -0.272645100", \
"0.0257050000, 0.0245631000, 0.0214043000, 0.0125608000, -0.012208200, -0.080741900, -0.273044300", \
"0.0252103000, 0.0240697000, 0.0208766000, 0.0119784000, -0.012716700, -0.081287800, -0.273544100", \
"0.0246999000, 0.0235574000, 0.0203372000, 0.0114784000, -0.013257600, -0.081777200, -0.274118100", \
"0.0242035000, 0.0230606000, 0.0199409000, 0.0111914000, -0.013342800, -0.081909000, -0.274151500", \
"0.0240634000, 0.0229151000, 0.0197376000, 0.0108690000, -0.014014400, -0.082505200, -0.274485900", \
"0.0270882000, 0.0259517000, 0.0227251000, 0.0137282000, -0.011214000, -0.081013000, -0.274601700");
}
related_pin : "A2";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0013949310, 0.0038916670, 0.0108572200, 0.0302901400, 0.0845053300, 0.2357583000");
values("0.0557355000, 0.0568776000, 0.0600935000, 0.0689278000, 0.0935666000, 0.1622357000, 0.3541038000", \
"0.0554065000, 0.0565652000, 0.0597573000, 0.0686441000, 0.0934051000, 0.1620500000, 0.3537063000", \
"0.0549684000, 0.0561031000, 0.0592861000, 0.0682877000, 0.0930619000, 0.1619277000, 0.3534562000", \
"0.0542849000, 0.0554686000, 0.0587451000, 0.0677176000, 0.0925654000, 0.1614953000, 0.3533914000", \
"0.0537720000, 0.0549666000, 0.0582095000, 0.0671881000, 0.0920428000, 0.1611864000, 0.3528801000", \
"0.0536987000, 0.0548445000, 0.0580182000, 0.0669301000, 0.0917243000, 0.1606201000, 0.3526708000", \
"0.0526792000, 0.0538172000, 0.0570379000, 0.0657799000, 0.0915167000, 0.1602024000, 0.3523185000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0013949310, 0.0038916670, 0.0108572200, 0.0302901400, 0.0845053300, 0.2357583000");
values("0.0169006000, 0.0157691000, 0.0125681000, 0.0037116000, -0.020879000, -0.089629100, -0.282563200", \
"0.0169085000, 0.0157725000, 0.0126029000, 0.0037503000, -0.020862300, -0.089601200, -0.282481500", \
"0.0168585000, 0.0157208000, 0.0125409000, 0.0036967000, -0.020836500, -0.089534700, -0.282405700", \
"0.0161855000, 0.0150667000, 0.0119890000, 0.0033126000, -0.021120000, -0.089815400, -0.282656500", \
"0.0154418000, 0.0143096000, 0.0112027000, 0.0025058000, -0.021717800, -0.090078500, -0.282713400", \
"0.0166654000, 0.0155675000, 0.0124166000, 0.0035770000, -0.021111700, -0.090298500, -0.282851800", \
"0.0212675000, 0.0201033000, 0.0167466000, 0.0076143000, -0.017757600, -0.087637700, -0.281736400");
}
related_pin : "B1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0013949310, 0.0038916670, 0.0108572200, 0.0302901400, 0.0845053300, 0.2357583000");
values("0.0462282000, 0.0473870000, 0.0506220000, 0.0595358000, 0.0842053000, 0.1531178000, 0.3449777000", \
"0.0457860000, 0.0470753000, 0.0502257000, 0.0591789000, 0.0841371000, 0.1528621000, 0.3444657000", \
"0.0451748000, 0.0463469000, 0.0496556000, 0.0587103000, 0.0837378000, 0.1525522000, 0.3445710000", \
"0.0444999000, 0.0457010000, 0.0489755000, 0.0579604000, 0.0829581000, 0.1520777000, 0.3442792000", \
"0.0439958000, 0.0451699000, 0.0483672000, 0.0573508000, 0.0823364000, 0.1516375000, 0.3435185000", \
"0.0439807000, 0.0451195000, 0.0483085000, 0.0572022000, 0.0819902000, 0.1509512000, 0.3430236000", \
"0.0433488000, 0.0444666000, 0.0477061000, 0.0563223000, 0.0820625000, 0.1511353000, 0.3434612000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0013949310, 0.0038916670, 0.0108572200, 0.0302901400, 0.0845053300, 0.2357583000");
values("0.0128544000, 0.0117021000, 0.0085558000, -0.000284300, -0.024959600, -0.094076200, -0.287377000", \
"0.0129522000, 0.0118145000, 0.0086725000, -0.000134400, -0.024765700, -0.093857300, -0.287147300", \
"0.0130964000, 0.0120212000, 0.0089092000, 0.0002131000, -0.024305800, -0.093303800, -0.286503500", \
"0.0124051000, 0.0113332000, 0.0083167000, -0.000226300, -0.024404600, -0.093243600, -0.286351900", \
"0.0121416000, 0.0110895000, 0.0079927000, -0.000838800, -0.025219400, -0.093570500, -0.286329700", \
"0.0124679000, 0.0113239000, 0.0081547000, -0.000629900, -0.024998400, -0.094096900, -0.286685900", \
"0.0167672000, 0.0155967000, 0.0122596000, 0.0030800000, -0.022307300, -0.092248000, -0.285981800");
}
related_pin : "C1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0013949310, 0.0038916670, 0.0108572200, 0.0302901400, 0.0845053300, 0.2357583000");
values("0.0398743000, 0.0410063000, 0.0441926000, 0.0531301000, 0.0780759000, 0.1469592000, 0.3382889000", \
"0.0394442000, 0.0406503000, 0.0438227000, 0.0528552000, 0.0778157000, 0.1466517000, 0.3383001000", \
"0.0388124000, 0.0400121000, 0.0432215000, 0.0523037000, 0.0773362000, 0.1464838000, 0.3383399000", \
"0.0381874000, 0.0393719000, 0.0426058000, 0.0515888000, 0.0767671000, 0.1461205000, 0.3379520000", \
"0.0377157000, 0.0389222000, 0.0420621000, 0.0510574000, 0.0758947000, 0.1452789000, 0.3373677000", \
"0.0376628000, 0.0387958000, 0.0420152000, 0.0508884000, 0.0758827000, 0.1448509000, 0.3366604000", \
"0.0378624000, 0.0389596000, 0.0421402000, 0.0517159000, 0.0762535000, 0.1446783000, 0.3368744000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0013949310, 0.0038916670, 0.0108572200, 0.0302901400, 0.0845053300, 0.2357583000");
values("0.0015254000, 0.0004033000, -0.002738500, -0.011668300, -0.036649500, -0.106080100, -0.299660900", \
"0.0011586000, 8.560000e-05, -0.002962400, -0.011702100, -0.036472800, -0.105758300, -0.299297100", \
"0.0003104000, -0.000716100, -0.003662700, -0.012106700, -0.036471500, -0.105475300, -0.298851500", \
"-0.000557300, -0.001769500, -0.004629100, -0.012928900, -0.036908000, -0.105454700, -0.298562800", \
"-0.000937600, -0.002005900, -0.004978900, -0.013353200, -0.037665900, -0.105902400, -0.298637200", \
"-0.000661000, -0.001802800, -0.004996000, -0.013779500, -0.038028700, -0.106285400, -0.299143800", \
"0.0036632000, 0.0024014000, -0.001011600, -0.010395400, -0.036138500, -0.105941700, -0.298757800");
}
related_pin : "D1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0013949310, 0.0038916670, 0.0108572200, 0.0302901400, 0.0845053300, 0.2357583000");
values("0.0383563000, 0.0395268000, 0.0428730000, 0.0519822000, 0.0767513000, 0.1455011000, 0.3371860000", \
"0.0378316000, 0.0390316000, 0.0423935000, 0.0515711000, 0.0765657000, 0.1456286000, 0.3371941000", \
"0.0371856000, 0.0383621000, 0.0416596000, 0.0507167000, 0.0760997000, 0.1451814000, 0.3373392000", \
"0.0365133000, 0.0376676000, 0.0409308000, 0.0498122000, 0.0751607000, 0.1442302000, 0.3367395000", \
"0.0361072000, 0.0371942000, 0.0404451000, 0.0494365000, 0.0743920000, 0.1431620000, 0.3358870000", \
"0.0378649000, 0.0378390000, 0.0410314000, 0.0496445000, 0.0744958000, 0.1428914000, 0.3350052000", \
"0.0414819000, 0.0425673000, 0.0457482000, 0.0535166000, 0.0765905000, 0.1442977000, 0.3352185000");
}
}
max_capacitance : 0.0595190000;
max_transition : 1.4955890000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0013949300, 0.0038916700, 0.0108572000, 0.0302901000, 0.0845053000, 0.2357580000");
values("0.0729741000, 0.0770508000, 0.0880346000, 0.1166462000, 0.1890668000, 0.3729741000, 0.8563263000", \
"0.0803901000, 0.0843408000, 0.0950152000, 0.1234611000, 0.1955517000, 0.3789821000, 0.8625309000", \
"0.1025281000, 0.1064878000, 0.1168197000, 0.1446356000, 0.2163206000, 0.3990825000, 0.8818988000", \
"0.1684415000, 0.1721979000, 0.1822495000, 0.2073511000, 0.2763288000, 0.4581848000, 0.9399883000", \
"0.3040261000, 0.3099919000, 0.3257226000, 0.3643022000, 0.4497915000, 0.6323879000, 1.1111891000", \
"0.5232179000, 0.5332494000, 0.5598470000, 0.6253452000, 0.7704364000, 1.0636874000, 1.5998830000", \
"0.8305628000, 0.8480344000, 0.8944983000, 1.0099673000, 1.2669932000, 1.7877101000, 2.7131670000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0013949300, 0.0038916700, 0.0108572000, 0.0302901000, 0.0845053000, 0.2357580000");
values("0.4082741000, 0.4228209000, 0.4623216000, 0.5687691000, 0.8622663000, 1.6766560000, 3.9626338000", \
"0.4142550000, 0.4282345000, 0.4670732000, 0.5761883000, 0.8728168000, 1.6868126000, 3.9623562000", \
"0.4305722000, 0.4454620000, 0.4855437000, 0.5950341000, 0.8931702000, 1.7113191000, 3.9872825000", \
"0.4904998000, 0.5050342000, 0.5437489000, 0.6531488000, 0.9553559000, 1.7792704000, 4.0545309000", \
"0.6480690000, 0.6617650000, 0.7015032000, 0.8092494000, 1.1085661000, 1.9287787000, 4.2105759000", \
"0.9773994000, 0.9939084000, 1.0418777000, 1.1643781000, 1.4819441000, 2.3053943000, 4.5899013000", \
"1.5792010000, 1.6055082000, 1.6800232000, 1.8507113000, 2.2677724000, 3.2316079000, 5.5598579000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0013949300, 0.0038916700, 0.0108572000, 0.0302901000, 0.0845053000, 0.2357580000");
values("0.0930822000, 0.0978921000, 0.1106637000, 0.1447510000, 0.2342407000, 0.4717112000, 1.1275356000", \
"0.0916396000, 0.0962528000, 0.1091115000, 0.1433601000, 0.2331704000, 0.4709204000, 1.1271883000", \
"0.0877477000, 0.0922774000, 0.1056295000, 0.1409111000, 0.2310889000, 0.4694669000, 1.1267084000", \
"0.0988088000, 0.1017464000, 0.1123438000, 0.1423554000, 0.2279713000, 0.4683113000, 1.1261976000", \
"0.1571289000, 0.1618872000, 0.1743207000, 0.2062875000, 0.2778545000, 0.4804005000, 1.1253894000", \
"0.2883574000, 0.2960280000, 0.3167187000, 0.3648923000, 0.4692677000, 0.6855808000, 1.2028522000", \
"0.5613787000, 0.5756640000, 0.6127515000, 0.7000408000, 0.8789829000, 1.2242767000, 1.8252604000");
}
related_pin : "A1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0013949300, 0.0038916700, 0.0108572000, 0.0302901000, 0.0845053000, 0.2357580000");
values("0.2466673000, 0.2643128000, 0.3141385000, 0.4534002000, 0.8399452000, 1.9096722000, 4.8916582000", \
"0.2473122000, 0.2639520000, 0.3133198000, 0.4530646000, 0.8392851000, 1.9110160000, 4.8899160000", \
"0.2459659000, 0.2654486000, 0.3140383000, 0.4530430000, 0.8388674000, 1.9102382000, 4.9094977000", \
"0.2463411000, 0.2659599000, 0.3139370000, 0.4531182000, 0.8424790000, 1.9128479000, 4.8920916000", \
"0.2501214000, 0.2678006000, 0.3154977000, 0.4543803000, 0.8387124000, 1.9108661000, 4.9123718000", \
"0.3127820000, 0.3307453000, 0.3792065000, 0.5141749000, 0.8719107000, 1.9146618000, 4.8886042000", \
"0.5053361000, 0.5247731000, 0.5797746000, 0.7233678000, 1.1162989000, 2.1154922000, 4.9359636000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0013949300, 0.0038916700, 0.0108572000, 0.0302901000, 0.0845053000, 0.2357580000");
values("0.0840468000, 0.0880472000, 0.0986994000, 0.1271443000, 0.1993640000, 0.3831248000, 0.8662402000", \
"0.0923041000, 0.0963382000, 0.1069780000, 0.1352293000, 0.2072714000, 0.3907892000, 0.8730434000", \
"0.1138145000, 0.1176224000, 0.1281955000, 0.1560424000, 0.2279028000, 0.4111498000, 0.8930753000", \
"0.1695463000, 0.1735170000, 0.1839716000, 0.2109214000, 0.2813740000, 0.4640260000, 0.9465054000", \
"0.2919230000, 0.2970994000, 0.3111401000, 0.3464386000, 0.4283823000, 0.6132088000, 1.0956875000", \
"0.5226712000, 0.5310232000, 0.5529630000, 0.6075577000, 0.7285839000, 0.9821443000, 1.5149920000", \
"0.8766222000, 0.8917407000, 0.9318981000, 1.0315097000, 1.2522062000, 1.6908070000, 2.4785944000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0013949300, 0.0038916700, 0.0108572000, 0.0302901000, 0.0845053000, 0.2357580000");
values("0.4910363000, 0.5056382000, 0.5449600000, 0.6513623000, 0.9461086000, 1.7629502000, 4.0351238000", \
"0.4969729000, 0.5110775000, 0.5499129000, 0.6564685000, 0.9515509000, 1.7686059000, 4.0408903000", \
"0.5167382000, 0.5309124000, 0.5694833000, 0.6773506000, 0.9735098000, 1.7912912000, 4.0649550000", \
"0.5753646000, 0.5895490000, 0.6295813000, 0.7374885000, 1.0347612000, 1.8553586000, 4.1285411000", \
"0.7254875000, 0.7391879000, 0.7787606000, 0.8866244000, 1.1828100000, 2.0031727000, 4.2789772000", \
"1.0432731000, 1.0597299000, 1.1047562000, 1.2251526000, 1.5361581000, 2.3558480000, 4.6319886000", \
"1.6240194000, 1.6479821000, 1.7100080000, 1.8685522000, 2.2654454000, 3.2146579000, 5.5335114000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0013949300, 0.0038916700, 0.0108572000, 0.0302901000, 0.0845053000, 0.2357580000");
values("0.0916338000, 0.0962351000, 0.1088940000, 0.1434490000, 0.2334482000, 0.4711381000, 1.1267963000", \
"0.0901815000, 0.0951707000, 0.1076681000, 0.1424299000, 0.2323758000, 0.4706043000, 1.1262580000", \
"0.0882613000, 0.0930431000, 0.1061086000, 0.1409189000, 0.2313457000, 0.4697698000, 1.1263613000", \
"0.0939617000, 0.0981695000, 0.1098057000, 0.1418702000, 0.2295483000, 0.4692410000, 1.1266351000", \
"0.1338735000, 0.1385032000, 0.1505283000, 0.1814535000, 0.2602318000, 0.4766300000, 1.1245829000", \
"0.2340120000, 0.2398810000, 0.2557359000, 0.2943137000, 0.3901136000, 0.6024477000, 1.1779451000", \
"0.4727996000, 0.4822914000, 0.5074497000, 0.5722816000, 0.7088753000, 0.9825368000, 1.5883351000");
}
related_pin : "A2";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0013949300, 0.0038916700, 0.0108572000, 0.0302901000, 0.0845053000, 0.2357580000");
values("0.3114653000, 0.3297464000, 0.3786198000, 0.5170480000, 0.9035569000, 1.9768778000, 4.9525344000", \
"0.3110215000, 0.3287052000, 0.3788772000, 0.5177364000, 0.9056258000, 1.9747872000, 4.9537121000", \
"0.3108144000, 0.3288211000, 0.3789048000, 0.5173645000, 0.9041771000, 1.9769852000, 4.9553157000", \
"0.3112932000, 0.3297706000, 0.3786349000, 0.5174193000, 0.9041993000, 1.9764204000, 4.9547610000", \
"0.3123247000, 0.3307564000, 0.3798685000, 0.5184663000, 0.9047252000, 1.9770288000, 4.9506270000", \
"0.3691892000, 0.3874119000, 0.4349285000, 0.5702138000, 0.9343135000, 1.9811981000, 4.9561053000", \
"0.5488609000, 0.5693604000, 0.6249552000, 0.7732141000, 1.1675333000, 2.1776265000, 4.9947082000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0013949300, 0.0038916700, 0.0108572000, 0.0302901000, 0.0845053000, 0.2357580000");
values("0.0389825000, 0.0412367000, 0.0467603000, 0.0615394000, 0.0991990000, 0.1959925000, 0.4550262000", \
"0.0476003000, 0.0496177000, 0.0553275000, 0.0700163000, 0.1073385000, 0.2040889000, 0.4629321000", \
"0.0725372000, 0.0743857000, 0.0795834000, 0.0936428000, 0.1306026000, 0.2268736000, 0.4857432000", \
"0.1324870000, 0.1352824000, 0.1424766000, 0.1600815000, 0.1980946000, 0.2930622000, 0.5517320000", \
"0.2372403000, 0.2418415000, 0.2543092000, 0.2852866000, 0.3520723000, 0.4790728000, 0.7387794000", \
"0.4020166000, 0.4099962000, 0.4333648000, 0.4902413000, 0.6134848000, 0.8473229000, 1.2469324000", \
"0.6107438000, 0.6273640000, 0.6705843000, 0.7772509000, 1.0118129000, 1.4537882000, 2.1970683000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0013949300, 0.0038916700, 0.0108572000, 0.0302901000, 0.0845053000, 0.2357580000");
values("0.4571158000, 0.4718141000, 0.5111140000, 0.6181529000, 0.9136266000, 1.7302462000, 4.0023291000", \
"0.4597072000, 0.4747754000, 0.5130055000, 0.6216850000, 0.9182243000, 1.7360646000, 4.0085126000", \
"0.4737789000, 0.4886132000, 0.5290697000, 0.6378271000, 0.9359095000, 1.7559911000, 4.0301149000", \
"0.5281270000, 0.5424555000, 0.5828868000, 0.6908288000, 0.9899347000, 1.8118314000, 4.0880237000", \
"0.6735105000, 0.6878577000, 0.7265837000, 0.8343462000, 1.1313194000, 1.9529220000, 4.2317478000", \
"0.9891229000, 1.0065327000, 1.0540916000, 1.1817909000, 1.5045625000, 2.3253519000, 4.6027737000", \
"1.5690699000, 1.5988908000, 1.6777600000, 1.8663990000, 2.3141480000, 3.3147254000, 5.6425371000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0013949300, 0.0038916700, 0.0108572000, 0.0302901000, 0.0845053000, 0.2357580000");
values("0.0570747000, 0.0592170000, 0.0653032000, 0.0826439000, 0.1297936000, 0.2584024000, 0.6202902000", \
"0.0558130000, 0.0580128000, 0.0642729000, 0.0818375000, 0.1293260000, 0.2582236000, 0.6201311000", \
"0.0551726000, 0.0571873000, 0.0630288000, 0.0800842000, 0.1280802000, 0.2579112000, 0.6202827000", \
"0.0781710000, 0.0798502000, 0.0844579000, 0.0967949000, 0.1355445000, 0.2571551000, 0.6196590000", \
"0.1436571000, 0.1462473000, 0.1531578000, 0.1704697000, 0.2113093000, 0.3068805000, 0.6250533000", \
"0.2863287000, 0.2908742000, 0.3024155000, 0.3310676000, 0.3975707000, 0.5327108000, 0.8057253000", \
"0.5974666000, 0.6062775000, 0.6295832000, 0.6849838000, 0.8014954000, 1.0301671000, 1.4437741000");
}
related_pin : "B1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0013949300, 0.0038916700, 0.0108572000, 0.0302901000, 0.0845053000, 0.2357580000");
values("0.3115599000, 0.3294175000, 0.3788564000, 0.5186832000, 0.9052700000, 1.9748827000, 4.9518776000", \
"0.3110169000, 0.3284254000, 0.3784600000, 0.5182038000, 0.9054171000, 1.9767148000, 4.9546056000", \
"0.3113536000, 0.3298530000, 0.3787741000, 0.5173948000, 0.9051230000, 1.9773270000, 4.9520144000", \
"0.3113191000, 0.3299059000, 0.3788984000, 0.5176417000, 0.9052247000, 1.9771784000, 4.9513211000", \
"0.3162760000, 0.3336904000, 0.3815891000, 0.5192839000, 0.9051520000, 1.9774822000, 4.9520299000", \
"0.4047112000, 0.4213970000, 0.4706658000, 0.6029428000, 0.9548863000, 1.9827926000, 4.9527920000", \
"0.6883987000, 0.7081538000, 0.7644098000, 0.9103442000, 1.2838599000, 2.2401168000, 4.9999462000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0013949300, 0.0038916700, 0.0108572000, 0.0302901000, 0.0845053000, 0.2357580000");
values("0.0371896000, 0.0389369000, 0.0435642000, 0.0558434000, 0.0883244000, 0.1751184000, 0.4132330000", \
"0.0453168000, 0.0469917000, 0.0516065000, 0.0639223000, 0.0964761000, 0.1830091000, 0.4214154000", \
"0.0689473000, 0.0705754000, 0.0750889000, 0.0872924000, 0.1195236000, 0.2065136000, 0.4446746000", \
"0.1218818000, 0.1246389000, 0.1318160000, 0.1492010000, 0.1862345000, 0.2725106000, 0.5109422000", \
"0.2074101000, 0.2119779000, 0.2255321000, 0.2580533000, 0.3267190000, 0.4538714000, 0.6981248000", \
"0.3312587000, 0.3409985000, 0.3665186000, 0.4273622000, 0.5571690000, 0.7960034000, 1.1931329000", \
"0.4527382000, 0.4712164000, 0.5198048000, 0.6361763000, 0.8859082000, 1.3458362000, 2.0900828000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0013949300, 0.0038916700, 0.0108572000, 0.0302901000, 0.0845053000, 0.2357580000");
values("0.3832321000, 0.3972214000, 0.4360049000, 0.5432159000, 0.8393765000, 1.6564080000, 3.9285508000", \
"0.3850251000, 0.3994353000, 0.4382131000, 0.5463295000, 0.8437878000, 1.6618785000, 3.9346095000", \
"0.3969704000, 0.4118831000, 0.4514577000, 0.5604414000, 0.8596926000, 1.6796262000, 3.9538386000", \
"0.4491505000, 0.4628155000, 0.5023955000, 0.6109033000, 0.9097059000, 1.7319240000, 4.0089425000", \
"0.5948408000, 0.6090125000, 0.6481935000, 0.7570667000, 1.0547174000, 1.8758111000, 4.1545829000", \
"0.9264393000, 0.9446142000, 0.9970916000, 1.1338133000, 1.4715170000, 2.2955748000, 4.5737183000", \
"1.5056960000, 1.5377516000, 1.6277716000, 1.8592116000, 2.3661639000, 3.4203025000, 5.7569398000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0013949300, 0.0038916700, 0.0108572000, 0.0302901000, 0.0845053000, 0.2357580000");
values("0.0382998000, 0.0403236000, 0.0463126000, 0.0628737000, 0.1075734000, 0.2269101000, 0.5601721000", \
"0.0380043000, 0.0397988000, 0.0459189000, 0.0626883000, 0.1075785000, 0.2269315000, 0.5596590000", \
"0.0388615000, 0.0408634000, 0.0464565000, 0.0624275000, 0.1072531000, 0.2270579000, 0.5607794000", \
"0.0643438000, 0.0661098000, 0.0712659000, 0.0838564000, 0.1188779000, 0.2277343000, 0.5593748000", \
"0.1318356000, 0.1344519000, 0.1411305000, 0.1589310000, 0.1988288000, 0.2867921000, 0.5705590000", \
"0.2771998000, 0.2816315000, 0.2933737000, 0.3229441000, 0.3874989000, 0.5153568000, 0.7642509000", \
"0.5913144000, 0.6003534000, 0.6240329000, 0.6830910000, 0.7974454000, 1.0145259000, 1.4070266000");
}
related_pin : "C1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0013949300, 0.0038916700, 0.0108572000, 0.0302901000, 0.0845053000, 0.2357580000");
values("0.3107426000, 0.3287485000, 0.3778186000, 0.5182501000, 0.9051538000, 1.9775817000, 4.9554996000", \
"0.3112165000, 0.3287326000, 0.3781902000, 0.5182208000, 0.9050116000, 1.9770430000, 4.9592650000", \
"0.3104293000, 0.3294682000, 0.3783203000, 0.5183932000, 0.9044610000, 1.9766657000, 4.9514819000", \
"0.3105096000, 0.3285548000, 0.3784035000, 0.5182552000, 0.9049947000, 1.9775750000, 4.9559130000", \
"0.3247513000, 0.3418655000, 0.3884615000, 0.5215900000, 0.9043590000, 1.9771416000, 4.9632418000", \
"0.4450090000, 0.4621714000, 0.5103738000, 0.6386532000, 0.9748826000, 1.9855622000, 4.9559898000", \
"0.7917104000, 0.8176989000, 0.8810031000, 1.0429161000, 1.4172279000, 2.3121034000, 5.0063460000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0013949300, 0.0038916700, 0.0108572000, 0.0302901000, 0.0845053000, 0.2357580000");
values("0.0275300000, 0.0290516000, 0.0330822000, 0.0440184000, 0.0734499000, 0.1544151000, 0.3800152000", \
"0.0354502000, 0.0369912000, 0.0411951000, 0.0523594000, 0.0818946000, 0.1630078000, 0.3884436000", \
"0.0578807000, 0.0598566000, 0.0646663000, 0.0759785000, 0.1055927000, 0.1874194000, 0.4126187000", \
"0.0979676000, 0.1021038000, 0.1112575000, 0.1318713000, 0.1722918000, 0.2548199000, 0.4782030000", \
"0.1620050000, 0.1691358000, 0.1857758000, 0.2253133000, 0.3023737000, 0.4346213000, 0.6703167000", \
"0.2418473000, 0.2555034000, 0.2898630000, 0.3676306000, 0.5155004000, 0.7655950000, 1.1646397000", \
"0.2812277000, 0.3075268000, 0.3731572000, 0.5231028000, 0.8175564000, 1.3091582000, 2.0658568000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0013949300, 0.0038916700, 0.0108572000, 0.0302901000, 0.0845053000, 0.2357580000");
values("0.2509157000, 0.2645794000, 0.3049255000, 0.4138299000, 0.7104328000, 1.5288089000, 3.8003005000", \
"0.2500766000, 0.2652819000, 0.3057372000, 0.4155343000, 0.7132335000, 1.5326277000, 3.8063934000", \
"0.2619973000, 0.2767130000, 0.3162493000, 0.4245838000, 0.7259195000, 1.5486976000, 3.8240695000", \
"0.3121260000, 0.3260635000, 0.3649465000, 0.4711349000, 0.7703732000, 1.5957138000, 3.8748733000", \
"0.4789346000, 0.4919420000, 0.5276727000, 0.6284034000, 0.9214194000, 1.7399250000, 4.0205475000", \
"0.8114639000, 0.8346713000, 0.8934444000, 1.0376977000, 1.3652696000, 2.1817539000, 4.4563424000", \
"1.3804762000, 1.4157397000, 1.5141168000, 1.7555418000, 2.2968967000, 3.4035732000, 5.7002105000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0013949300, 0.0038916700, 0.0108572000, 0.0302901000, 0.0845053000, 0.2357580000");
values("0.0229983000, 0.0249704000, 0.0306606000, 0.0463866000, 0.0899307000, 0.2031417000, 0.5139167000", \
"0.0229647000, 0.0249759000, 0.0305490000, 0.0463756000, 0.0898500000, 0.2031759000, 0.5138969000", \
"0.0289227000, 0.0300572000, 0.0347150000, 0.0483748000, 0.0898813000, 0.2032714000, 0.5138313000", \
"0.0593610000, 0.0606654000, 0.0650302000, 0.0764067000, 0.1061713000, 0.2055253000, 0.5139930000", \
"0.1333398000, 0.1352954000, 0.1415032000, 0.1558806000, 0.1926544000, 0.2709234000, 0.5261410000", \
"0.2933293000, 0.2962568000, 0.3044362000, 0.3271405000, 0.3852006000, 0.5055001000, 0.7348203000", \
"0.6394619000, 0.6459768000, 0.6654295000, 0.7120992000, 0.8089574000, 1.0062513000, 1.3677902000");
}
related_pin : "D1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0013949300, 0.0038916700, 0.0108572000, 0.0302901000, 0.0845053000, 0.2357580000");
values("0.3043223000, 0.3231174000, 0.3747800000, 0.5167691000, 0.9041525000, 1.9763231000, 4.9546061000", \
"0.3029989000, 0.3219780000, 0.3742514000, 0.5162323000, 0.9051866000, 1.9776947000, 4.9659499000", \
"0.3013517000, 0.3192968000, 0.3719756000, 0.5156100000, 0.9049110000, 1.9750899000, 4.9504774000", \
"0.2898075000, 0.3108350000, 0.3641647000, 0.5120588000, 0.9043726000, 1.9750988000, 4.9508855000", \
"0.3176186000, 0.3330670000, 0.3786119000, 0.5104701000, 0.8960904000, 1.9770126000, 4.9522670000", \
"0.4686752000, 0.4919818000, 0.5450259000, 0.6812808000, 1.0042882000, 1.9783275000, 4.9525641000", \
"0.8091304000, 0.8363896000, 0.9172789000, 1.1196936000, 1.5414124000, 2.4387579000, 5.0159947000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
}
}
/* removed sky130_fd_sc_hd__a211o_1 */
/* removed sky130_fd_sc_hd__a211o_2 */
cell ("sky130_fd_sc_hd__a211o_4") {
leakage_power () {
value : 5.9596097000;
when : "!A1&!A2&!B1&C1";
}
leakage_power () {
value : 13.602013700;
when : "!A1&!A2&!B1&!C1";
}
leakage_power () {
value : 3.8645007000;
when : "!A1&!A2&B1&C1";
}
leakage_power () {
value : 5.8863354000;
when : "!A1&!A2&B1&!C1";
}
leakage_power () {
value : 5.9594871000;
when : "!A1&A2&!B1&C1";
}
leakage_power () {
value : 15.386801200;
when : "!A1&A2&!B1&!C1";
}
leakage_power () {
value : 3.8644994000;
when : "!A1&A2&B1&C1";
}
leakage_power () {
value : 5.8862311000;
when : "!A1&A2&B1&!C1";
}
leakage_power () {
value : 5.9595511000;
when : "A1&!A2&!B1&C1";
}
leakage_power () {
value : 14.823367400;
when : "A1&!A2&!B1&!C1";
}
leakage_power () {
value : 3.8644998000;
when : "A1&!A2&B1&C1";
}
leakage_power () {
value : 5.8862826000;
when : "A1&!A2&B1&!C1";
}
leakage_power () {
value : 3.9901362000;
when : "A1&A2&!B1&C1";
}
leakage_power () {
value : 7.0588029000;
when : "A1&A2&!B1&!C1";
}
leakage_power () {
value : 3.7780192000;
when : "A1&A2&B1&C1";
}
leakage_power () {
value : 3.9953769000;
when : "A1&A2&B1&!C1";
}
area : 17.516800000;
cell_footprint : "sky130_fd_sc_hd__a211o";
cell_leakage_power : 6.8603450000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A1") {
capacitance : 0.0043210000;
clock : "false";
direction : "input";
fall_capacitance : 0.0042040000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0065458000, 0.0065642000, 0.0066608000, 0.0066407000, 0.0066286000, 0.0066393000, 0.0067144000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.005119800, -0.005218900, -0.005450100, -0.005462500, -0.005449700, -0.005365700, -0.005081400");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0044370000;
}
pin ("A2") {
capacitance : 0.0047120000;
clock : "false";
direction : "input";
fall_capacitance : 0.0045360000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0058189000, 0.0058386000, 0.0059395000, 0.0059159000, 0.0058949000, 0.0058811000, 0.0058877000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.005717700, -0.005798700, -0.005979200, -0.006009600, -0.006047300, -0.006105700, -0.006222600");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0048890000;
}
pin ("B1") {
capacitance : 0.0047390000;
clock : "false";
direction : "input";
fall_capacitance : 0.0045000000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0058319000, 0.0058600000, 0.0059682000, 0.0059547000, 0.0059453000, 0.0059475000, 0.0059827000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.005117200, -0.005292200, -0.005742300, -0.005775900, -0.005827600, -0.005930400, -0.006177100");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0049780000;
}
pin ("C1") {
capacitance : 0.0043960000;
clock : "false";
direction : "input";
fall_capacitance : 0.0041060000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0037943000, 0.0038153000, 0.0039031000, 0.0039020000, 0.0039277000, 0.0040288000, 0.0043423000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.002500600, -0.002564600, -0.002702600, -0.002727100, -0.002753500, -0.002785200, -0.002831900");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0046860000;
}
pin ("X") {
direction : "output";
function : "(A1&A2) | (B1) | (C1)";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018634220, 0.0069446850, 0.0258817600, 0.0964573200, 0.3594814000, 1.3397310000");
values("0.0263053000, 0.0251469000, 0.0202243000, -0.000186700, -0.086209700, -0.421490300, -1.676166300", \
"0.0262571000, 0.0248907000, 0.0199688000, -0.000338200, -0.086399000, -0.421727400, -1.676261300", \
"0.0261038000, 0.0247512000, 0.0198110000, -0.000505300, -0.086550000, -0.421866700, -1.676403500", \
"0.0256641000, 0.0243226000, 0.0194197000, -0.000905300, -0.086962600, -0.422258600, -1.676788300", \
"0.0253249000, 0.0239830000, 0.0190338000, -0.001249500, -0.087320000, -0.422694200, -1.677106400", \
"0.0278081000, 0.0260785000, 0.0196157000, -0.001991600, -0.087762400, -0.422868700, -1.677323300", \
"0.0338119000, 0.0320025000, 0.0254333000, 0.0014343000, -0.088044500, -0.423503400, -1.677431100");
}
related_pin : "A1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018634220, 0.0069446850, 0.0258817600, 0.0964573200, 0.3594814000, 1.3397310000");
values("0.0284230000, 0.0303820000, 0.0376876000, 0.0629636000, 0.1536581000, 0.4870778000, 1.7301242000", \
"0.0284171000, 0.0303591000, 0.0375481000, 0.0630188000, 0.1536402000, 0.4871776000, 1.7319231000", \
"0.0281478000, 0.0301025000, 0.0372426000, 0.0627406000, 0.1533119000, 0.4867525000, 1.7321372000", \
"0.0279545000, 0.0299121000, 0.0371146000, 0.0625458000, 0.1530440000, 0.4886088000, 1.7332522000", \
"0.0281043000, 0.0299592000, 0.0369771000, 0.0619035000, 0.1519842000, 0.4860029000, 1.7291322000", \
"0.0295126000, 0.0312695000, 0.0378334000, 0.0621675000, 0.1516376000, 0.4846480000, 1.7289734000", \
"0.0315099000, 0.0331399000, 0.0396095000, 0.0639800000, 0.1531198000, 0.4855519000, 1.7269813000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018634220, 0.0069446850, 0.0258817600, 0.0964573200, 0.3594814000, 1.3397310000");
values("0.0311109000, 0.0297733000, 0.0249062000, 0.0045359000, -0.081550100, -0.416803700, -1.671014800", \
"0.0311425000, 0.0297322000, 0.0248522000, 0.0044277000, -0.081677200, -0.417042000, -1.671293600", \
"0.0310256000, 0.0296298000, 0.0246948000, 0.0043419000, -0.081741000, -0.417075600, -1.671363800", \
"0.0306477000, 0.0293029000, 0.0244624000, 0.0041659000, -0.081998900, -0.417252500, -1.671532700", \
"0.0304808000, 0.0291370000, 0.0242474000, 0.0038895000, -0.082279200, -0.417486900, -1.671735400", \
"0.0327739000, 0.0310117000, 0.0245565000, 0.0031648000, -0.082602900, -0.417666600, -1.671877800", \
"0.0391040000, 0.0373066000, 0.0307201000, 0.0066761000, -0.082832400, -0.418259900, -1.671977400");
}
related_pin : "A2";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018634220, 0.0069446850, 0.0258817600, 0.0964573200, 0.3594814000, 1.3397310000");
values("0.0290596000, 0.0309924000, 0.0382433000, 0.0636844000, 0.1542839000, 0.4870784000, 1.7358118000", \
"0.0290407000, 0.0309950000, 0.0382244000, 0.0636746000, 0.1542912000, 0.4889814000, 1.7308963000", \
"0.0287239000, 0.0306790000, 0.0378113000, 0.0633233000, 0.1538992000, 0.4874049000, 1.7319002000", \
"0.0284532000, 0.0304198000, 0.0377147000, 0.0631171000, 0.1536005000, 0.4871385000, 1.7301920000", \
"0.0284432000, 0.0303742000, 0.0374874000, 0.0623670000, 0.1530688000, 0.4867104000, 1.7338585000", \
"0.0301718000, 0.0318664000, 0.0385758000, 0.0631394000, 0.1524329000, 0.4857707000, 1.7295078000", \
"0.0319350000, 0.0337469000, 0.0401445000, 0.0643395000, 0.1538162000, 0.4865644000, 1.7277582000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018634220, 0.0069446850, 0.0258817600, 0.0964573200, 0.3594814000, 1.3397310000");
values("0.0262576000, 0.0248700000, 0.0201262000, -0.000241600, -0.086324300, -0.421673800, -1.675918500", \
"0.0261397000, 0.0247524000, 0.0198570000, -0.000456100, -0.086538600, -0.421808200, -1.676161700", \
"0.0259087000, 0.0244828000, 0.0197275000, -0.000600100, -0.086712000, -0.421962600, -1.676221400", \
"0.0256379000, 0.0242344000, 0.0194071000, -0.000879400, -0.087009600, -0.422256500, -1.676606500", \
"0.0254045000, 0.0240155000, 0.0192089000, -0.001211900, -0.087403000, -0.422539300, -1.676756400", \
"0.0283490000, 0.0265764000, 0.0200504000, -0.001791200, -0.087720800, -0.422794200, -1.676901800", \
"0.0351552000, 0.0332692000, 0.0265624000, 0.0023948000, -0.087363200, -0.422819700, -1.676459300");
}
related_pin : "B1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018634220, 0.0069446850, 0.0258817600, 0.0964573200, 0.3594814000, 1.3397310000");
values("0.0262696000, 0.0282386000, 0.0353510000, 0.0602797000, 0.1503476000, 0.4832100000, 1.7259075000", \
"0.0264209000, 0.0284134000, 0.0355312000, 0.0605134000, 0.1505695000, 0.4834548000, 1.7308317000", \
"0.0264956000, 0.0284865000, 0.0355730000, 0.0604746000, 0.1506824000, 0.4838607000, 1.7277906000", \
"0.0261431000, 0.0280682000, 0.0350470000, 0.0598488000, 0.1500382000, 0.4852034000, 1.7257712000", \
"0.0259793000, 0.0277731000, 0.0343783000, 0.0590497000, 0.1487116000, 0.4821420000, 1.7248208000", \
"0.0265585000, 0.0282657000, 0.0349014000, 0.0594706000, 0.1485716000, 0.4812554000, 1.7248532000", \
"0.0292617000, 0.0309861000, 0.0373347000, 0.0617153000, 0.1517615000, 0.4870113000, 1.7271742000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018634220, 0.0069446850, 0.0258817600, 0.0964573200, 0.3594814000, 1.3397310000");
values("0.0247856000, 0.0234750000, 0.0186075000, -0.001764100, -0.087854300, -0.423248000, -1.677451800", \
"0.0244788000, 0.0230887000, 0.0182547000, -0.002084800, -0.088158200, -0.423413600, -1.677694600", \
"0.0243400000, 0.0229663000, 0.0181469000, -0.002193100, -0.088342200, -0.423612100, -1.677892400", \
"0.0239563000, 0.0226126000, 0.0177376000, -0.002580300, -0.088728100, -0.423951400, -1.678189200", \
"0.0237572000, 0.0223660000, 0.0175026000, -0.002886800, -0.089159400, -0.424266900, -1.678430900", \
"0.0269793000, 0.0252047000, 0.0185922000, -0.003432600, -0.089422100, -0.424398200, -1.678421800", \
"0.0359097000, 0.0339946000, 0.0271486000, 0.0026612000, -0.087260700, -0.422670000, -1.676242800");
}
related_pin : "C1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018634220, 0.0069446850, 0.0258817600, 0.0964573200, 0.3594814000, 1.3397310000");
values("0.0210540000, 0.0230604000, 0.0301699000, 0.0549880000, 0.1454525000, 0.4761925000, 1.7171332000", \
"0.0212016000, 0.0231793000, 0.0302884000, 0.0551291000, 0.1448948000, 0.4784191000, 1.7181034000", \
"0.0210075000, 0.0229693000, 0.0300228000, 0.0548368000, 0.1454110000, 0.4779540000, 1.7225727000", \
"0.0205534000, 0.0224502000, 0.0293643000, 0.0540397000, 0.1441573000, 0.4750909000, 1.7241613000", \
"0.0204057000, 0.0222211000, 0.0288985000, 0.0533663000, 0.1431011000, 0.4745162000, 1.7158391000", \
"0.0207124000, 0.0224531000, 0.0290531000, 0.0535178000, 0.1424797000, 0.4745002000, 1.7183744000", \
"0.0231840000, 0.0248619000, 0.0312166000, 0.0553335000, 0.1456018000, 0.4797057000, 1.7179986000");
}
}
max_capacitance : 0.3561500000;
max_transition : 1.4988980000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018634200, 0.0069446900, 0.0258818000, 0.0964573000, 0.3594810000, 1.3397300000");
values("0.5109725000, 0.5213557000, 0.5509829000, 0.6211198000, 0.7758607000, 1.1660085000, 2.4677349000", \
"0.5186380000, 0.5290834000, 0.5588663000, 0.6293688000, 0.7835010000, 1.1738559000, 2.4737967000", \
"0.5406153000, 0.5510919000, 0.5807664000, 0.6512342000, 0.8053566000, 1.1957711000, 2.4959474000", \
"0.6014757000, 0.6119332000, 0.6416927000, 0.7120849000, 0.8665793000, 1.2565675000, 2.5585603000", \
"0.7551363000, 0.7655897000, 0.7949807000, 0.8651748000, 1.0199404000, 1.4110300000, 2.7125382000", \
"1.1077326000, 1.1191978000, 1.1515457000, 1.2266665000, 1.3900071000, 1.7860833000, 3.0908482000", \
"1.7925392000, 1.8072244000, 1.8481238000, 1.9420048000, 2.1336199000, 2.5653089000, 3.8730690000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018634200, 0.0069446900, 0.0258818000, 0.0964573000, 0.3594810000, 1.3397300000");
values("0.1773467000, 0.1851793000, 0.2088817000, 0.2725993000, 0.4665650000, 1.1587294000, 3.7125645000", \
"0.1840563000, 0.1919017000, 0.2153561000, 0.2791699000, 0.4734262000, 1.1626501000, 3.7232699000", \
"0.2053073000, 0.2131485000, 0.2364226000, 0.3002284000, 0.4945268000, 1.1836397000, 3.7450016000", \
"0.2674454000, 0.2752019000, 0.2984838000, 0.3618387000, 0.5555459000, 1.2474362000, 3.8016337000", \
"0.4242893000, 0.4324436000, 0.4563418000, 0.5206417000, 0.7137636000, 1.4065926000, 3.9607362000", \
"0.6940215000, 0.7057872000, 0.7360219000, 0.8068197000, 1.0031448000, 1.6936440000, 4.2466533000", \
"1.0911674000, 1.1078176000, 1.1543394000, 1.2537076000, 1.4657329000, 2.1559614000, 4.7121285000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018634200, 0.0069446900, 0.0258818000, 0.0964573000, 0.3594810000, 1.3397300000");
values("0.0626785000, 0.0683912000, 0.0862537000, 0.1349645000, 0.2659520000, 0.7137194000, 2.4826767000", \
"0.0623883000, 0.0687955000, 0.0859045000, 0.1332960000, 0.2654644000, 0.7130503000, 2.4827487000", \
"0.0623789000, 0.0680776000, 0.0859273000, 0.1349573000, 0.2654421000, 0.7134655000, 2.4827782000", \
"0.0629147000, 0.0692887000, 0.0859151000, 0.1349193000, 0.2656760000, 0.7138787000, 2.4828450000", \
"0.0622345000, 0.0682309000, 0.0865190000, 0.1341451000, 0.2664626000, 0.7116319000, 2.4804712000", \
"0.0717850000, 0.0782014000, 0.0965557000, 0.1462528000, 0.2758083000, 0.7180998000, 2.4774334000", \
"0.0992115000, 0.1056303000, 0.1277447000, 0.1817937000, 0.3247012000, 0.7574688000, 2.4795910000");
}
related_pin : "A1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018634200, 0.0069446900, 0.0258818000, 0.0964573000, 0.3594810000, 1.3397300000");
values("0.0389587000, 0.0449169000, 0.0645884000, 0.1314327000, 0.3868649000, 1.3622722000, 5.0033155000", \
"0.0385704000, 0.0447797000, 0.0646324000, 0.1313143000, 0.3866066000, 1.3637692000, 5.0006572000", \
"0.0384504000, 0.0447387000, 0.0644526000, 0.1312531000, 0.3857110000, 1.3634511000, 4.9950271000", \
"0.0382443000, 0.0441936000, 0.0639727000, 0.1307000000, 0.3858717000, 1.3640443000, 5.0037903000", \
"0.0433041000, 0.0489272000, 0.0681382000, 0.1324518000, 0.3859096000, 1.3619319000, 5.0046380000", \
"0.0629228000, 0.0690353000, 0.0871484000, 0.1479201000, 0.3908065000, 1.3629301000, 4.9962945000", \
"0.1038562000, 0.1123530000, 0.1354081000, 0.1928116000, 0.4095903000, 1.3662897000, 5.0069735000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018634200, 0.0069446900, 0.0258818000, 0.0964573000, 0.3594810000, 1.3397300000");
values("0.5309918000, 0.5411960000, 0.5700483000, 0.6384157000, 0.7888027000, 1.1752769000, 2.4792366000", \
"0.5377815000, 0.5479817000, 0.5770272000, 0.6446738000, 0.7962953000, 1.1825798000, 2.4826201000", \
"0.5615658000, 0.5717705000, 0.6007764000, 0.6683693000, 0.8199715000, 1.2063005000, 2.5061606000", \
"0.6246974000, 0.6349108000, 0.6637716000, 0.7312437000, 0.8823968000, 1.2687722000, 2.5734981000", \
"0.7774474000, 0.7876492000, 0.8166534000, 0.8844448000, 1.0355524000, 1.4220951000, 2.7238660000", \
"1.1278928000, 1.1390526000, 1.1709267000, 1.2427759000, 1.4012550000, 1.7920623000, 3.0973779000", \
"1.7937520000, 1.8079481000, 1.8475227000, 1.9379802000, 2.1237794000, 2.5479789000, 3.8606038000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018634200, 0.0069446900, 0.0258818000, 0.0964573000, 0.3594810000, 1.3397300000");
values("0.1849760000, 0.1927907000, 0.2163868000, 0.2801471000, 0.4744116000, 1.1646858000, 3.7247199000", \
"0.1929559000, 0.2008016000, 0.2243528000, 0.2881058000, 0.4823033000, 1.1716027000, 3.7322461000", \
"0.2137151000, 0.2215577000, 0.2448349000, 0.3086801000, 0.5029993000, 1.1920517000, 3.7530974000", \
"0.2699602000, 0.2777575000, 0.3012838000, 0.3647226000, 0.5583646000, 1.2506999000, 3.8047285000", \
"0.4131861000, 0.4215042000, 0.4460059000, 0.5104407000, 0.7043133000, 1.3935713000, 3.9549417000", \
"0.6903315000, 0.7003599000, 0.7300751000, 0.8021732000, 0.9998179000, 1.6897250000, 4.2501815000", \
"1.1462327000, 1.1616108000, 1.2052211000, 1.2997832000, 1.5129803000, 2.2059732000, 4.7565720000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018634200, 0.0069446900, 0.0258818000, 0.0964573000, 0.3594810000, 1.3397300000");
values("0.0611379000, 0.0670213000, 0.0840440000, 0.1316810000, 0.2606269000, 0.7087516000, 2.4766946000", \
"0.0617446000, 0.0676864000, 0.0839601000, 0.1307532000, 0.2609201000, 0.7074561000, 2.4801592000", \
"0.0613276000, 0.0675126000, 0.0839354000, 0.1309726000, 0.2609279000, 0.7077727000, 2.4809681000", \
"0.0611004000, 0.0670569000, 0.0840632000, 0.1313380000, 0.2603379000, 0.7076315000, 2.4840059000", \
"0.0609625000, 0.0673424000, 0.0837654000, 0.1306234000, 0.2602512000, 0.7080905000, 2.4834896000", \
"0.0689706000, 0.0752426000, 0.0929076000, 0.1415852000, 0.2693344000, 0.7127277000, 2.4839533000", \
"0.0958215000, 0.1021469000, 0.1233713000, 0.1763173000, 0.3132089000, 0.7479481000, 2.4820812000");
}
related_pin : "A2";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018634200, 0.0069446900, 0.0258818000, 0.0964573000, 0.3594810000, 1.3397300000");
values("0.0388745000, 0.0450237000, 0.0646971000, 0.1312877000, 0.3860774000, 1.3610700000, 5.0049715000", \
"0.0388311000, 0.0447804000, 0.0646620000, 0.1312522000, 0.3866449000, 1.3620834000, 5.0063562000", \
"0.0384649000, 0.0447506000, 0.0644049000, 0.1312657000, 0.3857185000, 1.3637397000, 4.9998015000", \
"0.0384420000, 0.0443835000, 0.0641288000, 0.1310756000, 0.3866459000, 1.3623146000, 5.0041344000", \
"0.0421044000, 0.0481520000, 0.0679273000, 0.1328450000, 0.3857952000, 1.3635649000, 4.9951030000", \
"0.0570002000, 0.0630024000, 0.0826655000, 0.1455791000, 0.3912718000, 1.3606860000, 5.0020188000", \
"0.0903178000, 0.0985189000, 0.1227846000, 0.1845336000, 0.4078051000, 1.3668403000, 4.9969442000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018634200, 0.0069446900, 0.0258818000, 0.0964573000, 0.3594810000, 1.3397300000");
values("0.4953975000, 0.5056248000, 0.5342871000, 0.6026136000, 0.7537674000, 1.1401865000, 2.4407985000", \
"0.5007729000, 0.5109614000, 0.5401232000, 0.6077689000, 0.7588722000, 1.1454168000, 2.4463711000", \
"0.5201241000, 0.5303167000, 0.5592946000, 0.6276116000, 0.7788003000, 1.1651965000, 2.4684388000", \
"0.5760842000, 0.5862965000, 0.6153024000, 0.6826812000, 0.8338346000, 1.2203873000, 2.5248055000", \
"0.7296219000, 0.7397012000, 0.7688985000, 0.8368733000, 0.9877836000, 1.3742084000, 2.6790132000", \
"1.1122923000, 1.1239115000, 1.1564668000, 1.2314906000, 1.3912087000, 1.7837406000, 3.0864999000", \
"1.8347704000, 1.8507641000, 1.8951796000, 1.9924513000, 2.1826549000, 2.6051934000, 3.9175867000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018634200, 0.0069446900, 0.0258818000, 0.0964573000, 0.3594810000, 1.3397300000");
values("0.1034091000, 0.1095900000, 0.1284275000, 0.1834790000, 0.3702578000, 1.0575367000, 3.6113557000", \
"0.1116756000, 0.1178314000, 0.1366109000, 0.1917726000, 0.3779117000, 1.0632556000, 3.6212300000", \
"0.1354770000, 0.1416492000, 0.1604103000, 0.2154060000, 0.4015467000, 1.0873148000, 3.6441659000", \
"0.2015992000, 0.2077592000, 0.2264435000, 0.2813379000, 0.4677079000, 1.1549489000, 3.7031764000", \
"0.3340508000, 0.3419795000, 0.3643828000, 0.4233154000, 0.6103493000, 1.2965329000, 3.8470862000", \
"0.5492926000, 0.5621166000, 0.5952064000, 0.6675959000, 0.8593217000, 1.5446785000, 4.0950101000", \
"0.8455783000, 0.8667541000, 0.9221467000, 1.0322281000, 1.2501186000, 1.9383553000, 4.4845714000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018634200, 0.0069446900, 0.0258818000, 0.0964573000, 0.3594810000, 1.3397300000");
values("0.0617506000, 0.0665089000, 0.0849411000, 0.1312738000, 0.2607255000, 0.7067818000, 2.4775573000", \
"0.0615105000, 0.0666160000, 0.0839423000, 0.1324647000, 0.2613161000, 0.7065743000, 2.4814055000", \
"0.0615564000, 0.0665430000, 0.0841625000, 0.1307779000, 0.2608341000, 0.7074262000, 2.4744422000", \
"0.0608389000, 0.0666851000, 0.0839847000, 0.1312484000, 0.2608460000, 0.7087917000, 2.4783671000", \
"0.0610876000, 0.0668875000, 0.0856221000, 0.1314771000, 0.2603491000, 0.7084507000, 2.4774951000", \
"0.0728730000, 0.0794679000, 0.0967308000, 0.1440748000, 0.2717221000, 0.7125067000, 2.4816194000", \
"0.1122819000, 0.1199493000, 0.1406334000, 0.1924364000, 0.3246059000, 0.7440400000, 2.4864897000");
}
related_pin : "B1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018634200, 0.0069446900, 0.0258818000, 0.0964573000, 0.3594810000, 1.3397300000");
values("0.0287996000, 0.0337957000, 0.0513223000, 0.1176404000, 0.3775916000, 1.3571501000, 4.9976121000", \
"0.0286711000, 0.0336743000, 0.0513172000, 0.1175935000, 0.3780420000, 1.3576326000, 5.0005395000", \
"0.0285982000, 0.0336828000, 0.0512733000, 0.1176569000, 0.3776723000, 1.3579371000, 4.9943458000", \
"0.0295990000, 0.0345435000, 0.0518506000, 0.1178124000, 0.3786165000, 1.3586841000, 4.9957150000", \
"0.0412200000, 0.0461573000, 0.0620485000, 0.1231046000, 0.3778820000, 1.3542464000, 4.9978834000", \
"0.0686606000, 0.0747074000, 0.0904436000, 0.1427391000, 0.3830021000, 1.3556551000, 4.9899076000", \
"0.1207119000, 0.1310811000, 0.1540306000, 0.2040976000, 0.4072097000, 1.3635308000, 4.9905657000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018634200, 0.0069446900, 0.0258818000, 0.0964573000, 0.3594810000, 1.3397300000");
values("0.4339625000, 0.4442308000, 0.4732031000, 0.5408820000, 0.6924536000, 1.0787297000, 2.3780711000", \
"0.4379252000, 0.4480883000, 0.4771153000, 0.5455385000, 0.6958537000, 1.0824815000, 2.3863409000", \
"0.4541309000, 0.4644069000, 0.4934149000, 0.5613546000, 0.7121550000, 1.0986724000, 2.4003577000", \
"0.5088021000, 0.5189939000, 0.5478661000, 0.6153151000, 0.7664446000, 1.1529402000, 2.4576616000", \
"0.6779504000, 0.6881722000, 0.7172655000, 0.7851959000, 0.9360810000, 1.3225125000, 2.6276916000", \
"1.1103407000, 1.1224626000, 1.1557861000, 1.2284944000, 1.3849623000, 1.7768904000, 3.0816729000", \
"1.8754760000, 1.8932382000, 1.9420159000, 2.0452271000, 2.2321860000, 2.6422868000, 3.9542369000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018634200, 0.0069446900, 0.0258818000, 0.0964573000, 0.3594810000, 1.3397300000");
values("0.0937859000, 0.0998813000, 0.1184520000, 0.1729767000, 0.3583604000, 1.0483292000, 3.5913386000", \
"0.1021852000, 0.1082693000, 0.1269127000, 0.1814420000, 0.3665118000, 1.0508258000, 3.6012824000", \
"0.1262524000, 0.1323142000, 0.1508002000, 0.2052232000, 0.3908964000, 1.0746379000, 3.6359711000", \
"0.1898825000, 0.1960742000, 0.2147501000, 0.2693386000, 0.4558751000, 1.1407908000, 3.7192944000", \
"0.3102077000, 0.3185462000, 0.3416479000, 0.4012453000, 0.5878534000, 1.2754632000, 3.8236747000", \
"0.4991279000, 0.5126214000, 0.5481261000, 0.6235722000, 0.8158000000, 1.5008076000, 4.0551065000", \
"0.7348747000, 0.7581691000, 0.8184965000, 0.9371688000, 1.1630955000, 1.8507643000, 4.3973025000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018634200, 0.0069446900, 0.0258818000, 0.0964573000, 0.3594810000, 1.3397300000");
values("0.0616497000, 0.0664326000, 0.0839304000, 0.1311728000, 0.2609026000, 0.7078969000, 2.4822793000", \
"0.0615717000, 0.0666925000, 0.0840347000, 0.1317933000, 0.2605375000, 0.7086624000, 2.4766638000", \
"0.0616698000, 0.0677821000, 0.0846168000, 0.1328105000, 0.2604159000, 0.7083449000, 2.4837572000", \
"0.0610294000, 0.0668418000, 0.0839795000, 0.1312565000, 0.2607923000, 0.7088317000, 2.4786513000", \
"0.0611263000, 0.0663259000, 0.0837457000, 0.1300428000, 0.2598698000, 0.7079677000, 2.4836040000", \
"0.0787220000, 0.0844298000, 0.1001071000, 0.1428799000, 0.2697390000, 0.7136480000, 2.4775488000", \
"0.1300615000, 0.1385401000, 0.1597811000, 0.2049953000, 0.3179196000, 0.7383788000, 2.4850557000");
}
related_pin : "C1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018634200, 0.0069446900, 0.0258818000, 0.0964573000, 0.3594810000, 1.3397300000");
values("0.0278208000, 0.0328396000, 0.0503445000, 0.1167801000, 0.3782868000, 1.3614043000, 4.9975584000", \
"0.0278882000, 0.0328201000, 0.0504609000, 0.1167419000, 0.3778956000, 1.3559932000, 4.9993822000", \
"0.0278846000, 0.0329120000, 0.0505386000, 0.1167824000, 0.3782867000, 1.3570660000, 5.0077196000", \
"0.0298988000, 0.0347728000, 0.0517826000, 0.1171827000, 0.3768914000, 1.3566931000, 5.0045137000", \
"0.0437450000, 0.0479841000, 0.0637806000, 0.1239256000, 0.3774950000, 1.3551829000, 4.9960835000", \
"0.0743923000, 0.0809827000, 0.0977308000, 0.1475387000, 0.3834653000, 1.3545869000, 4.9960001000", \
"0.1337207000, 0.1447315000, 0.1700357000, 0.2185407000, 0.4147965000, 1.3617938000, 4.9937321000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
/* removed sky130_fd_sc_hd__a211oi_1 */
/* removed sky130_fd_sc_hd__a211oi_2 */
/* removed sky130_fd_sc_hd__a211oi_4 */
/* removed sky130_fd_sc_hd__a21bo_1 */
/* removed sky130_fd_sc_hd__a21bo_2 */
cell ("sky130_fd_sc_hd__a21bo_4") {
leakage_power () {
value : 11.872106000;
when : "!A1&!A2&B1_N";
}
leakage_power () {
value : 8.9818366000;
when : "!A1&!A2&!B1_N";
}
leakage_power () {
value : 13.648478800;
when : "!A1&A2&B1_N";
}
leakage_power () {
value : 8.9814787000;
when : "!A1&A2&!B1_N";
}
leakage_power () {
value : 13.087494600;
when : "A1&!A2&B1_N";
}
leakage_power () {
value : 8.9816581000;
when : "A1&!A2&!B1_N";
}
leakage_power () {
value : 10.946451700;
when : "A1&A2&B1_N";
}
leakage_power () {
value : 5.2536691000;
when : "A1&A2&!B1_N";
}
area : 16.265600000;
cell_footprint : "sky130_fd_sc_hd__a21bo";
cell_leakage_power : 10.219150000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A1") {
capacitance : 0.0044510000;
clock : "false";
direction : "input";
fall_capacitance : 0.0043100000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0062909000, 0.0062467000, 0.0061895000, 0.0061517000, 0.0061126000, 0.0060703000, 0.0060185000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.006268700, -0.006313500, -0.006366100, -0.006406400, -0.006446100, -0.006484400, -0.006518400");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0045920000;
}
pin ("A2") {
capacitance : 0.0048230000;
clock : "false";
direction : "input";
fall_capacitance : 0.0046340000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0068254000, 0.0067882000, 0.0067525000, 0.0067128000, 0.0066697000, 0.0066175000, 0.0065391000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.006832800, -0.006873000, -0.006912600, -0.006953200, -0.006993800, -0.007034500, -0.007075300");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0050130000;
}
pin ("B1_N") {
capacitance : 0.0023890000;
clock : "false";
direction : "input";
fall_capacitance : 0.0022890000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0122458000, 0.0121045000, 0.0117877000, 0.0118031000, 0.0119275000, 0.0123591000, 0.0136565000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0057616000, 0.0056374000, 0.0053267000, 0.0053721000, 0.0055393000, 0.0060492000, 0.0075247000");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0024900000;
}
pin ("X") {
direction : "output";
function : "(A1&A2) | (!B1_N)";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0017907200, 0.0064133570, 0.0229690600, 0.0822623100, 0.2946175000, 1.0551550000");
values("0.0233776000, 0.0219589000, 0.0170857000, -0.000896600, -0.074032500, -0.345129700, -1.318534500", \
"0.0233238000, 0.0218597000, 0.0170283000, -0.000931700, -0.074090000, -0.345194200, -1.318617200", \
"0.0230913000, 0.0216551000, 0.0168259000, -0.001193300, -0.074332500, -0.345419000, -1.318796700", \
"0.0226701000, 0.0211761000, 0.0163626000, -0.001671600, -0.074742500, -0.345774300, -1.319179500", \
"0.0223060000, 0.0207981000, 0.0159182000, -0.002208100, -0.075287200, -0.346214900, -1.319526000", \
"0.0260748000, 0.0246803000, 0.0186380000, -0.002172300, -0.075860600, -0.346435200, -1.319620100", \
"0.0274543000, 0.0257091000, 0.0196973000, -0.001294600, -0.076255000, -0.347029600, -1.319442000");
}
related_pin : "A1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0017907200, 0.0064133570, 0.0229690600, 0.0822623100, 0.2946175000, 1.0551550000");
values("0.0277360000, 0.0295342000, 0.0359833000, 0.0580918000, 0.1342678000, 0.4036398000, 1.3683533000", \
"0.0276429000, 0.0294590000, 0.0359231000, 0.0579635000, 0.1341125000, 0.4035311000, 1.3670931000", \
"0.0273866000, 0.0291887000, 0.0356684000, 0.0577858000, 0.1339678000, 0.4036365000, 1.3701588000", \
"0.0270642000, 0.0288973000, 0.0353514000, 0.0574062000, 0.1335325000, 0.4026843000, 1.3671242000", \
"0.0266764000, 0.0284675000, 0.0348624000, 0.0562944000, 0.1326325000, 0.4023815000, 1.3670414000", \
"0.0277087000, 0.0293793000, 0.0353837000, 0.0568582000, 0.1321824000, 0.4012761000, 1.3692897000", \
"0.0303449000, 0.0319305000, 0.0377975000, 0.0590395000, 0.1340737000, 0.4029916000, 1.3689462000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0017907200, 0.0064133570, 0.0229690600, 0.0822623100, 0.2946175000, 1.0551550000");
values("0.0271343000, 0.0256840000, 0.0208426000, 0.0027625000, -0.070355600, -0.341302500, -1.314512500", \
"0.0270566000, 0.0255715000, 0.0207292000, 0.0026525000, -0.070483200, -0.341422900, -1.314642300", \
"0.0268942000, 0.0254084000, 0.0205661000, 0.0025073000, -0.070640200, -0.341562900, -1.314816300", \
"0.0267177000, 0.0252412000, 0.0203850000, 0.0022690000, -0.070893000, -0.341795300, -1.315024900", \
"0.0265644000, 0.0250625000, 0.0200763000, 0.0018648000, -0.071320600, -0.342096200, -1.315255200", \
"0.0298474000, 0.0282197000, 0.0224928000, 0.0018021000, -0.071879200, -0.342311900, -1.315373300", \
"0.0314609000, 0.0304727000, 0.0244939000, 0.0033001000, -0.071905100, -0.342732100, -1.315052700");
}
related_pin : "A2";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0017907200, 0.0064133570, 0.0229690600, 0.0822623100, 0.2946175000, 1.0551550000");
values("0.0282832000, 0.0301162000, 0.0365939000, 0.0586454000, 0.1348360000, 0.4041013000, 1.3676188000", \
"0.0281812000, 0.0300214000, 0.0364646000, 0.0585108000, 0.1346897000, 0.4039019000, 1.3675459000", \
"0.0279279000, 0.0297579000, 0.0362260000, 0.0582733000, 0.1344050000, 0.4038010000, 1.3673124000", \
"0.0275540000, 0.0293968000, 0.0358476000, 0.0579289000, 0.1340481000, 0.4036965000, 1.3659460000", \
"0.0271680000, 0.0289546000, 0.0353088000, 0.0571144000, 0.1333010000, 0.4033885000, 1.3702813000", \
"0.0279955000, 0.0296790000, 0.0357681000, 0.0572078000, 0.1327372000, 0.4014730000, 1.3667312000", \
"0.0295847000, 0.0312337000, 0.0370952000, 0.0584578000, 0.1334756000, 0.4023188000, 1.3650532000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0017907200, 0.0064133570, 0.0229690600, 0.0822623100, 0.2946175000, 1.0551550000");
values("0.0241262000, 0.0226737000, 0.0178050000, -0.000263100, -0.073471200, -0.344305300, -1.317606200", \
"0.0242646000, 0.0226475000, 0.0177741000, -0.000237800, -0.073427400, -0.344260500, -1.317429400", \
"0.0241145000, 0.0226318000, 0.0177761000, -0.000237600, -0.073414800, -0.344273600, -1.317456700", \
"0.0234692000, 0.0220353000, 0.0172102000, -0.000837700, -0.074017900, -0.344882600, -1.318048800", \
"0.0229380000, 0.0214808000, 0.0165652000, -0.001426300, -0.074617900, -0.345509400, -1.318635400", \
"0.0269539000, 0.0254162000, 0.0198821000, -0.000377100, -0.075259000, -0.346182100, -1.319279300", \
"0.0272145000, 0.0256674000, 0.0199499000, -0.000343700, -0.075101700, -0.346274400, -1.319537300");
}
related_pin : "B1_N";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0017907200, 0.0064133570, 0.0229690600, 0.0822623100, 0.2946175000, 1.0551550000");
values("0.0247716000, 0.0266476000, 0.0331025000, 0.0549267000, 0.1305714000, 0.4001508000, 1.3609289000", \
"0.0248132000, 0.0266977000, 0.0331314000, 0.0549715000, 0.1305843000, 0.4003509000, 1.3609576000", \
"0.0249035000, 0.0267621000, 0.0332154000, 0.0550497000, 0.1305247000, 0.3977814000, 1.3606047000", \
"0.0245402000, 0.0263963000, 0.0328451000, 0.0546627000, 0.1300924000, 0.3974347000, 1.3601527000", \
"0.0238160000, 0.0256622000, 0.0320995000, 0.0538766000, 0.1294133000, 0.3969951000, 1.3653623000", \
"0.0234099000, 0.0252034000, 0.0314549000, 0.0531348000, 0.1291449000, 0.3972283000, 1.3598472000", \
"0.0233763000, 0.0251697000, 0.0313679000, 0.0529909000, 0.1295478000, 0.3996002000, 1.3596320000");
}
}
max_capacitance : 0.3112890000;
max_transition : 1.4987760000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0017907200, 0.0064133600, 0.0229691000, 0.0822623000, 0.2946180000, 1.0551600000");
values("0.3198421000, 0.3279313000, 0.3506729000, 0.4043746000, 0.5256305000, 0.8575642000, 1.9901991000", \
"0.3275967000, 0.3357554000, 0.3585220000, 0.4120547000, 0.5337733000, 0.8652739000, 1.9950226000", \
"0.3501299000, 0.3582828000, 0.3809956000, 0.4347455000, 0.5560389000, 0.8881103000, 2.0210575000", \
"0.4108570000, 0.4190502000, 0.4418846000, 0.4950748000, 0.6163768000, 0.9486699000, 2.0846616000", \
"0.5700390000, 0.5781986000, 0.6007714000, 0.6541075000, 0.7761778000, 1.1085527000, 2.2374467000", \
"0.9163783000, 0.9270801000, 0.9540988000, 1.0167052000, 1.1517520000, 1.4932078000, 2.6231877000", \
"1.5512780000, 1.5660853000, 1.6053876000, 1.6913243000, 1.8604029000, 2.2240938000, 3.3611827000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0017907200, 0.0064133600, 0.0229691000, 0.0822623000, 0.2946180000, 1.0551600000");
values("0.1694390000, 0.1775059000, 0.2011536000, 0.2665682000, 0.4695942000, 1.1802488000, 3.7107675000", \
"0.1761277000, 0.1841042000, 0.2078261000, 0.2732141000, 0.4760621000, 1.1842132000, 3.7098249000", \
"0.1970837000, 0.2048849000, 0.2286212000, 0.2940303000, 0.4974213000, 1.2060878000, 3.7385983000", \
"0.2574932000, 0.2656286000, 0.2891589000, 0.3543278000, 0.5569446000, 1.2670451000, 3.7954830000", \
"0.4023470000, 0.4109904000, 0.4358431000, 0.5014761000, 0.7052975000, 1.4168285000, 3.9473167000", \
"0.6449765000, 0.6563616000, 0.6872636000, 0.7604593000, 0.9668017000, 1.6753821000, 4.2096771000", \
"0.9878000000, 1.0051819000, 1.0519703000, 1.1512227000, 1.3657754000, 2.0717619000, 4.5974460000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0017907200, 0.0064133600, 0.0229691000, 0.0822623000, 0.2946180000, 1.0551600000");
values("0.0453381000, 0.0502556000, 0.0649384000, 0.1046836000, 0.2225341000, 0.6378669000, 2.1859670000", \
"0.0454333000, 0.0503644000, 0.0651709000, 0.1053648000, 0.2225437000, 0.6381492000, 2.1960953000", \
"0.0457784000, 0.0506998000, 0.0653501000, 0.1050724000, 0.2223168000, 0.6380318000, 2.1922091000", \
"0.0455994000, 0.0506393000, 0.0645247000, 0.1048315000, 0.2231028000, 0.6378951000, 2.1975268000", \
"0.0465295000, 0.0512628000, 0.0667016000, 0.1057591000, 0.2229811000, 0.6378724000, 2.1921391000", \
"0.0636292000, 0.0681640000, 0.0839305000, 0.1261679000, 0.2415783000, 0.6459697000, 2.1908755000", \
"0.1061546000, 0.1119817000, 0.1319504000, 0.1787648000, 0.2941220000, 0.6790859000, 2.1999782000");
}
related_pin : "A1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0017907200, 0.0064133600, 0.0229691000, 0.0822623000, 0.2946180000, 1.0551600000");
values("0.0382586000, 0.0446442000, 0.0665445000, 0.1405248000, 0.4153742000, 1.4197584000, 4.9996780000", \
"0.0382757000, 0.0448641000, 0.0662439000, 0.1401901000, 0.4151295000, 1.4185003000, 5.0000475000", \
"0.0382212000, 0.0446163000, 0.0665043000, 0.1404782000, 0.4161661000, 1.4186203000, 5.0007962000", \
"0.0380174000, 0.0445436000, 0.0664459000, 0.1403423000, 0.4156796000, 1.4198800000, 5.0015081000", \
"0.0439551000, 0.0504530000, 0.0713344000, 0.1439641000, 0.4154692000, 1.4187879000, 5.0004179000", \
"0.0650413000, 0.0712974000, 0.0904153000, 0.1569990000, 0.4208477000, 1.4147437000, 5.0015574000", \
"0.1095184000, 0.1185528000, 0.1426547000, 0.2023671000, 0.4367058000, 1.4229328000, 4.9929292000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0017907200, 0.0064133600, 0.0229691000, 0.0822623000, 0.2946180000, 1.0551600000");
values("0.3320424000, 0.3399078000, 0.3616381000, 0.4132639000, 0.5321150000, 0.8621250000, 1.9941770000", \
"0.3395964000, 0.3474881000, 0.3690335000, 0.4208463000, 0.5397281000, 0.8695858000, 2.0018596000", \
"0.3630889000, 0.3709835000, 0.3925481000, 0.4443757000, 0.5632610000, 0.8926350000, 2.0283555000", \
"0.4250367000, 0.4329736000, 0.4548512000, 0.5064693000, 0.6252948000, 0.9550504000, 2.0876110000", \
"0.5851127000, 0.5929046000, 0.6147902000, 0.6663545000, 0.7853851000, 1.1156810000, 2.2451498000", \
"0.9351857000, 0.9449604000, 0.9713816000, 1.0314323000, 1.1624931000, 1.5006525000, 2.6346563000", \
"1.5630119000, 1.5767014000, 1.6138254000, 1.6955818000, 1.8577999000, 2.2205220000, 3.3583598000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0017907200, 0.0064133600, 0.0229691000, 0.0822623000, 0.2946180000, 1.0551600000");
values("0.1786468000, 0.1866785000, 0.2103865000, 0.2757878000, 0.4783673000, 1.1860997000, 3.7110182000", \
"0.1865017000, 0.1945683000, 0.2182371000, 0.2836291000, 0.4862040000, 1.1941380000, 3.7195660000", \
"0.2068045000, 0.2149397000, 0.2386527000, 0.3040335000, 0.5067779000, 1.2148613000, 3.7401704000", \
"0.2619973000, 0.2700552000, 0.2937011000, 0.3589275000, 0.5622912000, 1.2709935000, 3.8010075000", \
"0.3971344000, 0.4058341000, 0.4305989000, 0.4978637000, 0.7018996000, 1.4109333000, 3.9448378000", \
"0.6528828000, 0.6637598000, 0.6939695000, 0.7674986000, 0.9743720000, 1.6816525000, 4.2129380000", \
"1.0573996000, 1.0739967000, 1.1177762000, 1.2140561000, 1.4322353000, 2.1414350000, 4.6653321000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0017907200, 0.0064133600, 0.0229691000, 0.0822623000, 0.2946180000, 1.0551600000");
values("0.0439162000, 0.0487593000, 0.0633965000, 0.1018827000, 0.2184237000, 0.6343246000, 2.1976043000", \
"0.0436405000, 0.0483655000, 0.0628323000, 0.1021332000, 0.2184680000, 0.6353609000, 2.1977389000", \
"0.0436195000, 0.0483525000, 0.0629398000, 0.1022084000, 0.2184307000, 0.6344830000, 2.1922519000", \
"0.0436332000, 0.0484258000, 0.0632996000, 0.1024069000, 0.2182986000, 0.6354063000, 2.1980640000", \
"0.0444426000, 0.0496097000, 0.0634311000, 0.1024902000, 0.2180524000, 0.6352952000, 2.1935733000", \
"0.0591754000, 0.0647796000, 0.0796282000, 0.1204469000, 0.2356116000, 0.6414560000, 2.2001307000", \
"0.0999139000, 0.1080407000, 0.1267842000, 0.1709265000, 0.2843117000, 0.6695116000, 2.1991033000");
}
related_pin : "A2";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0017907200, 0.0064133600, 0.0229691000, 0.0822623000, 0.2946180000, 1.0551600000");
values("0.0379362000, 0.0445131000, 0.0664597000, 0.1404787000, 0.4152320000, 1.4182943000, 4.9985460000", \
"0.0381999000, 0.0447851000, 0.0663344000, 0.1402826000, 0.4151897000, 1.4183671000, 4.9997171000", \
"0.0381389000, 0.0448507000, 0.0662103000, 0.1402482000, 0.4150400000, 1.4185739000, 4.9997975000", \
"0.0380930000, 0.0447744000, 0.0663839000, 0.1404262000, 0.4160803000, 1.4188459000, 5.0013171000", \
"0.0429764000, 0.0492237000, 0.0716039000, 0.1434517000, 0.4163789000, 1.4184021000, 4.9998246000", \
"0.0581601000, 0.0644775000, 0.0863699000, 0.1557138000, 0.4202953000, 1.4160670000, 4.9994489000", \
"0.0960564000, 0.1046968000, 0.1297158000, 0.1936984000, 0.4357575000, 1.4207094000, 5.0028244000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0017907200, 0.0064133600, 0.0229691000, 0.0822623000, 0.2946180000, 1.0551600000");
values("0.3573511000, 0.3654291000, 0.3873251000, 0.4389309000, 0.5577897000, 0.8872728000, 2.0231028000", \
"0.3656626000, 0.3735429000, 0.3953513000, 0.4472317000, 0.5660084000, 0.8955264000, 2.0317101000", \
"0.3882299000, 0.3960462000, 0.4178184000, 0.4697789000, 0.5886199000, 0.9184088000, 2.0509472000", \
"0.4493068000, 0.4572023000, 0.4789834000, 0.5306386000, 0.6495759000, 0.9790746000, 2.1149424000", \
"0.5774208000, 0.5852984000, 0.6069916000, 0.6586388000, 0.7775128000, 1.1077307000, 2.2384496000", \
"0.8005728000, 0.8083770000, 0.8301445000, 0.8819417000, 1.0008623000, 1.3305119000, 2.4642677000", \
"1.1623262000, 1.1702826000, 1.1922580000, 1.2434755000, 1.3631601000, 1.6939945000, 2.8265428000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0017907200, 0.0064133600, 0.0229691000, 0.0822623000, 0.2946180000, 1.0551600000");
values("0.2530907000, 0.2603120000, 0.2817135000, 0.3427106000, 0.5418852000, 1.2495008000, 3.7767474000", \
"0.2607481000, 0.2680282000, 0.2893615000, 0.3503944000, 0.5493946000, 1.2585717000, 3.7764716000", \
"0.2835049000, 0.2907327000, 0.3120252000, 0.3731129000, 0.5725652000, 1.2772283000, 3.8017216000", \
"0.3517092000, 0.3589664000, 0.3802431000, 0.4413127000, 0.6408916000, 1.3455903000, 3.8694767000", \
"0.5272071000, 0.5345397000, 0.5560383000, 0.6171889000, 0.8171583000, 1.5222265000, 4.0528882000", \
"0.8457281000, 0.8536165000, 0.8761998000, 0.9385790000, 1.1384746000, 1.8443765000, 4.3680815000", \
"1.3689863000, 1.3792395000, 1.4054280000, 1.4718693000, 1.6739483000, 2.3808491000, 4.9026490000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0017907200, 0.0064133600, 0.0229691000, 0.0822623000, 0.2946180000, 1.0551600000");
values("0.0440369000, 0.0484883000, 0.0625172000, 0.1024969000, 0.2186437000, 0.6342605000, 2.1907904000", \
"0.0442511000, 0.0491371000, 0.0633021000, 0.1024261000, 0.2186140000, 0.6345123000, 2.1973190000", \
"0.0436342000, 0.0482012000, 0.0627134000, 0.1024365000, 0.2183144000, 0.6354076000, 2.1980120000", \
"0.0440025000, 0.0486008000, 0.0629190000, 0.1026086000, 0.2187448000, 0.6344999000, 2.1922109000", \
"0.0441595000, 0.0483256000, 0.0625085000, 0.1021339000, 0.2179369000, 0.6349206000, 2.1988487000", \
"0.0439982000, 0.0492359000, 0.0629015000, 0.1026151000, 0.2186526000, 0.6341066000, 2.1942599000", \
"0.0456686000, 0.0503789000, 0.0650814000, 0.1043383000, 0.2199973000, 0.6364163000, 2.1954720000");
}
related_pin : "B1_N";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0017907200, 0.0064133600, 0.0229691000, 0.0822623000, 0.2946180000, 1.0551600000");
values("0.0336103000, 0.0395651000, 0.0598540000, 0.1334533000, 0.4104894000, 1.4175797000, 4.9955999000", \
"0.0335985000, 0.0396023000, 0.0598488000, 0.1335731000, 0.4105421000, 1.4194174000, 4.9882791000", \
"0.0337724000, 0.0396150000, 0.0598484000, 0.1335263000, 0.4101811000, 1.4118609000, 4.9949338000", \
"0.0336804000, 0.0396298000, 0.0598543000, 0.1335751000, 0.4097123000, 1.4118398000, 4.9952225000", \
"0.0345085000, 0.0404297000, 0.0604900000, 0.1338489000, 0.4104005000, 1.4116134000, 5.0027764000", \
"0.0388914000, 0.0446371000, 0.0640285000, 0.1358734000, 0.4109751000, 1.4104047000, 4.9969566000", \
"0.0519537000, 0.0570703000, 0.0749767000, 0.1421127000, 0.4130387000, 1.4096108000, 4.9850271000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
}
}
/* removed sky130_fd_sc_hd__a21boi_0 */
/* removed sky130_fd_sc_hd__a21boi_1 */
/* removed sky130_fd_sc_hd__a21boi_2 */
cell ("sky130_fd_sc_hd__a21boi_4") {
leakage_power () {
value : 11.785963300;
when : "!A1&!A2&B1_N";
}
leakage_power () {
value : 6.4047394000;
when : "!A1&!A2&!B1_N";
}
leakage_power () {
value : 15.742740400;
when : "!A1&A2&B1_N";
}
leakage_power () {
value : 6.4044077000;
when : "!A1&A2&!B1_N";
}
leakage_power () {
value : 14.419757800;
when : "A1&!A2&B1_N";
}
leakage_power () {
value : 6.4044721000;
when : "A1&!A2&!B1_N";
}
leakage_power () {
value : 12.986557600;
when : "A1&A2&B1_N";
}
leakage_power () {
value : 1.3773741000;
when : "A1&A2&!B1_N";
}
area : 18.768000000;
cell_footprint : "sky130_fd_sc_hd__a21boi";
cell_leakage_power : 9.4407520000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A1") {
capacitance : 0.0086310000;
clock : "false";
direction : "input";
fall_capacitance : 0.0083540000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0124683000, 0.0124342000, 0.0123930000, 0.0123661000, 0.0123455000, 0.0123424000, 0.0123886000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.012473400, -0.012505200, -0.012534000, -0.012567600, -0.012601800, -0.012637000, -0.012675500");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0089080000;
}
pin ("A2") {
capacitance : 0.0092700000;
clock : "false";
direction : "input";
fall_capacitance : 0.0088730000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0133699000, 0.0133448000, 0.0133323000, 0.0133027000, 0.0132774000, 0.0132644000, 0.0132861000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.013444200, -0.013465500, -0.013464600, -0.013497800, -0.013530800, -0.013563000, -0.013592700");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0096680000;
}
pin ("B1_N") {
capacitance : 0.0024940000;
clock : "false";
direction : "input";
fall_capacitance : 0.0023950000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0179933000, 0.0178230000, 0.0174395000, 0.0174698000, 0.0176514000, 0.0182592000, 0.0200679000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0102639000, 0.0101407000, 0.0098038000, 0.0099064000, 0.0102058000, 0.0110596000, 0.0134751000");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0025930000;
}
pin ("Y") {
direction : "output";
function : "(!A1&B1_N) | (!A2&B1_N)";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0015644830, 0.0048952120, 0.0153169500, 0.0479261900, 0.1499594000, 0.4692177000");
values("0.0151972000, 0.0138541000, 0.0096040000, -0.003612900, -0.044987300, -0.175186700, -0.583568800", \
"0.0148008000, 0.0134617000, 0.0092817000, -0.003893400, -0.045281600, -0.175482200, -0.583639200", \
"0.0140853000, 0.0127723000, 0.0086421000, -0.004421600, -0.045661100, -0.175759900, -0.584034100", \
"0.0133242000, 0.0120575000, 0.0079463000, -0.005010400, -0.046085400, -0.176064200, -0.584337700", \
"0.0126753000, 0.0113446000, 0.0071689000, -0.005828900, -0.046740400, -0.176394700, -0.584324800", \
"0.0139256000, 0.0125537000, 0.0082603000, -0.005112600, -0.046942700, -0.176816600, -0.584388300", \
"0.0179290000, 0.0164507000, 0.0119947000, -0.001879000, -0.044411200, -0.175577700, -0.584468600");
}
related_pin : "A1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0015644830, 0.0048952120, 0.0153169500, 0.0479261900, 0.1499594000, 0.4692177000");
values("0.0229377000, 0.0243498000, 0.0288312000, 0.0422813000, 0.0838881000, 0.2125355000, 0.6163902000", \
"0.0222887000, 0.0238074000, 0.0283419000, 0.0420075000, 0.0835598000, 0.2126143000, 0.6171511000", \
"0.0215446000, 0.0230182000, 0.0275504000, 0.0413904000, 0.0832312000, 0.2127644000, 0.6168781000", \
"0.0210816000, 0.0225029000, 0.0268443000, 0.0405507000, 0.0824554000, 0.2124425000, 0.6166851000", \
"0.0206641000, 0.0220651000, 0.0264473000, 0.0397819000, 0.0817030000, 0.2115344000, 0.6166300000", \
"0.0197067000, 0.0210785000, 0.0254290000, 0.0395697000, 0.0812672000, 0.2112989000, 0.6154352000", \
"0.0208741000, 0.0221545000, 0.0262521000, 0.0394114000, 0.0801772000, 0.2123589000, 0.6155979000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0015644830, 0.0048952120, 0.0153169500, 0.0479261900, 0.1499594000, 0.4692177000");
values("0.0159950000, 0.0146422000, 0.0104267000, -0.002763300, -0.044180400, -0.174390400, -0.582449400", \
"0.0155988000, 0.0142507000, 0.0100789000, -0.003111600, -0.044501500, -0.174659100, -0.582905500", \
"0.0149029000, 0.0135859000, 0.0094641000, -0.003664000, -0.044933000, -0.175064900, -0.583189100", \
"0.0141123000, 0.0128234000, 0.0086742000, -0.004270700, -0.045416400, -0.175339400, -0.583460800", \
"0.0139712000, 0.0126351000, 0.0082561000, -0.004931900, -0.045791400, -0.175463100, -0.583436100", \
"0.0140440000, 0.0127167000, 0.0084638000, -0.004741300, -0.046279100, -0.176217700, -0.583917500", \
"0.0163742000, 0.0150012000, 0.0105907000, -0.002776300, -0.044910900, -0.175736700, -0.584110800");
}
related_pin : "A2";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0015644830, 0.0048952120, 0.0153169500, 0.0479261900, 0.1499594000, 0.4692177000");
values("0.0315644000, 0.0329346000, 0.0371897000, 0.0504797000, 0.0918632000, 0.2209465000, 0.6254179000", \
"0.0311183000, 0.0325072000, 0.0368233000, 0.0502262000, 0.0916603000, 0.2209533000, 0.6250643000", \
"0.0304727000, 0.0319166000, 0.0362737000, 0.0497888000, 0.0913556000, 0.2205734000, 0.6248531000", \
"0.0300196000, 0.0314050000, 0.0357175000, 0.0491838000, 0.0909708000, 0.2203540000, 0.6246468000", \
"0.0296211000, 0.0310143000, 0.0353638000, 0.0487098000, 0.0903739000, 0.2198452000, 0.6241971000", \
"0.0285525000, 0.0299137000, 0.0345452000, 0.0485278000, 0.0901608000, 0.2197007000, 0.6244097000", \
"0.0298197000, 0.0311996000, 0.0353334000, 0.0484673000, 0.0892557000, 0.2202710000, 0.6246690000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0015644830, 0.0048952120, 0.0153169500, 0.0479261900, 0.1499594000, 0.4692177000");
values("0.0058929000, 0.0048156000, 0.0013855000, -0.010445700, -0.050816100, -0.180775800, -0.589259200", \
"0.0059339000, 0.0048964000, 0.0014391000, -0.010397000, -0.050725900, -0.180722900, -0.589238000", \
"0.0061485000, 0.0051183000, 0.0016210000, -0.010242200, -0.050531900, -0.180523400, -0.588981200", \
"0.0057058000, 0.0046181000, 0.0010532000, -0.010802200, -0.051048300, -0.180898100, -0.589294500", \
"0.0048789000, 0.0037441000, 0.0001272000, -0.011902400, -0.051957500, -0.181423400, -0.589627900", \
"0.0058975000, 0.0046153000, 0.0004897000, -0.012301100, -0.052887500, -0.182056500, -0.589988400", \
"0.0064202000, 0.0050169000, 0.0006664000, -0.012517200, -0.053606100, -0.182884900, -0.590237300");
}
related_pin : "B1_N";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0015644830, 0.0048952120, 0.0153169500, 0.0479261900, 0.1499594000, 0.4692177000");
values("0.0238439000, 0.0252894000, 0.0296976000, 0.0433511000, 0.0852031000, 0.2144253000, 0.6187482000", \
"0.0238833000, 0.0253249000, 0.0297482000, 0.0434204000, 0.0852015000, 0.2146028000, 0.6187886000", \
"0.0240373000, 0.0254819000, 0.0298553000, 0.0434862000, 0.0853458000, 0.2145691000, 0.6191246000", \
"0.0233978000, 0.0248118000, 0.0291813000, 0.0427911000, 0.0847244000, 0.2141466000, 0.6185695000", \
"0.0227217000, 0.0241805000, 0.0285110000, 0.0420705000, 0.0835462000, 0.2134694000, 0.6180117000", \
"0.0223682000, 0.0237221000, 0.0279918000, 0.0409331000, 0.0829908000, 0.2126257000, 0.6174425000", \
"0.0227455000, 0.0240897000, 0.0284531000, 0.0417792000, 0.0833097000, 0.2123725000, 0.6162916000");
}
}
max_capacitance : 0.1345920000;
max_transition : 1.4926360000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015644800, 0.0048952100, 0.0153169000, 0.0479262000, 0.1499590000, 0.4692180000");
values("0.0522010000, 0.0559335000, 0.0669289000, 0.0992110000, 0.1932249000, 0.4788579000, 1.3648067000", \
"0.0586414000, 0.0623592000, 0.0735655000, 0.1055587000, 0.1997306000, 0.4853332000, 1.3709534000", \
"0.0788108000, 0.0825087000, 0.0936625000, 0.1261325000, 0.2204632000, 0.5060642000, 1.3918547000", \
"0.1327438000, 0.1375638000, 0.1505361000, 0.1857680000, 0.2794366000, 0.5642233000, 1.4503728000", \
"0.2221666000, 0.2304975000, 0.2542687000, 0.3143364000, 0.4455669000, 0.7364005000, 1.6226460000", \
"0.3471892000, 0.3621188000, 0.4048806000, 0.5122261000, 0.7469544000, 1.1922980000, 2.0980651000", \
"0.4627493000, 0.4892058000, 0.5683038000, 0.7669408000, 1.2003792000, 2.0044562000, 3.4074321000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015644800, 0.0048952100, 0.0153169000, 0.0479262000, 0.1499590000, 0.4692180000");
values("0.1386400000, 0.1479955000, 0.1755044000, 0.2576511000, 0.5128837000, 1.2948750000, 3.7520151000", \
"0.1439440000, 0.1533551000, 0.1818271000, 0.2654223000, 0.5185247000, 1.3046242000, 3.7669574000", \
"0.1648329000, 0.1739922000, 0.2022943000, 0.2868012000, 0.5421279000, 1.3347936000, 3.7845616000", \
"0.2275296000, 0.2365468000, 0.2641438000, 0.3474101000, 0.6052576000, 1.3986378000, 3.8502366000", \
"0.3606231000, 0.3730365000, 0.4093975000, 0.5065496000, 0.7645014000, 1.5605286000, 4.0249257000", \
"0.6064012000, 0.6290740000, 0.6921820000, 0.8473870000, 1.1942649000, 2.0112669000, 4.4774553000", \
"1.0041973000, 1.0483521000, 1.1748068000, 1.4854015000, 2.0794964000, 3.2246870000, 5.7686900000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015644800, 0.0048952100, 0.0153169000, 0.0479262000, 0.1499590000, 0.4692180000");
values("0.0508847000, 0.0548270000, 0.0668895000, 0.1051228000, 0.2259132000, 0.6106932000, 1.8149506000", \
"0.0506907000, 0.0545943000, 0.0667549000, 0.1050173000, 0.2259733000, 0.6107754000, 1.8149142000", \
"0.0491178000, 0.0529779000, 0.0652729000, 0.1044740000, 0.2262382000, 0.6103067000, 1.8135174000", \
"0.0683936000, 0.0724243000, 0.0839128000, 0.1138048000, 0.2258039000, 0.6095446000, 1.8170876000", \
"0.1167307000, 0.1229359000, 0.1399415000, 0.1826713000, 0.2827723000, 0.6169839000, 1.8159895000", \
"0.2258617000, 0.2367555000, 0.2691244000, 0.3437780000, 0.4929976000, 0.8040970000, 1.8357592000", \
"0.4546609000, 0.4800356000, 0.5381365000, 0.6759168000, 0.9447075000, 1.4206943000, 2.3586915000");
}
related_pin : "A1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015644800, 0.0048952100, 0.0153169000, 0.0479262000, 0.1499590000, 0.4692180000");
values("0.0790224000, 0.0904928000, 0.1260154000, 0.2358044000, 0.5804222000, 1.6373245000, 4.9448405000", \
"0.0791918000, 0.0906756000, 0.1260686000, 0.2359379000, 0.5772758000, 1.6369611000, 4.9511963000", \
"0.0794191000, 0.0905383000, 0.1261603000, 0.2363716000, 0.5766608000, 1.6433720000, 4.9466120000", \
"0.0810906000, 0.0921972000, 0.1267697000, 0.2360633000, 0.5767733000, 1.6431720000, 4.9501203000", \
"0.1141579000, 0.1257774000, 0.1612025000, 0.2589519000, 0.5806419000, 1.6404081000, 4.9522813000", \
"0.2152724000, 0.2301692000, 0.2731731000, 0.3885876000, 0.6910286000, 1.6582732000, 4.9495852000", \
"0.4979180000, 0.5203650000, 0.5855819000, 0.7470645000, 1.1009137000, 2.0154161000, 5.0013820000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015644800, 0.0048952100, 0.0153169000, 0.0479262000, 0.1499590000, 0.4692180000");
values("0.0626384000, 0.0663329000, 0.0774992000, 0.1095945000, 0.2037356000, 0.4893262000, 1.3749069000", \
"0.0702607000, 0.0740063000, 0.0852024000, 0.1172933000, 0.2115473000, 0.4969467000, 1.3827126000", \
"0.0903225000, 0.0940778000, 0.1054160000, 0.1379241000, 0.2322076000, 0.5176585000, 1.4046414000", \
"0.1405209000, 0.1449312000, 0.1575570000, 0.1920590000, 0.2867848000, 0.5726809000, 1.4596839000", \
"0.2382232000, 0.2450689000, 0.2642465000, 0.3138506000, 0.4328234000, 0.7271680000, 1.6135318000", \
"0.3980082000, 0.4103061000, 0.4456026000, 0.5358099000, 0.7315313000, 1.1285693000, 2.0497852000", \
"0.5920566000, 0.6175772000, 0.6868759000, 0.8618440000, 1.2422539000, 1.9328696000, 3.1876641000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015644800, 0.0048952100, 0.0153169000, 0.0479262000, 0.1499590000, 0.4692180000");
values("0.1751150000, 0.1830217000, 0.2091842000, 0.2876049000, 0.5293724000, 1.2806305000, 3.6249306000", \
"0.1811395000, 0.1896067000, 0.2159922000, 0.2947707000, 0.5370683000, 1.2881733000, 3.6334951000", \
"0.2028105000, 0.2119715000, 0.2380534000, 0.3176887000, 0.5604804000, 1.3124843000, 3.6582604000", \
"0.2650793000, 0.2736585000, 0.2998618000, 0.3792605000, 0.6231293000, 1.3763294000, 3.7220194000", \
"0.4058417000, 0.4158189000, 0.4479797000, 0.5369468000, 0.7813848000, 1.5352221000, 3.8827452000", \
"0.6781068000, 0.6956341000, 0.7448501000, 0.8776215000, 1.1990934000, 1.9797199000, 4.3304405000", \
"1.1272338000, 1.1607052000, 1.2595173000, 1.5102556000, 2.0529436000, 3.1420504000, 5.5882556000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015644800, 0.0048952100, 0.0153169000, 0.0479262000, 0.1499590000, 0.4692180000");
values("0.0506611000, 0.0545083000, 0.0665460000, 0.1049485000, 0.2261401000, 0.6100331000, 1.8145989000", \
"0.0505810000, 0.0544072000, 0.0665682000, 0.1048634000, 0.2261347000, 0.6101167000, 1.8130453000", \
"0.0497771000, 0.0536780000, 0.0660452000, 0.1046910000, 0.2260591000, 0.6100552000, 1.8137730000", \
"0.0601739000, 0.0639119000, 0.0748601000, 0.1089550000, 0.2260821000, 0.6099777000, 1.8150403000", \
"0.0965019000, 0.1008533000, 0.1147981000, 0.1529744000, 0.2597889000, 0.6136253000, 1.8125448000", \
"0.1889171000, 0.1964423000, 0.2188816000, 0.2755661000, 0.4068843000, 0.7337412000, 1.8307414000", \
"0.4079939000, 0.4218510000, 0.4617650000, 0.5630530000, 0.7677449000, 1.1788285000, 2.1802107000");
}
related_pin : "A2";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015644800, 0.0048952100, 0.0153169000, 0.0479262000, 0.1499590000, 0.4692180000");
values("0.1036936000, 0.1148519000, 0.1493758000, 0.2549620000, 0.5808986000, 1.5926244000, 4.7608295000", \
"0.1037388000, 0.1147251000, 0.1492060000, 0.2548564000, 0.5807299000, 1.5949502000, 4.7529118000", \
"0.1037592000, 0.1148645000, 0.1493155000, 0.2548779000, 0.5808298000, 1.5943170000, 4.7597646000", \
"0.1043378000, 0.1153385000, 0.1496122000, 0.2548648000, 0.5807792000, 1.5926789000, 4.7567554000", \
"0.1350122000, 0.1461341000, 0.1793687000, 0.2740545000, 0.5835313000, 1.5950596000, 4.7570250000", \
"0.2322957000, 0.2464448000, 0.2876358000, 0.3998081000, 0.6909548000, 1.6125645000, 4.7571813000", \
"0.5055492000, 0.5282354000, 0.5882806000, 0.7432022000, 1.1006271000, 1.9826543000, 4.8117279000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015644800, 0.0048952100, 0.0153169000, 0.0479262000, 0.1499590000, 0.4692180000");
values("0.1956568000, 0.2003138000, 0.2123037000, 0.2407553000, 0.3036709000, 0.4623831000, 0.9347518000", \
"0.2029966000, 0.2073913000, 0.2194778000, 0.2482233000, 0.3108270000, 0.4700210000, 0.9420335000", \
"0.2253840000, 0.2298688000, 0.2419444000, 0.2707284000, 0.3338494000, 0.4920002000, 0.9642954000", \
"0.2899494000, 0.2944047000, 0.3065448000, 0.3346786000, 0.3979305000, 0.5570734000, 1.0293922000", \
"0.4638860000, 0.4688022000, 0.4815101000, 0.5119809000, 0.5774609000, 0.7355979000, 1.2077780000", \
"0.7660316000, 0.7731201000, 0.7913837000, 0.8316546000, 0.9112590000, 1.0830892000, 1.5588215000", \
"1.2125315000, 1.2233150000, 1.2527180000, 1.3105226000, 1.4236486000, 1.6262145000, 2.1070301000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015644800, 0.0048952100, 0.0153169000, 0.0479262000, 0.1499590000, 0.4692180000");
values("0.2194333000, 0.2279815000, 0.2548897000, 0.3353627000, 0.5798146000, 1.3333186000, 3.6778289000", \
"0.2274921000, 0.2360555000, 0.2630173000, 0.3436377000, 0.5878291000, 1.3409168000, 3.6850494000", \
"0.2509710000, 0.2594819000, 0.2862923000, 0.3667328000, 0.6112201000, 1.3647846000, 3.7078800000", \
"0.3166246000, 0.3253160000, 0.3518068000, 0.4318526000, 0.6764835000, 1.4299802000, 3.7764325000", \
"0.4728218000, 0.4815675000, 0.5079548000, 0.5880650000, 0.8306691000, 1.5856617000, 3.9336334000", \
"0.7531167000, 0.7629442000, 0.7905455000, 0.8697612000, 1.1135638000, 1.8660553000, 4.2123061000", \
"1.2264867000, 1.2380027000, 1.2710761000, 1.3596575000, 1.5997137000, 2.3494930000, 4.6953375000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015644800, 0.0048952100, 0.0153169000, 0.0479262000, 0.1499590000, 0.4692180000");
values("0.0579159000, 0.0591914000, 0.0646139000, 0.0843835000, 0.1464815000, 0.3436362000, 0.9873988000", \
"0.0578594000, 0.0591498000, 0.0646079000, 0.0845185000, 0.1464344000, 0.3432309000, 0.9880619000", \
"0.0577074000, 0.0591903000, 0.0646050000, 0.0845978000, 0.1462156000, 0.3436613000, 0.9877622000", \
"0.0578100000, 0.0593340000, 0.0648890000, 0.0846260000, 0.1463097000, 0.3434569000, 0.9890389000", \
"0.0682893000, 0.0693455000, 0.0738900000, 0.0914232000, 0.1500017000, 0.3449399000, 0.9887101000", \
"0.1137537000, 0.1141017000, 0.1166596000, 0.1300109000, 0.1818484000, 0.3623781000, 0.9941444000", \
"0.1981887000, 0.1981345000, 0.1996438000, 0.2124819000, 0.2560549000, 0.4135838000, 1.0086686000");
}
related_pin : "B1_N";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015644800, 0.0048952100, 0.0153169000, 0.0479262000, 0.1499590000, 0.4692180000");
values("0.1037974000, 0.1149494000, 0.1492035000, 0.2550009000, 0.5816522000, 1.5946700000, 4.7530482000", \
"0.1038163000, 0.1149470000, 0.1491594000, 0.2547994000, 0.5809162000, 1.5949944000, 4.7603059000", \
"0.1037162000, 0.1149426000, 0.1490959000, 0.2548833000, 0.5805859000, 1.5964273000, 4.7598716000", \
"0.1039654000, 0.1148833000, 0.1491763000, 0.2549647000, 0.5814638000, 1.5955196000, 4.7592867000", \
"0.1067196000, 0.1179022000, 0.1513507000, 0.2558291000, 0.5806412000, 1.5952324000, 4.7534185000", \
"0.1206225000, 0.1305903000, 0.1624260000, 0.2628134000, 0.5847774000, 1.5953424000, 4.7557092000", \
"0.1675826000, 0.1781829000, 0.2089222000, 0.2975609000, 0.5957665000, 1.6007837000, 4.7593347000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
/* removed sky130_fd_sc_hd__a21o_1 */
/* removed sky130_fd_sc_hd__a21o_2 */
cell ("sky130_fd_sc_hd__a21o_4") {
leakage_power () {
value : 7.7473716000;
when : "!A1&!A2&B1";
}
leakage_power () {
value : 10.850840200;
when : "!A1&!A2&!B1";
}
leakage_power () {
value : 7.7470883000;
when : "!A1&A2&B1";
}
leakage_power () {
value : 12.618379200;
when : "!A1&A2&!B1";
}
leakage_power () {
value : 7.7471807000;
when : "A1&!A2&B1";
}
leakage_power () {
value : 12.059526700;
when : "A1&!A2&!B1";
}
leakage_power () {
value : 3.9900629000;
when : "A1&A2&B1";
}
leakage_power () {
value : 7.0989961000;
when : "A1&A2&!B1";
}
area : 15.014400000;
cell_footprint : "sky130_fd_sc_hd__a21o";
cell_leakage_power : 8.7324310000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A1") {
capacitance : 0.0044430000;
clock : "false";
direction : "input";
fall_capacitance : 0.0042980000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0071605000, 0.0071254000, 0.0070855000, 0.0070546000, 0.0070266000, 0.0070065000, 0.0070087000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.005832300, -0.005880900, -0.005952700, -0.005974500, -0.005970400, -0.005894100, -0.005613600");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0045870000;
}
pin ("A2") {
capacitance : 0.0048310000;
clock : "false";
direction : "input";
fall_capacitance : 0.0046340000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0067746000, 0.0067469000, 0.0067291000, 0.0066935000, 0.0066530000, 0.0065997000, 0.0065093000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.006804500, -0.006836700, -0.006862400, -0.006898600, -0.006935200, -0.006973500, -0.007015800");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0050290000;
}
pin ("B1") {
capacitance : 0.0044640000;
clock : "false";
direction : "input";
fall_capacitance : 0.0041910000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0059064000, 0.0058653000, 0.0057792000, 0.0057915000, 0.0058559000, 0.0060670000, 0.0066911000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.003034500, -0.003048600, -0.003035900, -0.003065600, -0.003096800, -0.003131900, -0.003178200");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0047360000;
}
pin ("X") {
direction : "output";
function : "(A1&A2) | (B1)";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018337950, 0.0067256060, 0.0246667600, 0.0904675600, 0.3317978000, 1.2168980000");
values("0.0226105000, 0.0210737000, 0.0160527000, -0.003588900, -0.085258600, -0.393500800, -1.526363300", \
"0.0226500000, 0.0211532000, 0.0160234000, -0.003637600, -0.085288000, -0.393563200, -1.526430100", \
"0.0223498000, 0.0208097000, 0.0156559000, -0.003933000, -0.085546700, -0.393707700, -1.526694600", \
"0.0218928000, 0.0203178000, 0.0153424000, -0.004385500, -0.085966500, -0.394212300, -1.526970500", \
"0.0214705000, 0.0199618000, 0.0148318000, -0.005022800, -0.086592100, -0.394667200, -1.527414900", \
"0.0250414000, 0.0233643000, 0.0172582000, -0.005177600, -0.087260300, -0.394978200, -1.527559900", \
"0.0265335000, 0.0247559000, 0.0184942000, -0.004500500, -0.087913600, -0.395584800, -1.527369200");
}
related_pin : "A1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018337950, 0.0067256060, 0.0246667600, 0.0904675600, 0.3317978000, 1.2168980000");
values("0.0275775000, 0.0295040000, 0.0363371000, 0.0601421000, 0.1445658000, 0.4504896000, 1.5770734000", \
"0.0274785000, 0.0293945000, 0.0362395000, 0.0600723000, 0.1444916000, 0.4502924000, 1.5766866000", \
"0.0272170000, 0.0290941000, 0.0359229000, 0.0599168000, 0.1444307000, 0.4520916000, 1.5735514000", \
"0.0268706000, 0.0287892000, 0.0356229000, 0.0594970000, 0.1437985000, 0.4496842000, 1.5721964000", \
"0.0263947000, 0.0282919000, 0.0350785000, 0.0583482000, 0.1429563000, 0.4513218000, 1.5718855000", \
"0.0274993000, 0.0292392000, 0.0355768000, 0.0588774000, 0.1422941000, 0.4500251000, 1.5718677000", \
"0.0298523000, 0.0314157000, 0.0376234000, 0.0606797000, 0.1439865000, 0.4487006000, 1.5710196000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018337950, 0.0067256060, 0.0246667600, 0.0904675600, 0.3317978000, 1.2168980000");
values("0.0271413000, 0.0256393000, 0.0206191000, 0.0008370000, -0.080694300, -0.388708700, -1.521266100", \
"0.0270926000, 0.0256075000, 0.0204343000, 0.0007797000, -0.080769200, -0.388838300, -1.521479100", \
"0.0269313000, 0.0254157000, 0.0202558000, 0.0005954000, -0.080949700, -0.389046000, -1.521664200", \
"0.0267087000, 0.0251878000, 0.0200628000, 0.0003162000, -0.081210600, -0.389273200, -1.521889900", \
"0.0264925000, 0.0249095000, 0.0197610000, -0.000124800, -0.081695800, -0.389567000, -1.522147000", \
"0.0296110000, 0.0279451000, 0.0219392000, -0.000524100, -0.082298900, -0.389838700, -1.522252400", \
"0.0314529000, 0.0297007000, 0.0233121000, 0.0004432000, -0.082942900, -0.390444700, -1.522028300");
}
related_pin : "A2";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018337950, 0.0067256060, 0.0246667600, 0.0904675600, 0.3317978000, 1.2168980000");
values("0.0284338000, 0.0303716000, 0.0371962000, 0.0612197000, 0.1454512000, 0.4514671000, 1.5784797000", \
"0.0283599000, 0.0302257000, 0.0371307000, 0.0610717000, 0.1453663000, 0.4510815000, 1.5731822000", \
"0.0281054000, 0.0300148000, 0.0368670000, 0.0608235000, 0.1451554000, 0.4511721000, 1.5782993000", \
"0.0276867000, 0.0296280000, 0.0364740000, 0.0604281000, 0.1446893000, 0.4506403000, 1.5767861000", \
"0.0272576000, 0.0291275000, 0.0359365000, 0.0595317000, 0.1438809000, 0.4502692000, 1.5761061000", \
"0.0282871000, 0.0299876000, 0.0364704000, 0.0596674000, 0.1436181000, 0.4486597000, 1.5764851000", \
"0.0296580000, 0.0313041000, 0.0375620000, 0.0610701000, 0.1447572000, 0.4503166000, 1.5751040000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018337950, 0.0067256060, 0.0246667600, 0.0904675600, 0.3317978000, 1.2168980000");
values("0.0238978000, 0.0224103000, 0.0173506000, -0.002334300, -0.083864100, -0.391932400, -1.524538600", \
"0.0237663000, 0.0222816000, 0.0171835000, -0.002527000, -0.084039200, -0.392093700, -1.524653800", \
"0.0235760000, 0.0220271000, 0.0167995000, -0.002828200, -0.084334900, -0.392363900, -1.524966300", \
"0.0230438000, 0.0215390000, 0.0164816000, -0.003314800, -0.084834200, -0.392836200, -1.525383500", \
"0.0227244000, 0.0212155000, 0.0160266000, -0.003945400, -0.085581600, -0.393301000, -1.525767700", \
"0.0267575000, 0.0250455000, 0.0188709000, -0.003733600, -0.085926100, -0.393378100, -1.525588900", \
"0.0305531000, 0.0287048000, 0.0222086000, -0.000932300, -0.084564900, -0.392417000, -1.523833800");
}
related_pin : "B1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018337950, 0.0067256060, 0.0246667600, 0.0904675600, 0.3317978000, 1.2168980000");
values("0.0208925000, 0.0228868000, 0.0298212000, 0.0534325000, 0.1370501000, 0.4420214000, 1.5619256000", \
"0.0208753000, 0.0228601000, 0.0297900000, 0.0534013000, 0.1371133000, 0.4423571000, 1.5619716000", \
"0.0206023000, 0.0225752000, 0.0294332000, 0.0530245000, 0.1368945000, 0.4422123000, 1.5663906000", \
"0.0198703000, 0.0217664000, 0.0284657000, 0.0520075000, 0.1361288000, 0.4422456000, 1.5664549000", \
"0.0195537000, 0.0213378000, 0.0277254000, 0.0511269000, 0.1347667000, 0.4397651000, 1.5608197000", \
"0.0202222000, 0.0223738000, 0.0287278000, 0.0516251000, 0.1348991000, 0.4417695000, 1.5623233000", \
"0.0234505000, 0.0253605000, 0.0312370000, 0.0542470000, 0.1384036000, 0.4459868000, 1.5670913000");
}
}
max_capacitance : 0.3588970000;
max_transition : 1.5006290000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018337900, 0.0067256100, 0.0246668000, 0.0904676000, 0.3317980000, 1.2169000000");
values("0.3086209000, 0.3167104000, 0.3396621000, 0.3947378000, 0.5233466000, 0.8922567000, 2.1974949000", \
"0.3166216000, 0.3247000000, 0.3475220000, 0.4028605000, 0.5313712000, 0.9002825000, 2.2113478000", \
"0.3391595000, 0.3472717000, 0.3704488000, 0.4255024000, 0.5542203000, 0.9233176000, 2.2283153000", \
"0.4026087000, 0.4106614000, 0.4336491000, 0.4886385000, 0.6169705000, 0.9864499000, 2.2959330000", \
"0.5678630000, 0.5759125000, 0.5989548000, 0.6537024000, 0.7823224000, 1.1519876000, 2.4568381000", \
"0.9244248000, 0.9346287000, 0.9627847000, 1.0274807000, 1.1699876000, 1.5480857000, 2.8512610000", \
"1.5749952000, 1.5899502000, 1.6309812000, 1.7211392000, 1.9005573000, 2.3070712000, 3.6129393000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018337900, 0.0067256100, 0.0246668000, 0.0904676000, 0.3317980000, 1.2169000000");
values("0.1663343000, 0.1741093000, 0.1970206000, 0.2601734000, 0.4573390000, 1.1604687000, 3.7339478000", \
"0.1729223000, 0.1806612000, 0.2035793000, 0.2667469000, 0.4641746000, 1.1666406000, 3.7393915000", \
"0.1932269000, 0.2009607000, 0.2238171000, 0.2871425000, 0.4848740000, 1.1904489000, 3.7615360000", \
"0.2542856000, 0.2619855000, 0.2849611000, 0.3478032000, 0.5452405000, 1.2494413000, 3.8161234000", \
"0.3994150000, 0.4077663000, 0.4319309000, 0.4956503000, 0.6939861000, 1.4003447000, 3.9728119000", \
"0.6414075000, 0.6531650000, 0.6836929000, 0.7558354000, 0.9570720000, 1.6604114000, 4.2354857000", \
"0.9825151000, 0.9997949000, 1.0469721000, 1.1467503000, 1.3586118000, 2.0586219000, 4.6267115000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018337900, 0.0067256100, 0.0246668000, 0.0904676000, 0.3317980000, 1.2169000000");
values("0.0445060000, 0.0495585000, 0.0636347000, 0.1062995000, 0.2332855000, 0.7040643000, 2.5052544000", \
"0.0439292000, 0.0489245000, 0.0639896000, 0.1061351000, 0.2330030000, 0.7040327000, 2.5106199000", \
"0.0443298000, 0.0490804000, 0.0647218000, 0.1062651000, 0.2325257000, 0.7044302000, 2.4985261000", \
"0.0445046000, 0.0493529000, 0.0636130000, 0.1063594000, 0.2338200000, 0.7040906000, 2.5103055000", \
"0.0452739000, 0.0499851000, 0.0650784000, 0.1065355000, 0.2336198000, 0.7042008000, 2.4984148000", \
"0.0616490000, 0.0674029000, 0.0835521000, 0.1268226000, 0.2531255000, 0.7113768000, 2.5015732000", \
"0.1064436000, 0.1126705000, 0.1330256000, 0.1826664000, 0.3059228000, 0.7398885000, 2.4997491000");
}
related_pin : "A1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018337900, 0.0067256100, 0.0246668000, 0.0904676000, 0.3317980000, 1.2169000000");
values("0.0363674000, 0.0424817000, 0.0625861000, 0.1318824000, 0.3962246000, 1.3823281000, 4.9998317000", \
"0.0362201000, 0.0423488000, 0.0623997000, 0.1318006000, 0.3956976000, 1.3821541000, 4.9983684000", \
"0.0360537000, 0.0419912000, 0.0628010000, 0.1321065000, 0.3966821000, 1.3829238000, 4.9983386000", \
"0.0360160000, 0.0422191000, 0.0626135000, 0.1317560000, 0.3954707000, 1.3864213000, 5.0004112000", \
"0.0418688000, 0.0482489000, 0.0679236000, 0.1357114000, 0.3968921000, 1.3856721000, 4.9924256000", \
"0.0639460000, 0.0700888000, 0.0878006000, 0.1498168000, 0.4018161000, 1.3833618000, 4.9953268000", \
"0.1091170000, 0.1176172000, 0.1412547000, 0.1980286000, 0.4193401000, 1.3875604000, 5.0051745000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018337900, 0.0067256100, 0.0246668000, 0.0904676000, 0.3317980000, 1.2169000000");
values("0.3308134000, 0.3386632000, 0.3609973000, 0.4152405000, 0.5428105000, 0.9112331000, 2.2238116000", \
"0.3383455000, 0.3463161000, 0.3685614000, 0.4228954000, 0.5504470000, 0.9191317000, 2.2280919000", \
"0.3623092000, 0.3702719000, 0.3926769000, 0.4468295000, 0.5743830000, 0.9431217000, 2.2517332000", \
"0.4270745000, 0.4350995000, 0.4576098000, 0.5116260000, 0.6391851000, 1.0079509000, 2.3164441000", \
"0.5932091000, 0.6011805000, 0.6236043000, 0.6776559000, 0.8053431000, 1.1739663000, 2.4871578000", \
"0.9605605000, 0.9703941000, 0.9973848000, 1.0607342000, 1.2004683000, 1.5766056000, 2.8849512000", \
"1.6299813000, 1.6437876000, 1.6832938000, 1.7690981000, 1.9415951000, 2.3432041000, 3.6609500000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018337900, 0.0067256100, 0.0246668000, 0.0904676000, 0.3317980000, 1.2169000000");
values("0.1753712000, 0.1831099000, 0.2059681000, 0.2693024000, 0.4668573000, 1.1702407000, 3.7451069000", \
"0.1832356000, 0.1909996000, 0.2139565000, 0.2771334000, 0.4745836000, 1.1783510000, 3.7442121000", \
"0.2037401000, 0.2114954000, 0.2344530000, 0.2976717000, 0.4951677000, 1.1986963000, 3.7738404000", \
"0.2588539000, 0.2665972000, 0.2894944000, 0.3526384000, 0.5498241000, 1.2527652000, 3.8256918000", \
"0.3941193000, 0.4025320000, 0.4269776000, 0.4919158000, 0.6902193000, 1.3941739000, 3.9692689000", \
"0.6500909000, 0.6607603000, 0.6905626000, 0.7626790000, 0.9647347000, 1.6685568000, 4.2417567000", \
"1.0523261000, 1.0687273000, 1.1128702000, 1.2086429000, 1.4246617000, 2.1298274000, 4.6964463000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018337900, 0.0067256100, 0.0246668000, 0.0904676000, 0.3317980000, 1.2169000000");
values("0.0435619000, 0.0482379000, 0.0632236000, 0.1049055000, 0.2314757000, 0.7032827000, 2.5004681000", \
"0.0439530000, 0.0489926000, 0.0633196000, 0.1054336000, 0.2305718000, 0.7035506000, 2.5078442000", \
"0.0437082000, 0.0485314000, 0.0641738000, 0.1054655000, 0.2309315000, 0.7035187000, 2.5082962000", \
"0.0436484000, 0.0490249000, 0.0631486000, 0.1054286000, 0.2306393000, 0.7035567000, 2.5085678000", \
"0.0442489000, 0.0490833000, 0.0642306000, 0.1054119000, 0.2309649000, 0.7037436000, 2.5015807000", \
"0.0597112000, 0.0643989000, 0.0813079000, 0.1244030000, 0.2483645000, 0.7094665000, 2.5032352000", \
"0.0996305000, 0.1071782000, 0.1273441000, 0.1733206000, 0.2991132000, 0.7328006000, 2.5036755000");
}
related_pin : "A2";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018337900, 0.0067256100, 0.0246668000, 0.0904676000, 0.3317980000, 1.2169000000");
values("0.0358512000, 0.0423038000, 0.0628532000, 0.1318654000, 0.3962349000, 1.3849801000, 5.0048894000", \
"0.0363829000, 0.0424202000, 0.0626414000, 0.1319901000, 0.3952202000, 1.3863539000, 4.9993409000", \
"0.0360151000, 0.0423433000, 0.0625506000, 0.1320012000, 0.3962718000, 1.3852376000, 5.0047007000", \
"0.0361605000, 0.0422935000, 0.0624695000, 0.1320583000, 0.3963211000, 1.3815360000, 4.9985638000", \
"0.0411060000, 0.0472969000, 0.0675162000, 0.1349654000, 0.3960934000, 1.3866288000, 5.0003326000", \
"0.0566003000, 0.0626304000, 0.0831600000, 0.1483590000, 0.4008290000, 1.3834480000, 5.0021125000", \
"0.0943566000, 0.1029931000, 0.1271083000, 0.1909639000, 0.4172117000, 1.3906275000, 4.9897451000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018337900, 0.0067256100, 0.0246668000, 0.0904676000, 0.3317980000, 1.2169000000");
values("0.2895145000, 0.2975389000, 0.3198384000, 0.3740518000, 0.5016108000, 0.8702452000, 2.1793667000", \
"0.2945842000, 0.3025411000, 0.3248541000, 0.3790654000, 0.5066962000, 0.8748485000, 2.1880706000", \
"0.3121221000, 0.3200884000, 0.3423399000, 0.3966397000, 0.5241518000, 0.8927862000, 2.2060687000", \
"0.3706049000, 0.3783944000, 0.4011827000, 0.4550009000, 0.5824968000, 0.9514854000, 2.2574107000", \
"0.5462312000, 0.5541768000, 0.5764500000, 0.6300047000, 0.7576088000, 1.1267328000, 2.4353146000", \
"0.9122955000, 0.9230796000, 0.9522352000, 1.0152070000, 1.1522817000, 1.5295019000, 2.8454883000", \
"1.5238018000, 1.5403284000, 1.5834253000, 1.6737229000, 1.8408404000, 2.2283777000, 3.5437382000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018337900, 0.0067256100, 0.0246668000, 0.0904676000, 0.3317980000, 1.2169000000");
values("0.0968187000, 0.1034187000, 0.1233331000, 0.1808304000, 0.3729920000, 1.0830750000, 3.6382595000", \
"0.1050676000, 0.1116863000, 0.1315769000, 0.1890640000, 0.3817654000, 1.0956906000, 3.6475427000", \
"0.1282457000, 0.1348527000, 0.1546152000, 0.2120294000, 0.4050855000, 1.1183624000, 3.6750785000", \
"0.1907756000, 0.1974910000, 0.2173338000, 0.2749153000, 0.4682369000, 1.1685718000, 3.7442094000", \
"0.3074608000, 0.3162708000, 0.3402771000, 0.4023283000, 0.5967599000, 1.2975944000, 3.8619263000", \
"0.4914176000, 0.5063501000, 0.5420266000, 0.6184375000, 0.8167008000, 1.5179989000, 4.0836339000", \
"0.7291815000, 0.7532422000, 0.8137666000, 0.9308626000, 1.1571061000, 1.8604442000, 4.4222768000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018337900, 0.0067256100, 0.0246668000, 0.0904676000, 0.3317980000, 1.2169000000");
values("0.0437670000, 0.0491500000, 0.0636669000, 0.1055400000, 0.2313187000, 0.7035716000, 2.5080549000", \
"0.0437839000, 0.0491084000, 0.0636253000, 0.1052470000, 0.2316426000, 0.7028099000, 2.5007321000", \
"0.0439355000, 0.0485128000, 0.0639377000, 0.1054481000, 0.2306502000, 0.7037065000, 2.5010165000", \
"0.0436639000, 0.0483251000, 0.0629622000, 0.1048641000, 0.2307213000, 0.7026749000, 2.5028937000", \
"0.0445588000, 0.0498889000, 0.0640175000, 0.1058407000, 0.2310163000, 0.7034958000, 2.5060383000", \
"0.0708822000, 0.0758590000, 0.0890866000, 0.1267232000, 0.2473818000, 0.7110564000, 2.5080626000", \
"0.1222569000, 0.1308253000, 0.1481019000, 0.1888827000, 0.2967126000, 0.7282267000, 2.5187135000");
}
related_pin : "B1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018337900, 0.0067256100, 0.0246668000, 0.0904676000, 0.3317980000, 1.2169000000");
values("0.0289077000, 0.0342947000, 0.0530603000, 0.1227259000, 0.3907447000, 1.3823759000, 4.9981264000", \
"0.0289038000, 0.0343193000, 0.0530567000, 0.1227680000, 0.3909532000, 1.3880155000, 4.9942925000", \
"0.0290588000, 0.0343979000, 0.0532000000, 0.1228105000, 0.3909161000, 1.3879394000, 4.9909006000", \
"0.0311494000, 0.0363068000, 0.0543747000, 0.1232216000, 0.3920110000, 1.3819834000, 5.0149525000", \
"0.0450388000, 0.0497766000, 0.0660927000, 0.1295621000, 0.3899326000, 1.3843305000, 4.9953996000", \
"0.0780522000, 0.0835595000, 0.0993415000, 0.1516856000, 0.3962775000, 1.3764823000, 4.9991731000", \
"0.1396635000, 0.1510341000, 0.1731209000, 0.2215551000, 0.4244926000, 1.3878269000, 4.9896114000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
/* removed sky130_fd_sc_hd__a21oi_1 */
/* removed sky130_fd_sc_hd__a21oi_2 */
cell ("sky130_fd_sc_hd__a21oi_4") {
leakage_power () {
value : 5.6487348000;
when : "!A1&!A2&B1";
}
leakage_power () {
value : 3.8882142000;
when : "!A1&!A2&!B1";
}
leakage_power () {
value : 5.6484275000;
when : "!A1&A2&B1";
}
leakage_power () {
value : 7.7894020000;
when : "!A1&A2&!B1";
}
leakage_power () {
value : 5.6484528000;
when : "A1&!A2&B1";
}
leakage_power () {
value : 6.4881576000;
when : "A1&!A2&!B1";
}
leakage_power () {
value : 0.5688275000;
when : "A1&A2&B1";
}
leakage_power () {
value : 5.5930274000;
when : "A1&A2&!B1";
}
area : 16.265600000;
cell_footprint : "sky130_fd_sc_hd__a21oi";
cell_leakage_power : 5.1591550000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A1") {
capacitance : 0.0086260000;
clock : "false";
direction : "input";
fall_capacitance : 0.0083480000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0144275000, 0.0144092000, 0.0143892000, 0.0143738000, 0.0143621000, 0.0143603000, 0.0143869000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.011138200, -0.011182100, -0.011268100, -0.011256400, -0.011186100, -0.010950600, -0.010249300");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0089030000;
}
pin ("A2") {
capacitance : 0.0092790000;
clock : "false";
direction : "input";
fall_capacitance : 0.0088810000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0135293000, 0.0135044000, 0.0134691000, 0.0134499000, 0.0134302000, 0.0134095000, 0.0133860000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.013437900, -0.013467400, -0.013512900, -0.013533100, -0.013552800, -0.013570800, -0.013583700");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0096760000;
}
pin ("B1") {
capacitance : 0.0086290000;
clock : "false";
direction : "input";
fall_capacitance : 0.0081140000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0108565000, 0.0108128000, 0.0106938000, 0.0107403000, 0.0108759000, 0.0112619000, 0.0123538000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.005884600, -0.005907600, -0.005931300, -0.005954000, -0.005976600, -0.005998900, -0.006020200");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0091440000;
}
pin ("Y") {
direction : "output";
function : "(!A1&!B1) | (!A2&!B1)";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0015695290, 0.0049268450, 0.0154656500, 0.0485476000, 0.1523938000, 0.4783730000");
values("0.0137485000, 0.0123863000, 0.0081364000, -0.005153100, -0.047107900, -0.179516200, -0.596403600", \
"0.0133995000, 0.0120629000, 0.0078315000, -0.005476300, -0.047383000, -0.179827900, -0.596712400", \
"0.0127801000, 0.0114425000, 0.0072780000, -0.005910800, -0.047695200, -0.180090400, -0.596823500", \
"0.0117267000, 0.0104033000, 0.0063117000, -0.006633600, -0.048276700, -0.180438900, -0.597121100", \
"0.0111968000, 0.0098519000, 0.0056320000, -0.007488400, -0.049002400, -0.180925600, -0.597358700", \
"0.0122165000, 0.0108364000, 0.0064875000, -0.007055100, -0.049459700, -0.181626900, -0.597913100", \
"0.0156213000, 0.0141503000, 0.0095608000, -0.004245200, -0.047580700, -0.181029000, -0.598549700");
}
related_pin : "A1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0015695290, 0.0049268450, 0.0154656500, 0.0485476000, 0.1523938000, 0.4783730000");
values("0.0208409000, 0.0223140000, 0.0267346000, 0.0402744000, 0.0821943000, 0.2131956000, 0.6280286000", \
"0.0202213000, 0.0217390000, 0.0262827000, 0.0400701000, 0.0822329000, 0.2135202000, 0.6266010000", \
"0.0194225000, 0.0209306000, 0.0254448000, 0.0394483000, 0.0818810000, 0.2141572000, 0.6267420000", \
"0.0188862000, 0.0202992000, 0.0247428000, 0.0385429000, 0.0815465000, 0.2136248000, 0.6265142000", \
"0.0185424000, 0.0199867000, 0.0243151000, 0.0378340000, 0.0801135000, 0.2127996000, 0.6264209000", \
"0.0175168000, 0.0189105000, 0.0231756000, 0.0376413000, 0.0804258000, 0.2126139000, 0.6252146000", \
"0.0186663000, 0.0199557000, 0.0242134000, 0.0375269000, 0.0788720000, 0.2121311000, 0.6259912000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0015695290, 0.0049268450, 0.0154656500, 0.0485476000, 0.1523938000, 0.4783730000");
values("0.0158443000, 0.0144894000, 0.0102251000, -0.003041200, -0.044998900, -0.177351000, -0.594298300", \
"0.0154815000, 0.0141314000, 0.0099124000, -0.003369700, -0.045330900, -0.177731700, -0.594579700", \
"0.0148591000, 0.0135317000, 0.0093583000, -0.003864800, -0.045716600, -0.178109900, -0.594858000", \
"0.0140078000, 0.0126787000, 0.0085293000, -0.004496100, -0.046193100, -0.178392900, -0.595145800", \
"0.0136682000, 0.0123067000, 0.0079492000, -0.005055800, -0.046533000, -0.178608400, -0.595139800", \
"0.0140456000, 0.0126841000, 0.0083782000, -0.004945100, -0.047070800, -0.179282800, -0.595544300", \
"0.0164793000, 0.0150496000, 0.0106050000, -0.003080900, -0.045706800, -0.178827300, -0.595750400");
}
related_pin : "A2";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0015695290, 0.0049268450, 0.0154656500, 0.0485476000, 0.1523938000, 0.4783730000");
values("0.0311996000, 0.0326056000, 0.0369429000, 0.0503797000, 0.0924399000, 0.2237383000, 0.6365240000", \
"0.0307775000, 0.0321765000, 0.0365479000, 0.0500718000, 0.0921451000, 0.2235122000, 0.6362913000", \
"0.0301932000, 0.0315948000, 0.0360494000, 0.0496848000, 0.0918668000, 0.2233513000, 0.6362699000", \
"0.0296780000, 0.0311130000, 0.0354533000, 0.0491240000, 0.0914878000, 0.2233105000, 0.6362252000", \
"0.0293273000, 0.0306916000, 0.0350158000, 0.0486055000, 0.0909462000, 0.2226802000, 0.6356211000", \
"0.0282833000, 0.0296093000, 0.0340979000, 0.0483882000, 0.0908912000, 0.2227230000, 0.6357909000", \
"0.0297051000, 0.0309898000, 0.0351654000, 0.0484273000, 0.0898746000, 0.2229394000, 0.6355303000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0015695290, 0.0049268450, 0.0154656500, 0.0485476000, 0.1523938000, 0.4783730000");
values("0.0003314000, -0.000876000, -0.004896300, -0.018121400, -0.060342600, -0.193219500, -0.610461500", \
"-0.000283500, -0.001446600, -0.005209900, -0.018119600, -0.060129600, -0.192898800, -0.610123700", \
"-0.001229200, -0.002387600, -0.006093800, -0.018522800, -0.060105300, -0.192660100, -0.609774800", \
"-0.002168000, -0.003298600, -0.007136000, -0.019621300, -0.060646200, -0.192736300, -0.609668400", \
"-0.001852700, -0.003182300, -0.007316600, -0.020219000, -0.061681400, -0.193290100, -0.609811000", \
"-0.000769900, -0.002147400, -0.006593400, -0.020197900, -0.062142000, -0.194273400, -0.610377700", \
"0.0047459000, 0.0032402000, -0.001719300, -0.016228600, -0.059882400, -0.193264800, -0.610620800");
}
related_pin : "B1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0015695290, 0.0049268450, 0.0154656500, 0.0485476000, 0.1523938000, 0.4783730000");
values("0.0249701000, 0.0264406000, 0.0308665000, 0.0446508000, 0.0867315000, 0.2184121000, 0.6310214000", \
"0.0243340000, 0.0258134000, 0.0303718000, 0.0442285000, 0.0865599000, 0.2180935000, 0.6312647000", \
"0.0237370000, 0.0251737000, 0.0296509000, 0.0434606000, 0.0862104000, 0.2180158000, 0.6309333000", \
"0.0231396000, 0.0245600000, 0.0289609000, 0.0427194000, 0.0850911000, 0.2176669000, 0.6306474000", \
"0.0229293000, 0.0243201000, 0.0286496000, 0.0420398000, 0.0844765000, 0.2164124000, 0.6303552000", \
"0.0243467000, 0.0258252000, 0.0298621000, 0.0425338000, 0.0842626000, 0.2156975000, 0.6288812000", \
"0.0275068000, 0.0288339000, 0.0328765000, 0.0457729000, 0.0869011000, 0.2167221000, 0.6283863000");
}
}
max_capacitance : 0.1382550000;
max_transition : 1.4973610000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015695300, 0.0049268400, 0.0154657000, 0.0485476000, 0.1523940000, 0.4783730000");
values("0.0528950000, 0.0566619000, 0.0678310000, 0.1007129000, 0.1964654000, 0.4888133000, 1.3998117000", \
"0.0593893000, 0.0630900000, 0.0743615000, 0.1071680000, 0.2029127000, 0.4954891000, 1.4071198000", \
"0.0795819000, 0.0833120000, 0.0945104000, 0.1273420000, 0.2236117000, 0.5161925000, 1.4278339000", \
"0.1327488000, 0.1384812000, 0.1523844000, 0.1866063000, 0.2818989000, 0.5748756000, 1.4857805000", \
"0.2222504000, 0.2307469000, 0.2548806000, 0.3155183000, 0.4485399000, 0.7469659000, 1.6575764000", \
"0.3473618000, 0.3625686000, 0.4059861000, 0.5161561000, 0.7526076000, 1.2073467000, 2.1245690000", \
"0.4634802000, 0.4908256000, 0.5717987000, 0.7718310000, 1.2126554000, 2.0287685000, 3.4485941000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015695300, 0.0049268400, 0.0154657000, 0.0485476000, 0.1523940000, 0.4783730000");
values("0.1263754000, 0.1353891000, 0.1626717000, 0.2440678000, 0.4949178000, 1.2859128000, 3.7565315000", \
"0.1318387000, 0.1411417000, 0.1688283000, 0.2514166000, 0.5039062000, 1.2903235000, 3.7525099000", \
"0.1534789000, 0.1623308000, 0.1900130000, 0.2738727000, 0.5280938000, 1.3255638000, 3.7789536000", \
"0.2183751000, 0.2272308000, 0.2541218000, 0.3365801000, 0.5968751000, 1.3817266000, 3.8453383000", \
"0.3544372000, 0.3672150000, 0.4030524000, 0.4999203000, 0.7567071000, 1.5497973000, 4.0260337000", \
"0.6013106000, 0.6243468000, 0.6881555000, 0.8442174000, 1.1936396000, 2.0083584000, 4.4927355000", \
"0.9918648000, 1.0433009000, 1.1703315000, 1.4781130000, 2.0823545000, 3.2291028000, 5.7854002000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015695300, 0.0049268400, 0.0154657000, 0.0485476000, 0.1523940000, 0.4783730000");
values("0.0468552000, 0.0508571000, 0.0635581000, 0.1032224000, 0.2284124000, 0.6225730000, 1.8617127000", \
"0.0464654000, 0.0506607000, 0.0632259000, 0.1032515000, 0.2285064000, 0.6231820000, 1.8624169000", \
"0.0451955000, 0.0491589000, 0.0620800000, 0.1026967000, 0.2283040000, 0.6216251000, 1.8628199000", \
"0.0632944000, 0.0667411000, 0.0787003000, 0.1116782000, 0.2280607000, 0.6232729000, 1.8619438000", \
"0.1110189000, 0.1176997000, 0.1356012000, 0.1814612000, 0.2847758000, 0.6285523000, 1.8612140000", \
"0.2197579000, 0.2315641000, 0.2640014000, 0.3416118000, 0.4968108000, 0.8115513000, 1.8797615000", \
"0.4456220000, 0.4687277000, 0.5323697000, 0.6808698000, 0.9428855000, 1.4324336000, 2.3909701000");
}
related_pin : "A1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015695300, 0.0049268400, 0.0154657000, 0.0485476000, 0.1523940000, 0.4783730000");
values("0.0746876000, 0.0858015000, 0.1204916000, 0.2289885000, 0.5675747000, 1.6328983000, 4.9626538000", \
"0.0747640000, 0.0859226000, 0.1204877000, 0.2291036000, 0.5675220000, 1.6268973000, 4.9560338000", \
"0.0749478000, 0.0859562000, 0.1205284000, 0.2289225000, 0.5666447000, 1.6359836000, 4.9553641000", \
"0.0772287000, 0.0875401000, 0.1214064000, 0.2288122000, 0.5716701000, 1.6291335000, 4.9508220000", \
"0.1137045000, 0.1248613000, 0.1582229000, 0.2528193000, 0.5712656000, 1.6309968000, 4.9500151000", \
"0.2195853000, 0.2341604000, 0.2758925000, 0.3864463000, 0.6863004000, 1.6471235000, 4.9507167000", \
"0.5033583000, 0.5246896000, 0.5888596000, 0.7432341000, 1.1019312000, 2.0075608000, 5.0003153000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015695300, 0.0049268400, 0.0154657000, 0.0485476000, 0.1523940000, 0.4783730000");
values("0.0633341000, 0.0670504000, 0.0782765000, 0.1109452000, 0.2069670000, 0.4992422000, 1.4096821000", \
"0.0710118000, 0.0747871000, 0.0860818000, 0.1187418000, 0.2148456000, 0.5071604000, 1.4182011000", \
"0.0912328000, 0.0950639000, 0.1063345000, 0.1392254000, 0.2354467000, 0.5279022000, 1.4401271000", \
"0.1414112000, 0.1456522000, 0.1583478000, 0.1933039000, 0.2899991000, 0.5830502000, 1.4940548000", \
"0.2390334000, 0.2460362000, 0.2650264000, 0.3163241000, 0.4367963000, 0.7372436000, 1.6498767000", \
"0.3989864000, 0.4116592000, 0.4478511000, 0.5390291000, 0.7380368000, 1.1409798000, 2.0868325000", \
"0.5935959000, 0.6180117000, 0.6895142000, 0.8679374000, 1.2516325000, 1.9529067000, 3.2300280000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015695300, 0.0049268400, 0.0154657000, 0.0485476000, 0.1523940000, 0.4783730000");
values("0.1632221000, 0.1719395000, 0.1978892000, 0.2768564000, 0.5218867000, 1.2846724000, 3.6747969000", \
"0.1698516000, 0.1783097000, 0.2046713000, 0.2839806000, 0.5291260000, 1.2926584000, 3.6847652000", \
"0.1920500000, 0.2008099000, 0.2273856000, 0.3074153000, 0.5529360000, 1.3178127000, 3.7087566000", \
"0.2558957000, 0.2646183000, 0.2906254000, 0.3707852000, 0.6173707000, 1.3834561000, 3.7742924000", \
"0.4006603000, 0.4112076000, 0.4426433000, 0.5322015000, 0.7790406000, 1.5445436000, 3.9373091000", \
"0.6749782000, 0.6917621000, 0.7417730000, 0.8764821000, 1.2003032000, 1.9928499000, 4.3880433000", \
"1.1229039000, 1.1565454000, 1.2555917000, 1.5085867000, 2.0546673000, 3.1526735000, 5.6434675000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015695300, 0.0049268400, 0.0154657000, 0.0485476000, 0.1523940000, 0.4783730000");
values("0.0463760000, 0.0504896000, 0.0633664000, 0.1031133000, 0.2283530000, 0.6224988000, 1.8617689000", \
"0.0464114000, 0.0505016000, 0.0631296000, 0.1030819000, 0.2284772000, 0.6225693000, 1.8601010000", \
"0.0456028000, 0.0497955000, 0.0626645000, 0.1030458000, 0.2285311000, 0.6223202000, 1.8610606000", \
"0.0555409000, 0.0593575000, 0.0712197000, 0.1071148000, 0.2284774000, 0.6224015000, 1.8625362000", \
"0.0908617000, 0.0959061000, 0.1100961000, 0.1515879000, 0.2617296000, 0.6274857000, 1.8636439000", \
"0.1829898000, 0.1909245000, 0.2131174000, 0.2734731000, 0.4059842000, 0.7451240000, 1.8761210000", \
"0.4012054000, 0.4166279000, 0.4588453000, 0.5607969000, 0.7680329000, 1.1901656000, 2.2172808000");
}
related_pin : "A2";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015695300, 0.0049268400, 0.0154657000, 0.0485476000, 0.1523940000, 0.4783730000");
values("0.1010809000, 0.1119851000, 0.1460110000, 0.2518866000, 0.5810197000, 1.6098994000, 4.8366058000", \
"0.1010570000, 0.1118975000, 0.1459527000, 0.2516175000, 0.5799999000, 1.6092168000, 4.8361981000", \
"0.1012175000, 0.1119347000, 0.1461559000, 0.2518547000, 0.5807103000, 1.6105133000, 4.8338333000", \
"0.1016136000, 0.1122953000, 0.1460164000, 0.2515854000, 0.5801646000, 1.6107428000, 4.8357607000", \
"0.1348920000, 0.1452446000, 0.1773297000, 0.2711680000, 0.5833273000, 1.6107746000, 4.8360325000", \
"0.2355895000, 0.2486652000, 0.2888746000, 0.3989249000, 0.6914589000, 1.6284940000, 4.8391917000", \
"0.5098392000, 0.5322269000, 0.5939992000, 0.7493646000, 1.1033061000, 1.9942068000, 4.8870077000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015695300, 0.0049268400, 0.0154657000, 0.0485476000, 0.1523940000, 0.4783730000");
values("0.0223788000, 0.0241819000, 0.0296355000, 0.0456613000, 0.0947166000, 0.2484272000, 0.7296410000", \
"0.0300592000, 0.0320273000, 0.0375766000, 0.0540448000, 0.1032639000, 0.2566508000, 0.7381969000", \
"0.0497580000, 0.0526502000, 0.0602218000, 0.0773260000, 0.1270339000, 0.2806518000, 0.7617874000", \
"0.0822377000, 0.0872815000, 0.1016819000, 0.1340196000, 0.1944773000, 0.3472194000, 0.8286894000", \
"0.1294363000, 0.1399515000, 0.1677084000, 0.2292879000, 0.3439088000, 0.5387714000, 1.0186528000", \
"0.1822396000, 0.2025508000, 0.2562218000, 0.3766336000, 0.5966496000, 0.9616837000, 1.5581154000", \
"0.1829610000, 0.2190823000, 0.3222619000, 0.5537150000, 0.9832470000, 1.6829291000, 2.7871987000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015695300, 0.0049268400, 0.0154657000, 0.0485476000, 0.1523940000, 0.4783730000");
values("0.1183170000, 0.1274663000, 0.1536574000, 0.2342506000, 0.4797767000, 1.2433896000, 3.6343706000", \
"0.1217455000, 0.1307988000, 0.1579392000, 0.2387920000, 0.4853586000, 1.2497680000, 3.6414196000", \
"0.1396814000, 0.1481540000, 0.1746832000, 0.2552877000, 0.5036707000, 1.2693544000, 3.6616341000", \
"0.2001341000, 0.2082847000, 0.2342194000, 0.3145528000, 0.5599085000, 1.3275290000, 3.7210051000", \
"0.3425296000, 0.3555538000, 0.3939124000, 0.4909163000, 0.7367123000, 1.5011988000, 3.8961301000", \
"0.5872316000, 0.6105781000, 0.6782751000, 0.8464178000, 1.2175252000, 2.0015953000, 4.3862594000", \
"0.9996514000, 1.0440283000, 1.1605175000, 1.4578808000, 2.1098647000, 3.3457785000, 5.8077076000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015695300, 0.0049268400, 0.0154657000, 0.0485476000, 0.1523940000, 0.4783730000");
values("0.0163188000, 0.0187811000, 0.0265025000, 0.0507439000, 0.1203899000, 0.3312375000, 0.9934231000", \
"0.0163242000, 0.0188296000, 0.0266107000, 0.0507908000, 0.1203623000, 0.3312448000, 0.9923267000", \
"0.0258465000, 0.0270133000, 0.0320897000, 0.0523677000, 0.1203982000, 0.3312489000, 0.9924032000", \
"0.0577605000, 0.0593302000, 0.0647332000, 0.0811031000, 0.1313125000, 0.3311587000, 0.9928017000", \
"0.1284868000, 0.1306193000, 0.1377471000, 0.1597834000, 0.2165772000, 0.3676594000, 0.9937512000", \
"0.2781421000, 0.2818017000, 0.2957564000, 0.3311460000, 0.4208279000, 0.6114263000, 1.0891701000", \
"0.5916128000, 0.5986878000, 0.6271786000, 0.7026682000, 0.8650055000, 1.1794566000, 1.7695611000");
}
related_pin : "B1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015695300, 0.0049268400, 0.0154657000, 0.0485476000, 0.1523940000, 0.4783730000");
values("0.1011402000, 0.1120657000, 0.1459344000, 0.2516562000, 0.5799958000, 1.6100425000, 4.8373869000", \
"0.1009495000, 0.1119033000, 0.1458594000, 0.2517199000, 0.5802688000, 1.6082827000, 4.8421844000", \
"0.1005745000, 0.1115129000, 0.1457625000, 0.2517370000, 0.5810110000, 1.6093177000, 4.8359485000", \
"0.1051662000, 0.1147685000, 0.1463115000, 0.2509443000, 0.5805180000, 1.6100248000, 4.8350990000", \
"0.1668944000, 0.1787768000, 0.2120233000, 0.2946342000, 0.5846998000, 1.6105500000, 4.8363442000", \
"0.3042696000, 0.3223811000, 0.3767074000, 0.4986927000, 0.7720835000, 1.6316413000, 4.8352136000", \
"0.5591441000, 0.5960248000, 0.6941047000, 0.9180267000, 1.3567137000, 2.1854782000, 4.8950222000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
}
}
/* removed sky130_fd_sc_hd__a221o_1 */
/* removed sky130_fd_sc_hd__a221o_2 */
/* removed sky130_fd_sc_hd__a221o_4 */
/* removed sky130_fd_sc_hd__a221oi_1 */
/* removed sky130_fd_sc_hd__a221oi_2 */
/* removed sky130_fd_sc_hd__a221oi_4 */
/* removed sky130_fd_sc_hd__a222oi_1 */
/* removed sky130_fd_sc_hd__a22o_1 */
/* removed sky130_fd_sc_hd__a22o_2 */
/* removed sky130_fd_sc_hd__a22o_4 */
/* removed sky130_fd_sc_hd__a22oi_1 */
/* removed sky130_fd_sc_hd__a22oi_2 */
cell ("sky130_fd_sc_hd__a22oi_4") {
leakage_power () {
value : 3.7496255000;
when : "!A1&!A2&!B1&B2";
}
leakage_power () {
value : 0.5155188000;
when : "!A1&!A2&!B1&!B2";
}
leakage_power () {
value : 8.6861425000;
when : "!A1&!A2&B1&B2";
}
leakage_power () {
value : 3.0033693000;
when : "!A1&!A2&B1&!B2";
}
leakage_power () {
value : 6.9836870000;
when : "!A1&A2&!B1&B2";
}
leakage_power () {
value : 3.7496237000;
when : "!A1&A2&!B1&!B2";
}
leakage_power () {
value : 8.6854834000;
when : "!A1&A2&B1&B2";
}
leakage_power () {
value : 6.2374510000;
when : "!A1&A2&B1&!B2";
}
leakage_power () {
value : 6.2374439000;
when : "A1&!A2&!B1&B2";
}
leakage_power () {
value : 3.0033687000;
when : "A1&!A2&!B1&!B2";
}
leakage_power () {
value : 8.6854373000;
when : "A1&!A2&B1&B2";
}
leakage_power () {
value : 5.4912137000;
when : "A1&!A2&B1&!B2";
}
leakage_power () {
value : 4.9828137000;
when : "A1&A2&!B1&B2";
}
leakage_power () {
value : 5.3013807000;
when : "A1&A2&!B1&!B2";
}
leakage_power () {
value : 0.5488886000;
when : "A1&A2&B1&B2";
}
leakage_power () {
value : 5.1568545000;
when : "A1&A2&B1&!B2";
}
area : 21.270400000;
cell_footprint : "sky130_fd_sc_hd__a22oi";
cell_leakage_power : 5.0636440000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A1") {
capacitance : 0.0083870000;
clock : "false";
direction : "input";
fall_capacitance : 0.0081380000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0146596000, 0.0146292000, 0.0145793000, 0.0145616000, 0.0145475000, 0.0145431000, 0.0145666000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.010828900, -0.010881400, -0.010992900, -0.010975700, -0.010890500, -0.010613900, -0.009798000");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0086360000;
}
pin ("A2") {
capacitance : 0.0086690000;
clock : "false";
direction : "input";
fall_capacitance : 0.0082630000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0130236000, 0.0129763000, 0.0128821000, 0.0128577000, 0.0128280000, 0.0127833000, 0.0126960000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.012914000, -0.012928400, -0.012932300, -0.012951600, -0.012969500, -0.012983200, -0.012985300");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0090750000;
}
pin ("B1") {
capacitance : 0.0084070000;
clock : "false";
direction : "input";
fall_capacitance : 0.0080270000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0148598000, 0.0148400000, 0.0148145000, 0.0147982000, 0.0147825000, 0.0147685000, 0.0147597000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.011019200, -0.011060600, -0.011151500, -0.011130000, -0.011043400, -0.010773200, -0.009986200");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0087880000;
}
pin ("B2") {
capacitance : 0.0085920000;
clock : "false";
direction : "input";
fall_capacitance : 0.0080210000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0130398000, 0.0130134000, 0.0129722000, 0.0129531000, 0.0129327000, 0.0129086000, 0.0128741000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.012995500, -0.013008800, -0.013020200, -0.013034400, -0.013048200, -0.013060600, -0.013069100");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0091630000;
}
pin ("Y") {
direction : "output";
function : "(!A1&!B1) | (!A1&!B2) | (!A2&!B1) | (!A2&!B2)";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0016416540, 0.0053900570, 0.0176972200, 0.0581054300, 0.1907781000, 0.6263832000");
values("0.0216524000, 0.0201994000, 0.0154609000, -1.96000e-05, -0.051235500, -0.220509400, -0.777546800", \
"0.0214585000, 0.0200110000, 0.0152294000, -0.000194000, -0.051455500, -0.220618600, -0.777731600", \
"0.0210486000, 0.0196422000, 0.0149220000, -0.000531700, -0.051637100, -0.220673000, -0.777605000", \
"0.0200398000, 0.0186236000, 0.0140321000, -0.001225800, -0.052155100, -0.220993200, -0.777868000", \
"0.0193076000, 0.0178731000, 0.0131915000, -0.002015700, -0.052765900, -0.221355300, -0.777697900", \
"0.0198673000, 0.0184052000, 0.0136230000, -0.002047400, -0.053477300, -0.222201800, -0.778566200", \
"0.0223404000, 0.0208768000, 0.0158978000, -0.000178200, -0.052211400, -0.222829000, -0.779136000");
}
related_pin : "A1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0016416540, 0.0053900570, 0.0176972200, 0.0581054300, 0.1907781000, 0.6263832000");
values("0.0322132000, 0.0336961000, 0.0385544000, 0.0543508000, 0.1056576000, 0.2734049000, 0.8259975000", \
"0.0319972000, 0.0334725000, 0.0384127000, 0.0542766000, 0.1058883000, 0.2736562000, 0.8251894000", \
"0.0313121000, 0.0328502000, 0.0378374000, 0.0538780000, 0.1057673000, 0.2738810000, 0.8256002000", \
"0.0304863000, 0.0320051000, 0.0369611000, 0.0529836000, 0.1050054000, 0.2733775000, 0.8251343000", \
"0.0299399000, 0.0314415000, 0.0362785000, 0.0521755000, 0.1041440000, 0.2727022000, 0.8249737000", \
"0.0293082000, 0.0310620000, 0.0361556000, 0.0519750000, 0.1036502000, 0.2721409000, 0.8250517000", \
"0.0298275000, 0.0312411000, 0.0360730000, 0.0514863000, 0.1032258000, 0.2719058000, 0.8242765000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0016416540, 0.0053900570, 0.0176972200, 0.0581054300, 0.1907781000, 0.6263832000");
values("0.0235979000, 0.0221328000, 0.0174536000, 0.0018958000, -0.049367100, -0.218527900, -0.775419000", \
"0.0233225000, 0.0218817000, 0.0171683000, 0.0016488000, -0.049591200, -0.218763900, -0.775506700", \
"0.0229095000, 0.0214561000, 0.0167365000, 0.0012926000, -0.049849700, -0.218980200, -0.775867900", \
"0.0221630000, 0.0207548000, 0.0160954000, 0.0007354000, -0.050236800, -0.219171000, -0.776156500", \
"0.0214798000, 0.0200538000, 0.0153422000, 0.0002217000, -0.050532000, -0.219233400, -0.776044700", \
"0.0219966000, 0.0205463000, 0.0157520000, 2.650000e-05, -0.051538300, -0.219950800, -0.776330200", \
"0.0240504000, 0.0225632000, 0.0176505000, 0.0018684000, -0.050045900, -0.220255800, -0.776586900");
}
related_pin : "A2";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0016416540, 0.0053900570, 0.0176972200, 0.0581054300, 0.1907781000, 0.6263832000");
values("0.0429832000, 0.0444953000, 0.0493108000, 0.0651717000, 0.1165576000, 0.2846686000, 0.8365622000", \
"0.0426523000, 0.0441068000, 0.0490647000, 0.0648913000, 0.1163345000, 0.2847836000, 0.8362053000", \
"0.0422238000, 0.0437258000, 0.0486627000, 0.0646289000, 0.1161616000, 0.2843385000, 0.8364622000", \
"0.0417069000, 0.0431670000, 0.0481408000, 0.0640931000, 0.1159079000, 0.2841888000, 0.8364150000", \
"0.0413883000, 0.0428185000, 0.0476245000, 0.0634488000, 0.1152683000, 0.2841285000, 0.8364307000", \
"0.0411549000, 0.0427023000, 0.0475265000, 0.0633915000, 0.1153977000, 0.2838024000, 0.8364587000", \
"0.0416959000, 0.0431590000, 0.0479505000, 0.0635525000, 0.1147779000, 0.2836338000, 0.8354166000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0016416540, 0.0053900570, 0.0176972200, 0.0581054300, 0.1907781000, 0.6263832000");
values("0.0089682000, 0.0075983000, 0.0029688000, -0.012616100, -0.064262700, -0.234044300, -0.791700400", \
"0.0084620000, 0.0071676000, 0.0027337000, -0.012583400, -0.064016800, -0.233736900, -0.791321100", \
"0.0077129000, 0.0064697000, 0.0021323000, -0.012775300, -0.063874100, -0.233383200, -0.790872000", \
"0.0066528000, 0.0053729000, 0.0010483000, -0.013736900, -0.064265800, -0.233398800, -0.790767900", \
"0.0061155000, 0.0047199000, 0.0001484000, -0.014938500, -0.065282900, -0.233921300, -0.790899800", \
"0.0067612000, 0.0053850000, 0.0004798000, -0.015195800, -0.066326400, -0.234894000, -0.791550300", \
"0.0100865000, 0.0082804000, 0.0031061000, -0.013416200, -0.066012600, -0.235880000, -0.792918000");
}
related_pin : "B1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0016416540, 0.0053900570, 0.0176972200, 0.0581054300, 0.1907781000, 0.6263832000");
values("0.0229367000, 0.0245049000, 0.0294307000, 0.0453453000, 0.0967045000, 0.2645125000, 0.8162781000", \
"0.0225355000, 0.0240450000, 0.0290555000, 0.0451533000, 0.0967395000, 0.2646601000, 0.8163071000", \
"0.0217085000, 0.0232630000, 0.0283443000, 0.0444947000, 0.0965109000, 0.2649574000, 0.8167285000", \
"0.0207397000, 0.0222481000, 0.0272380000, 0.0432658000, 0.0956153000, 0.2642770000, 0.8165032000", \
"0.0203987000, 0.0218361000, 0.0265721000, 0.0423128000, 0.0944300000, 0.2633265000, 0.8165718000", \
"0.0216083000, 0.0230996000, 0.0284892000, 0.0428063000, 0.0938082000, 0.2623050000, 0.8149930000", \
"0.0243340000, 0.0257456000, 0.0302372000, 0.0451706000, 0.0963492000, 0.2627517000, 0.8139419000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0016416540, 0.0053900570, 0.0176972200, 0.0581054300, 0.1907781000, 0.6263832000");
values("0.0112149000, 0.0098052000, 0.0051523000, -0.010452200, -0.062089500, -0.231889700, -0.789455600", \
"0.0108410000, 0.0095170000, 0.0050031000, -0.010379100, -0.061851500, -0.231577200, -0.789134400", \
"0.0100218000, 0.0087625000, 0.0044281000, -0.010600300, -0.061729600, -0.231289100, -0.788809000", \
"0.0089217000, 0.0076182000, 0.0032737000, -0.011509200, -0.062118500, -0.231280900, -0.788618200", \
"0.0086943000, 0.0072086000, 0.0023717000, -0.012735600, -0.063106100, -0.231706100, -0.788603300", \
"0.0084978000, 0.0070806000, 0.0023856000, -0.013141500, -0.064455800, -0.232774000, -0.789187500", \
"0.0110709000, 0.0095251000, 0.0044860000, -0.011662400, -0.063684000, -0.233549600, -0.789894900");
}
related_pin : "B2";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0016416540, 0.0053900570, 0.0176972200, 0.0581054300, 0.1907781000, 0.6263832000");
values("0.0338876000, 0.0353775000, 0.0402621000, 0.0561444000, 0.1075595000, 0.2756413000, 0.8276040000", \
"0.0334158000, 0.0349865000, 0.0398736000, 0.0558007000, 0.1074172000, 0.2754012000, 0.8273013000", \
"0.0328530000, 0.0343185000, 0.0392003000, 0.0551905000, 0.1070143000, 0.2752786000, 0.8270233000", \
"0.0321007000, 0.0335867000, 0.0385294000, 0.0546205000, 0.1067006000, 0.2751433000, 0.8270011000", \
"0.0317137000, 0.0332179000, 0.0381524000, 0.0539388000, 0.1058792000, 0.2744865000, 0.8271498000", \
"0.0327306000, 0.0341535000, 0.0387931000, 0.0543902000, 0.1057299000, 0.2745605000, 0.8258253000", \
"0.0370904000, 0.0384641000, 0.0435214000, 0.0577477000, 0.1087968000, 0.2758037000, 0.8262261000");
}
}
max_capacitance : 0.1794530000;
max_transition : 1.9186580000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016416500, 0.0053900600, 0.0176972000, 0.0581054000, 0.1907780000, 0.6263830000");
values("0.0859760000, 0.0901700000, 0.1033214000, 0.1445914000, 0.2713030000, 0.6778765000, 2.0025439000", \
"0.0926453000, 0.0968246000, 0.1097984000, 0.1511653000, 0.2780621000, 0.6848097000, 2.0094755000", \
"0.1128524000, 0.1170457000, 0.1303448000, 0.1712216000, 0.2988535000, 0.7057314000, 2.0303508000", \
"0.1730028000, 0.1772915000, 0.1902735000, 0.2313418000, 0.3588191000, 0.7658815000, 2.0911299000", \
"0.2930395000, 0.3003431000, 0.3226156000, 0.3841408000, 0.5310218000, 0.9367263000, 2.2619822000", \
"0.4820909000, 0.4950907000, 0.5344181000, 0.6434822000, 0.8978105000, 1.4229944000, 2.7444478000", \
"0.7222847000, 0.7458448000, 0.8174751000, 1.0157037000, 1.4870599000, 2.4126090000, 4.1302752000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016416500, 0.0053900600, 0.0176972000, 0.0581054000, 0.1907780000, 0.6263830000");
values("0.1914829000, 0.2006840000, 0.2298996000, 0.3238548000, 0.6273023000, 1.6165379000, 4.8582587000", \
"0.1991707000, 0.2084814000, 0.2379532000, 0.3326174000, 0.6367865000, 1.6265883000, 4.8685447000", \
"0.2209042000, 0.2305086000, 0.2605073000, 0.3560455000, 0.6615845000, 1.6519021000, 4.8952788000", \
"0.2871307000, 0.2966351000, 0.3262791000, 0.4221732000, 0.7291658000, 1.7217876000, 4.9652780000", \
"0.4509845000, 0.4617323000, 0.4948224000, 0.5929057000, 0.8994757000, 1.8932036000, 5.1390456000", \
"0.7747485000, 0.7923633000, 0.8430877000, 0.9880267000, 1.3598662000, 2.3623405000, 5.6096567000", \
"1.3429833000, 1.3801550000, 1.4802222000, 1.7519134000, 2.3577711000, 3.6521237000, 6.9350379000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016416500, 0.0053900600, 0.0176972000, 0.0581054000, 0.1907780000, 0.6263830000");
values("0.0660843000, 0.0710360000, 0.0874198000, 0.1396600000, 0.3088446000, 0.8646339000, 2.6856783000", \
"0.0659752000, 0.0710538000, 0.0874685000, 0.1396233000, 0.3089498000, 0.8643098000, 2.6859346000", \
"0.0658834000, 0.0709471000, 0.0871365000, 0.1393536000, 0.3091888000, 0.8641329000, 2.6836462000", \
"0.0747311000, 0.0787396000, 0.0931269000, 0.1415247000, 0.3093238000, 0.8635347000, 2.6839575000", \
"0.1269120000, 0.1332818000, 0.1516724000, 0.2037846000, 0.3392890000, 0.8638113000, 2.6858569000", \
"0.2431553000, 0.2541375000, 0.2867182000, 0.3688131000, 0.5567790000, 0.9862563000, 2.6860441000", \
"0.4925527000, 0.5127940000, 0.5726926000, 0.7268778000, 1.0342450000, 1.6371036000, 3.0016838000");
}
related_pin : "A1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016416500, 0.0053900600, 0.0176972000, 0.0581054000, 0.1907780000, 0.6263830000");
values("0.1213892000, 0.1328872000, 0.1706763000, 0.2950224000, 0.6991323000, 2.0245168000, 6.3604979000", \
"0.1213693000, 0.1330968000, 0.1706531000, 0.2947059000, 0.6997383000, 2.0238864000, 6.3649264000", \
"0.1213722000, 0.1329787000, 0.1708222000, 0.2949506000, 0.6996267000, 2.0201636000, 6.3649339000", \
"0.1216342000, 0.1328947000, 0.1710543000, 0.2947853000, 0.6990484000, 2.0239137000, 6.3617520000", \
"0.1484525000, 0.1589314000, 0.1915533000, 0.3056865000, 0.6996781000, 2.0251190000, 6.3655947000", \
"0.2501214000, 0.2639850000, 0.3054456000, 0.4283905000, 0.7774180000, 2.0264059000, 6.3615788000", \
"0.5348902000, 0.5548296000, 0.6155584000, 0.7869843000, 1.1971487000, 2.2988393000, 6.3708550000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016416500, 0.0053900600, 0.0176972000, 0.0581054000, 0.1907780000, 0.6263830000");
values("0.0962909000, 0.1005050000, 0.1138758000, 0.1547188000, 0.2818670000, 0.6886003000, 2.0120028000", \
"0.1043186000, 0.1085277000, 0.1215743000, 0.1628893000, 0.2896462000, 0.6962497000, 2.0200033000", \
"0.1249235000, 0.1291658000, 0.1425453000, 0.1838627000, 0.3107413000, 0.7172338000, 2.0427018000", \
"0.1777544000, 0.1820255000, 0.1956566000, 0.2367119000, 0.3646555000, 0.7717318000, 2.0971627000", \
"0.2928741000, 0.2988511000, 0.3175272000, 0.3708883000, 0.5141414000, 0.9230198000, 2.2501756000", \
"0.4993874000, 0.5096572000, 0.5415389000, 0.6314003000, 0.8467101000, 1.3424246000, 2.6765401000", \
"0.7949220000, 0.8145273000, 0.8774270000, 1.0477084000, 1.4491441000, 2.2382507000, 3.8584558000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016416500, 0.0053900600, 0.0176972000, 0.0581054000, 0.1907780000, 0.6263830000");
values("0.2273886000, 0.2367714000, 0.2654836000, 0.3588188000, 0.6580799000, 1.6353061000, 4.8227116000", \
"0.2351974000, 0.2432110000, 0.2727561000, 0.3658654000, 0.6656639000, 1.6402381000, 4.8300488000", \
"0.2579273000, 0.2665624000, 0.2963248000, 0.3897681000, 0.6896780000, 1.6659086000, 4.8547202000", \
"0.3238213000, 0.3323876000, 0.3619244000, 0.4559027000, 0.7569743000, 1.7323173000, 4.9230575000", \
"0.4838029000, 0.4939303000, 0.5260346000, 0.6202789000, 0.9212797000, 1.8978779000, 5.0897810000", \
"0.8117078000, 0.8261543000, 0.8712084000, 1.0043142000, 1.3607865000, 2.3456619000, 5.5381628000", \
"1.3933947000, 1.4203489000, 1.5058633000, 1.7435698000, 2.3057145000, 3.5636385000, 6.8023183000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016416500, 0.0053900600, 0.0176972000, 0.0581054000, 0.1907780000, 0.6263830000");
values("0.0660351000, 0.0709837000, 0.0872475000, 0.1393744000, 0.3090093000, 0.8653774000, 2.6819771000", \
"0.0658915000, 0.0710413000, 0.0874162000, 0.1393354000, 0.3093658000, 0.8649878000, 2.6845954000", \
"0.0659178000, 0.0709023000, 0.0873045000, 0.1393239000, 0.3092231000, 0.8638243000, 2.6845415000", \
"0.0705002000, 0.0748826000, 0.0902688000, 0.1408543000, 0.3088268000, 0.8635324000, 2.6859139000", \
"0.1042275000, 0.1096330000, 0.1261747000, 0.1794297000, 0.3285906000, 0.8643629000, 2.6853455000", \
"0.1977599000, 0.2075454000, 0.2314100000, 0.2980839000, 0.4666810000, 0.9465476000, 2.6861693000", \
"0.4230600000, 0.4379049000, 0.4779518000, 0.5921423000, 0.8372753000, 1.3790503000, 2.9031055000");
}
related_pin : "A2";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016416500, 0.0053900600, 0.0176972000, 0.0581054000, 0.1907780000, 0.6263830000");
values("0.1486199000, 0.1609314000, 0.1989004000, 0.3216448000, 0.7210777000, 2.0310698000, 6.3046608000", \
"0.1491294000, 0.1607624000, 0.1986535000, 0.3214112000, 0.7204840000, 2.0278959000, 6.3043104000", \
"0.1491463000, 0.1605503000, 0.1989540000, 0.3218234000, 0.7203838000, 2.0297779000, 6.3090124000", \
"0.1488332000, 0.1603529000, 0.1987177000, 0.3209739000, 0.7211352000, 2.0258335000, 6.2974332000", \
"0.1711741000, 0.1817045000, 0.2148861000, 0.3298066000, 0.7209941000, 2.0293680000, 6.3068435000", \
"0.2703850000, 0.2826416000, 0.3237459000, 0.4461422000, 0.7967086000, 2.0307238000, 6.3018200000", \
"0.5512105000, 0.5715918000, 0.6284542000, 0.7940433000, 1.1991940000, 2.3177045000, 6.3284769000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016416500, 0.0053900600, 0.0176972000, 0.0581054000, 0.1907780000, 0.6263830000");
values("0.0445565000, 0.0483426000, 0.0604717000, 0.0980703000, 0.2202863000, 0.6203111000, 1.9339170000", \
"0.0506896000, 0.0546343000, 0.0670123000, 0.1052627000, 0.2284220000, 0.6288812000, 1.9415134000", \
"0.0703771000, 0.0742094000, 0.0870974000, 0.1257864000, 0.2492472000, 0.6511393000, 1.9640669000", \
"0.1131471000, 0.1198661000, 0.1387778000, 0.1863680000, 0.3084478000, 0.7100091000, 2.0238742000", \
"0.1768549000, 0.1890782000, 0.2238592000, 0.3075969000, 0.4803231000, 0.8819827000, 2.1963416000", \
"0.2541598000, 0.2791508000, 0.3423077000, 0.4971139000, 0.8033076000, 1.3654443000, 2.6790439000", \
"0.2862882000, 0.3280992000, 0.4465474000, 0.7342249000, 1.3058525000, 2.3164404000, 4.0638253000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016416500, 0.0053900600, 0.0176972000, 0.0581054000, 0.1907780000, 0.6263830000");
values("0.1355843000, 0.1452601000, 0.1749681000, 0.2699676000, 0.5749186000, 1.5678540000, 4.8209801000", \
"0.1403459000, 0.1495955000, 0.1804325000, 0.2768019000, 0.5821107000, 1.5756799000, 4.8290936000", \
"0.1586025000, 0.1678511000, 0.1984666000, 0.2943235000, 0.6020774000, 1.5966265000, 4.8508748000", \
"0.2179660000, 0.2271216000, 0.2569872000, 0.3516015000, 0.6595411000, 1.6588726000, 4.9134110000", \
"0.3749260000, 0.3882922000, 0.4276665000, 0.5311811000, 0.8404845000, 1.8367227000, 5.0945128000", \
"0.6644321000, 0.6880815000, 0.7565432000, 0.9401084000, 1.3513439000, 2.3466680000, 5.6054770000", \
"1.1626883000, 1.2045088000, 1.3304571000, 1.6626047000, 2.3965787000, 3.8005683000, 7.0619177000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016416500, 0.0053900600, 0.0176972000, 0.0581054000, 0.1907780000, 0.6263830000");
values("0.0544389000, 0.0593658000, 0.0754247000, 0.1269240000, 0.2923495000, 0.8353223000, 2.6220754000", \
"0.0544401000, 0.0593613000, 0.0754185000, 0.1269524000, 0.2925308000, 0.8364758000, 2.6195637000", \
"0.0561763000, 0.0606139000, 0.0755628000, 0.1269422000, 0.2925378000, 0.8356915000, 2.6209799000", \
"0.0855961000, 0.0891931000, 0.0998589000, 0.1384105000, 0.2922848000, 0.8351594000, 2.6190392000", \
"0.1614818000, 0.1656478000, 0.1792115000, 0.2214096000, 0.3423463000, 0.8364305000, 2.6187539000", \
"0.3294260000, 0.3348757000, 0.3560966000, 0.4176814000, 0.5796251000, 0.9786969000, 2.6213955000", \
"0.6735142000, 0.6860116000, 0.7276049000, 0.8389064000, 1.0972495000, 1.6386787000, 2.9667282000");
}
related_pin : "B1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016416500, 0.0053900600, 0.0176972000, 0.0581054000, 0.1907780000, 0.6263830000");
values("0.1194960000, 0.1312022000, 0.1692770000, 0.2941805000, 0.6998089000, 2.0296183000, 6.3922978000", \
"0.1193530000, 0.1310776000, 0.1692660000, 0.2936541000, 0.7002625000, 2.0315987000, 6.3847865000", \
"0.1190985000, 0.1306404000, 0.1691862000, 0.2939031000, 0.6995547000, 2.0319406000, 6.3930061000", \
"0.1200040000, 0.1313130000, 0.1682141000, 0.2931721000, 0.7008404000, 2.0282866000, 6.3884711000", \
"0.1837524000, 0.1945439000, 0.2287997000, 0.3264212000, 0.7000107000, 2.0303297000, 6.3834826000", \
"0.3353430000, 0.3527457000, 0.4043692000, 0.5356018000, 0.8419483000, 2.0362687000, 6.3951924000", \
"0.6299214000, 0.6624297000, 0.7634228000, 0.9930419000, 1.4693402000, 2.4443483000, 6.3977534000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016416500, 0.0053900600, 0.0176972000, 0.0581054000, 0.1907780000, 0.6263830000");
values("0.0554538000, 0.0591730000, 0.0709332000, 0.1090008000, 0.2309455000, 0.6309300000, 1.9449509000", \
"0.0632400000, 0.0671684000, 0.0793462000, 0.1174859000, 0.2401843000, 0.6402352000, 1.9546160000", \
"0.0831466000, 0.0870566000, 0.0997555000, 0.1386202000, 0.2620248000, 0.6624604000, 1.9757003000", \
"0.1264330000, 0.1316882000, 0.1476926000, 0.1910938000, 0.3159360000, 0.7174546000, 2.0312707000", \
"0.2048362000, 0.2141237000, 0.2392712000, 0.3058293000, 0.4613012000, 0.8691010000, 2.1842332000", \
"0.3155540000, 0.3335557000, 0.3853716000, 0.5106162000, 0.7659249000, 1.2834299000, 2.6121061000", \
"0.4027073000, 0.4403810000, 0.5473144000, 0.8014610000, 1.2952629000, 2.1506694000, 3.7926435000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016416500, 0.0053900600, 0.0176972000, 0.0581054000, 0.1907780000, 0.6263830000");
values("0.1748896000, 0.1835308000, 0.2125623000, 0.3058987000, 0.6056969000, 1.5803535000, 4.7705155000", \
"0.1789342000, 0.1884687000, 0.2174840000, 0.3109314000, 0.6108656000, 1.5866353000, 4.7745408000", \
"0.1973494000, 0.2062677000, 0.2354534000, 0.3286235000, 0.6300324000, 1.6055097000, 4.7964597000", \
"0.2568591000, 0.2659754000, 0.2954660000, 0.3898160000, 0.6905069000, 1.6666906000, 4.8576467000", \
"0.4253937000, 0.4362665000, 0.4704626000, 0.5664987000, 0.8660504000, 1.8410419000, 5.0330007000", \
"0.7397516000, 0.7582526000, 0.8174984000, 0.9828741000, 1.3691361000, 2.3423765000, 5.5309091000", \
"1.2731035000, 1.3070689000, 1.4098069000, 1.6974204000, 2.3826980000, 3.7588626000, 6.9483463000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016416500, 0.0053900600, 0.0176972000, 0.0581054000, 0.1907780000, 0.6263830000");
values("0.0542880000, 0.0591862000, 0.0753035000, 0.1267734000, 0.2923400000, 0.8356003000, 2.6196354000", \
"0.0542686000, 0.0592499000, 0.0752955000, 0.1268279000, 0.2924174000, 0.8346881000, 2.6189482000", \
"0.0545787000, 0.0594445000, 0.0753258000, 0.1267710000, 0.2922248000, 0.8358791000, 2.6202753000", \
"0.0703294000, 0.0743501000, 0.0870568000, 0.1327295000, 0.2921909000, 0.8353991000, 2.6192870000", \
"0.1200949000, 0.1246609000, 0.1389645000, 0.1833467000, 0.3219425000, 0.8382521000, 2.6209128000", \
"0.2500474000, 0.2558854000, 0.2739509000, 0.3277312000, 0.4777344000, 0.9289905000, 2.6235754000", \
"0.5661552000, 0.5728398000, 0.5989917000, 0.6752149000, 0.8785436000, 1.3789698000, 2.8550120000");
}
related_pin : "B2";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016416500, 0.0053900600, 0.0176972000, 0.0581054000, 0.1907780000, 0.6263830000");
values("0.1487845000, 0.1606984000, 0.1982331000, 0.3213350000, 0.7204566000, 2.0287108000, 6.3012484000", \
"0.1487358000, 0.1609494000, 0.1986148000, 0.3216526000, 0.7217422000, 2.0283997000, 6.3009868000", \
"0.1485631000, 0.1605346000, 0.1986163000, 0.3214652000, 0.7211602000, 2.0278563000, 6.3071339000", \
"0.1473509000, 0.1591060000, 0.1975329000, 0.3211497000, 0.7218266000, 2.0250042000, 6.3073875000", \
"0.2012020000, 0.2117993000, 0.2452027000, 0.3438723000, 0.7200434000, 2.0255215000, 6.3095631000", \
"0.3546741000, 0.3721042000, 0.4239772000, 0.5494779000, 0.8562721000, 2.0349264000, 6.3008980000", \
"0.6615724000, 0.6918042000, 0.7779025000, 1.0000472000, 1.4819190000, 2.4657887000, 6.3193619000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
}
}
/* removed sky130_fd_sc_hd__a2bb2o_1 */
/* removed sky130_fd_sc_hd__a2bb2o_2 */
cell ("sky130_fd_sc_hd__a2bb2o_4") {
leakage_power () {
value : 12.075542300;
when : "!A1_N&!A2_N&!B1&B2";
}
leakage_power () {
value : 12.075734200;
when : "!A1_N&!A2_N&!B1&!B2";
}
leakage_power () {
value : 8.3469240000;
when : "!A1_N&!A2_N&B1&B2";
}
leakage_power () {
value : 12.075448200;
when : "!A1_N&!A2_N&B1&!B2";
}
leakage_power () {
value : 11.930073800;
when : "!A1_N&A2_N&!B1&B2";
}
leakage_power () {
value : 10.702756000;
when : "!A1_N&A2_N&!B1&!B2";
}
leakage_power () {
value : 10.139659800;
when : "!A1_N&A2_N&B1&B2";
}
leakage_power () {
value : 12.491396400;
when : "!A1_N&A2_N&B1&!B2";
}
leakage_power () {
value : 11.851269300;
when : "A1_N&!A2_N&!B1&B2";
}
leakage_power () {
value : 10.623951500;
when : "A1_N&!A2_N&!B1&!B2";
}
leakage_power () {
value : 10.060860600;
when : "A1_N&!A2_N&B1&B2";
}
leakage_power () {
value : 12.412591800;
when : "A1_N&!A2_N&B1&!B2";
}
leakage_power () {
value : 9.7828066000;
when : "A1_N&A2_N&!B1&B2";
}
leakage_power () {
value : 8.5554852000;
when : "A1_N&A2_N&!B1&!B2";
}
leakage_power () {
value : 7.9924325000;
when : "A1_N&A2_N&B1&B2";
}
leakage_power () {
value : 10.344128200;
when : "A1_N&A2_N&B1&!B2";
}
area : 20.019200000;
cell_footprint : "sky130_fd_sc_hd__a2bb2o";
cell_leakage_power : 10.716320000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A1_N") {
capacitance : 0.0049150000;
clock : "false";
direction : "input";
fall_capacitance : 0.0047150000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0228518000, 0.0225804000, 0.0218847000, 0.0218747000, 0.0219160000, 0.0221015000, 0.0226935000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0063455000, 0.0061181000, 0.0055570000, 0.0056109000, 0.0058426000, 0.0065747000, 0.0087170000");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0051150000;
}
pin ("A2_N") {
capacitance : 0.0044580000;
clock : "false";
direction : "input";
fall_capacitance : 0.0042190000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0189430000, 0.0186532000, 0.0179064000, 0.0179603000, 0.0181821000, 0.0188763000, 0.0209014000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0036319000, 0.0034509000, 0.0030205000, 0.0030579000, 0.0032421000, 0.0038403000, 0.0056047000");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0046970000;
}
pin ("B1") {
capacitance : 0.0048020000;
clock : "false";
direction : "input";
fall_capacitance : 0.0046090000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0068237000, 0.0067845000, 0.0067564000, 0.0067103000, 0.0066632000, 0.0066128000, 0.0065537000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.006831400, -0.006879000, -0.006933100, -0.006977600, -0.007022600, -0.007069300, -0.007120900");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0049950000;
}
pin ("B2") {
capacitance : 0.0044020000;
clock : "false";
direction : "input";
fall_capacitance : 0.0042640000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0062656000, 0.0062150000, 0.0061525000, 0.0061098000, 0.0060696000, 0.0060366000, 0.0060235000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.006259000, -0.006304100, -0.006350900, -0.006395100, -0.006439400, -0.006484200, -0.006530500");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0045390000;
}
pin ("X") {
direction : "output";
function : "(B1&B2) | (!A1_N&!A2_N)";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0017994610, 0.0064761170, 0.0233070300, 0.0838801800, 0.3018781000, 1.0864360000");
values("0.0245868000, 0.0230432000, 0.0180660000, -0.000306900, -0.074897000, -0.352784000, -1.356567200", \
"0.0244667000, 0.0230157000, 0.0180729000, -0.000295100, -0.074854700, -0.352778300, -1.356498700", \
"0.0246392000, 0.0231837000, 0.0182410000, -5.58000e-05, -0.074637000, -0.352537100, -1.356210400", \
"0.0240432000, 0.0225138000, 0.0175698000, -0.000813500, -0.075422600, -0.353318600, -1.357025000", \
"0.0232562000, 0.0218175000, 0.0167949000, -0.001599500, -0.076171100, -0.354046300, -1.357643700", \
"0.0271373000, 0.0256033000, 0.0200181000, -0.000436500, -0.076853000, -0.354906700, -1.358565800", \
"0.0279777000, 0.0261962000, 0.0206304000, 0.0003827000, -0.076340100, -0.354610900, -1.358633000");
}
related_pin : "A1_N";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0017994610, 0.0064761170, 0.0233070300, 0.0838801800, 0.3018781000, 1.0864360000");
values("0.0252008000, 0.0271618000, 0.0337705000, 0.0562740000, 0.1335991000, 0.4108273000, 1.4062199000", \
"0.0252388000, 0.0271652000, 0.0338177000, 0.0562459000, 0.1336233000, 0.4078759000, 1.4025747000", \
"0.0255210000, 0.0274841000, 0.0341069000, 0.0565986000, 0.1339321000, 0.4113781000, 1.4043689000", \
"0.0251611000, 0.0270994000, 0.0337475000, 0.0561884000, 0.1335463000, 0.4121049000, 1.4114153000", \
"0.0245104000, 0.0264247000, 0.0330701000, 0.0554688000, 0.1328786000, 0.4071409000, 1.4023812000", \
"0.0240566000, 0.0259067000, 0.0322959000, 0.0543493000, 0.1324349000, 0.4077975000, 1.4001635000", \
"0.0242134000, 0.0259505000, 0.0322453000, 0.0542750000, 0.1325388000, 0.4107357000, 1.4027789000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0017994610, 0.0064761170, 0.0233070300, 0.0838801800, 0.3018781000, 1.0864360000");
values("0.0247793000, 0.0233052000, 0.0184340000, 3.890000e-05, -0.074596800, -0.352668500, -1.356535900", \
"0.0249220000, 0.0234280000, 0.0184833000, 0.0001615000, -0.074477100, -0.352506900, -1.356351200", \
"0.0249161000, 0.0234677000, 0.0184673000, 0.0001917000, -0.074448600, -0.352447000, -1.356277900", \
"0.0240342000, 0.0225288000, 0.0175747000, -0.000726200, -0.075399300, -0.353355400, -1.357233500", \
"0.0231879000, 0.0217140000, 0.0167140000, -0.001575500, -0.076248500, -0.354228800, -1.358091700", \
"0.0274122000, 0.0259178000, 0.0202761000, -0.000191400, -0.076485900, -0.354777500, -1.358573800", \
"0.0281786000, 0.0270280000, 0.0208927000, 0.0008524000, -0.075851500, -0.354125400, -1.358235300");
}
related_pin : "A2_N";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0017994610, 0.0064761170, 0.0233070300, 0.0838801800, 0.3018781000, 1.0864360000");
values("0.0247974000, 0.0267302000, 0.0333700000, 0.0558660000, 0.1332556000, 0.4099819000, 1.4070125000", \
"0.0247182000, 0.0266521000, 0.0332875000, 0.0557497000, 0.1330874000, 0.4098126000, 1.4067571000", \
"0.0250347000, 0.0269582000, 0.0336108000, 0.0560240000, 0.1334148000, 0.4077475000, 1.4023140000", \
"0.0244397000, 0.0263702000, 0.0330379000, 0.0555010000, 0.1329269000, 0.4100479000, 1.4026042000", \
"0.0237261000, 0.0256518000, 0.0322946000, 0.0547322000, 0.1320375000, 0.4084009000, 1.4100186000", \
"0.0235695000, 0.0253598000, 0.0316667000, 0.0537153000, 0.1317405000, 0.4070405000, 1.4012118000", \
"0.0240330000, 0.0257302000, 0.0323135000, 0.0541888000, 0.1322370000, 0.4093923000, 1.4023840000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0017994610, 0.0064761170, 0.0233070300, 0.0838801800, 0.3018781000, 1.0864360000");
values("0.0281363000, 0.0266771000, 0.0217308000, 0.0033863000, -0.071335900, -0.349429500, -1.353139400", \
"0.0280359000, 0.0265739000, 0.0216375000, 0.0032828000, -0.071430300, -0.349510600, -1.353308200", \
"0.0278963000, 0.0264233000, 0.0215038000, 0.0031023000, -0.071549700, -0.349679100, -1.353598100", \
"0.0276629000, 0.0261473000, 0.0211753000, 0.0028290000, -0.071832900, -0.349935800, -1.353838000", \
"0.0275656000, 0.0260486000, 0.0209537000, 0.0024221000, -0.072311200, -0.350206800, -1.354082200", \
"0.0306446000, 0.0290417000, 0.0232552000, 0.0022329000, -0.072922300, -0.350533800, -1.354167100", \
"0.0329716000, 0.0312444000, 0.0251882000, 0.0038261000, -0.073037200, -0.350927100, -1.353936600");
}
related_pin : "B1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0017994610, 0.0064761170, 0.0233070300, 0.0838801800, 0.3018781000, 1.0864360000");
values("0.0286911000, 0.0305863000, 0.0371299000, 0.0596022000, 0.1374708000, 0.4141993000, 1.4137333000", \
"0.0284560000, 0.0303234000, 0.0369100000, 0.0594842000, 0.1373310000, 0.4139763000, 1.4045995000", \
"0.0282894000, 0.0301339000, 0.0366289000, 0.0592291000, 0.1371874000, 0.4138993000, 1.4087575000", \
"0.0279378000, 0.0298111000, 0.0363769000, 0.0588983000, 0.1367377000, 0.4135447000, 1.4091326000", \
"0.0276560000, 0.0294838000, 0.0359242000, 0.0579948000, 0.1359205000, 0.4127291000, 1.4088022000", \
"0.0283054000, 0.0300231000, 0.0362675000, 0.0580218000, 0.1355059000, 0.4118262000, 1.4084648000", \
"0.0297167000, 0.0313571000, 0.0373581000, 0.0590734000, 0.1368627000, 0.4134897000, 1.4102485000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0017994610, 0.0064761170, 0.0233070300, 0.0838801800, 0.3018781000, 1.0864360000");
values("0.0242300000, 0.0227334000, 0.0178793000, -0.000430500, -0.075195300, -0.353475700, -1.357605200", \
"0.0241968000, 0.0227541000, 0.0178468000, -0.000449700, -0.075227200, -0.353512200, -1.357633700", \
"0.0239388000, 0.0225157000, 0.0176181000, -0.000710500, -0.075471100, -0.353754900, -1.357869100", \
"0.0235535000, 0.0220974000, 0.0171961000, -0.001146400, -0.075844000, -0.354103800, -1.358323300", \
"0.0232360000, 0.0216943000, 0.0166405000, -0.001759500, -0.076470200, -0.354577800, -1.358603000", \
"0.0267548000, 0.0250847000, 0.0192553000, -0.001858900, -0.077110300, -0.354875700, -1.358727400", \
"0.0278420000, 0.0261836000, 0.0202719000, -0.001169300, -0.077710800, -0.355487600, -1.358590400");
}
related_pin : "B2";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0017994610, 0.0064761170, 0.0233070300, 0.0838801800, 0.3018781000, 1.0864360000");
values("0.0281696000, 0.0300327000, 0.0365419000, 0.0591149000, 0.1369178000, 0.4136579000, 1.4120607000", \
"0.0280742000, 0.0299628000, 0.0365159000, 0.0589903000, 0.1368906000, 0.4133720000, 1.4131565000", \
"0.0278324000, 0.0297017000, 0.0362301000, 0.0587959000, 0.1367809000, 0.4134249000, 1.4083795000", \
"0.0275120000, 0.0293570000, 0.0358995000, 0.0583791000, 0.1361866000, 0.4131263000, 1.4117969000", \
"0.0271956000, 0.0289843000, 0.0354258000, 0.0574180000, 0.1352981000, 0.4122094000, 1.4081306000", \
"0.0282415000, 0.0299278000, 0.0360092000, 0.0579386000, 0.1348196000, 0.4115054000, 1.4080194000", \
"0.0307553000, 0.0324448000, 0.0383160000, 0.0600532000, 0.1368004000, 0.4128580000, 1.4113229000");
}
}
max_capacitance : 0.3204440000;
max_transition : 1.5017160000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0017994600, 0.0064761200, 0.0233070000, 0.0838802000, 0.3018780000, 1.0864400000");
values("0.3437333000, 0.3516414000, 0.3739610000, 0.4267350000, 0.5496186000, 0.8955787000, 2.0956159000", \
"0.3523764000, 0.3603235000, 0.3821777000, 0.4351893000, 0.5581841000, 0.9039565000, 2.1025626000", \
"0.3754660000, 0.3834330000, 0.4054122000, 0.4584808000, 0.5813784000, 0.9270562000, 2.1285815000", \
"0.4332848000, 0.4412022000, 0.4633584000, 0.5161951000, 0.6392160000, 0.9853638000, 2.1827546000", \
"0.5402611000, 0.5481503000, 0.5703730000, 0.6231857000, 0.7460920000, 1.0919268000, 2.2938418000", \
"0.7167033000, 0.7244751000, 0.7466345000, 0.7995991000, 0.9225051000, 1.2680093000, 2.4669766000", \
"0.9642748000, 0.9722105000, 0.9942340000, 1.0471914000, 1.1704983000, 1.5166177000, 2.7194187000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0017994600, 0.0064761200, 0.0233070000, 0.0838802000, 0.3018780000, 1.0864400000");
values("0.3381282000, 0.3455262000, 0.3673477000, 0.4293061000, 0.6304339000, 1.3472363000, 3.9335424000", \
"0.3444701000, 0.3518605000, 0.3736586000, 0.4355673000, 0.6372385000, 1.3506134000, 3.9156784000", \
"0.3663043000, 0.3737567000, 0.3956033000, 0.4575664000, 0.6592004000, 1.3781363000, 3.9514743000", \
"0.4266572000, 0.4340689000, 0.4559213000, 0.5178710000, 0.7195872000, 1.4379040000, 4.0132635000", \
"0.5791828000, 0.5866745000, 0.6085792000, 0.6705123000, 0.8723275000, 1.5854600000, 4.1540611000", \
"0.8832852000, 0.8911969000, 0.9138831000, 0.9768184000, 1.1785618000, 1.8932698000, 4.4682849000", \
"1.4161294000, 1.4253310000, 1.4508262000, 1.5163096000, 1.7190273000, 2.4343672000, 4.9986268000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0017994600, 0.0064761200, 0.0233070000, 0.0838802000, 0.3018780000, 1.0864400000");
values("0.0452091000, 0.0498691000, 0.0649607000, 0.1051866000, 0.2250911000, 0.6605484000, 2.2923059000", \
"0.0451099000, 0.0498055000, 0.0643931000, 0.1046672000, 0.2248435000, 0.6605151000, 2.2990370000", \
"0.0454339000, 0.0501256000, 0.0642606000, 0.1050494000, 0.2250980000, 0.6603841000, 2.2945750000", \
"0.0451959000, 0.0499642000, 0.0640440000, 0.1051304000, 0.2248856000, 0.6594297000, 2.2946847000", \
"0.0452987000, 0.0505555000, 0.0644584000, 0.1046666000, 0.2246211000, 0.6605072000, 2.2949436000", \
"0.0452144000, 0.0497675000, 0.0642733000, 0.1050272000, 0.2250869000, 0.6572033000, 2.3037108000", \
"0.0462575000, 0.0511385000, 0.0650097000, 0.1050581000, 0.2256610000, 0.6609407000, 2.2957727000");
}
related_pin : "A1_N";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0017994600, 0.0064761200, 0.0233070000, 0.0838802000, 0.3018780000, 1.0864400000");
values("0.0355610000, 0.0413867000, 0.0609292000, 0.1328326000, 0.4071970000, 1.4084303000, 5.0106803000", \
"0.0355021000, 0.0414455000, 0.0609622000, 0.1329157000, 0.4061463000, 1.4032761000, 4.9973679000", \
"0.0358083000, 0.0413820000, 0.0609143000, 0.1329673000, 0.4069738000, 1.4090917000, 4.9880438000", \
"0.0356088000, 0.0413806000, 0.0610012000, 0.1330711000, 0.4072545000, 1.4121708000, 5.0149875000", \
"0.0361836000, 0.0418991000, 0.0615178000, 0.1331062000, 0.4061187000, 1.4032686000, 4.9988960000", \
"0.0398774000, 0.0452104000, 0.0641734000, 0.1348771000, 0.4071252000, 1.4020672000, 4.9936966000", \
"0.0496446000, 0.0551382000, 0.0723017000, 0.1393473000, 0.4095108000, 1.4052361000, 4.9931930000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0017994600, 0.0064761200, 0.0233070000, 0.0838802000, 0.3018780000, 1.0864400000");
values("0.3422658000, 0.3501915000, 0.3720211000, 0.4250350000, 0.5480936000, 0.8939404000, 2.0931723000", \
"0.3505568000, 0.3583599000, 0.3803585000, 0.4334051000, 0.5564385000, 0.9021301000, 2.1012161000", \
"0.3724132000, 0.3803590000, 0.4025420000, 0.4554541000, 0.5783958000, 0.9242034000, 2.1267739000", \
"0.4242947000, 0.4321181000, 0.4541908000, 0.5071924000, 0.6302252000, 0.9763678000, 2.1785513000", \
"0.5154221000, 0.5233735000, 0.5451120000, 0.5981461000, 0.7211918000, 1.0670114000, 2.2662592000", \
"0.6630709000, 0.6709725000, 0.6930747000, 0.7460573000, 0.8691369000, 1.2151334000, 2.4143456000", \
"0.8480305000, 0.8559831000, 0.8778722000, 0.9309113000, 1.0543507000, 1.4010140000, 2.6002716000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0017994600, 0.0064761200, 0.0233070000, 0.0838802000, 0.3018780000, 1.0864400000");
values("0.3102514000, 0.3176573000, 0.3394996000, 0.4014641000, 0.6026840000, 1.3191372000, 3.9059764000", \
"0.3138749000, 0.3212781000, 0.3431302000, 0.4050920000, 0.6066718000, 1.3217339000, 3.8974561000", \
"0.3318250000, 0.3392283000, 0.3610397000, 0.4228717000, 0.6247207000, 1.3386602000, 3.9046326000", \
"0.3930261000, 0.4004597000, 0.4222506000, 0.4842339000, 0.6857112000, 1.4012929000, 3.9645012000", \
"0.5616993000, 0.5691768000, 0.5911938000, 0.6532741000, 0.8548135000, 1.5734908000, 4.1497104000", \
"0.8818636000, 0.8896707000, 0.9121721000, 0.9749123000, 1.1765435000, 1.8911270000, 4.4551660000", \
"1.4480536000, 1.4574276000, 1.4831212000, 1.5487771000, 1.7517950000, 2.4670210000, 5.0290900000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0017994600, 0.0064761200, 0.0233070000, 0.0838802000, 0.3018780000, 1.0864400000");
values("0.0450940000, 0.0500164000, 0.0643134000, 0.1045648000, 0.2249066000, 0.6610163000, 2.3011466000", \
"0.0450308000, 0.0495990000, 0.0651499000, 0.1047177000, 0.2248800000, 0.6610252000, 2.3030717000", \
"0.0455866000, 0.0505555000, 0.0651252000, 0.1048841000, 0.2250284000, 0.6602738000, 2.2963125000", \
"0.0451923000, 0.0504198000, 0.0642715000, 0.1049981000, 0.2244502000, 0.6610686000, 2.2954687000", \
"0.0451960000, 0.0499573000, 0.0641822000, 0.1049652000, 0.2253684000, 0.6608528000, 2.2940683000", \
"0.0452632000, 0.0503601000, 0.0641923000, 0.1050709000, 0.2252399000, 0.6590774000, 2.2915916000", \
"0.0462965000, 0.0512714000, 0.0652442000, 0.1062517000, 0.2257790000, 0.6615306000, 2.3006814000");
}
related_pin : "A2_N";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0017994600, 0.0064761200, 0.0233070000, 0.0838802000, 0.3018780000, 1.0864400000");
values("0.0357554000, 0.0413515000, 0.0609289000, 0.1327494000, 0.4069960000, 1.4062093000, 5.0013047000", \
"0.0357448000, 0.0413327000, 0.0609076000, 0.1330145000, 0.4065726000, 1.4100286000, 4.9918021000", \
"0.0355105000, 0.0414036000, 0.0610296000, 0.1328310000, 0.4067093000, 1.4034970000, 4.9924984000", \
"0.0356288000, 0.0413821000, 0.0609152000, 0.1330310000, 0.4067713000, 1.4145363000, 4.9978284000", \
"0.0363587000, 0.0422336000, 0.0616326000, 0.1331799000, 0.4066589000, 1.4117941000, 5.0120632000", \
"0.0395731000, 0.0448533000, 0.0640003000, 0.1347599000, 0.4073064000, 1.4025395000, 4.9956879000", \
"0.0505257000, 0.0559806000, 0.0728681000, 0.1396255000, 0.4079560000, 1.4081639000, 4.9923075000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0017994600, 0.0064761200, 0.0233070000, 0.0838802000, 0.3018780000, 1.0864400000");
values("0.3374925000, 0.3453862000, 0.3675296000, 0.4204366000, 0.5431402000, 0.8884800000, 2.0906969000", \
"0.3450468000, 0.3529865000, 0.3751426000, 0.4280255000, 0.5507741000, 0.8961137000, 2.0979931000", \
"0.3685615000, 0.3763930000, 0.3985779000, 0.4514680000, 0.5744066000, 0.9203950000, 2.1162174000", \
"0.4312634000, 0.4390338000, 0.4610612000, 0.5140905000, 0.6369767000, 0.9828885000, 2.1812112000", \
"0.5921473000, 0.6000984000, 0.6222520000, 0.6753613000, 0.7980954000, 1.1439056000, 2.3454219000", \
"0.9461100000, 0.9557954000, 0.9825910000, 1.0437651000, 1.1786336000, 1.5322924000, 2.7298903000", \
"1.5828773000, 1.5969414000, 1.6344377000, 1.7174057000, 1.8836681000, 2.2608568000, 3.4661451000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0017994600, 0.0064761200, 0.0233070000, 0.0838802000, 0.3018780000, 1.0864400000");
values("0.1820926000, 0.1901036000, 0.2138094000, 0.2796101000, 0.4841186000, 1.2006217000, 3.7675560000", \
"0.1897607000, 0.1977933000, 0.2215704000, 0.2873684000, 0.4925428000, 1.2092745000, 3.7832519000", \
"0.2104569000, 0.2184844000, 0.2421119000, 0.3080246000, 0.5132049000, 1.2302532000, 3.8048724000", \
"0.2658401000, 0.2738475000, 0.2975706000, 0.3632618000, 0.5678187000, 1.2853860000, 3.8544394000", \
"0.4019240000, 0.4104442000, 0.4353885000, 0.5029146000, 0.7085885000, 1.4277861000, 3.9995145000", \
"0.6599176000, 0.6707844000, 0.7007197000, 0.7737128000, 0.9820921000, 1.6994476000, 4.2721933000", \
"1.0692672000, 1.0855509000, 1.1293564000, 1.2259979000, 1.4474812000, 2.1683573000, 4.7343116000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0017994600, 0.0064761200, 0.0233070000, 0.0838802000, 0.3018780000, 1.0864400000");
values("0.0454417000, 0.0503426000, 0.0648952000, 0.1050440000, 0.2247967000, 0.6600464000, 2.2949973000", \
"0.0454961000, 0.0501756000, 0.0647476000, 0.1051142000, 0.2248869000, 0.6599293000, 2.2952074000", \
"0.0452119000, 0.0506507000, 0.0641843000, 0.1050606000, 0.2250632000, 0.6603676000, 2.2982318000", \
"0.0450340000, 0.0496165000, 0.0649723000, 0.1049590000, 0.2241859000, 0.6593157000, 2.2962148000", \
"0.0462261000, 0.0505261000, 0.0645752000, 0.1054519000, 0.2247578000, 0.6605198000, 2.2939015000", \
"0.0608337000, 0.0659428000, 0.0812600000, 0.1229227000, 0.2418859000, 0.6660242000, 2.2975897000", \
"0.1030976000, 0.1101508000, 0.1289460000, 0.1738849000, 0.2902362000, 0.6940030000, 2.2957949000");
}
related_pin : "B1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0017994600, 0.0064761200, 0.0233070000, 0.0838802000, 0.3018780000, 1.0864400000");
values("0.0387098000, 0.0451879000, 0.0664530000, 0.1397344000, 0.4126518000, 1.4134155000, 5.0015267000", \
"0.0388482000, 0.0458388000, 0.0668090000, 0.1397630000, 0.4134229000, 1.4114780000, 4.9902149000", \
"0.0383583000, 0.0448260000, 0.0668581000, 0.1398106000, 0.4127993000, 1.4133782000, 5.0063069000", \
"0.0386702000, 0.0451571000, 0.0664206000, 0.1395814000, 0.4128290000, 1.4155468000, 5.0038964000", \
"0.0435850000, 0.0506436000, 0.0715918000, 0.1426052000, 0.4132417000, 1.4151048000, 5.0002735000", \
"0.0586045000, 0.0653762000, 0.0873106000, 0.1560771000, 0.4175409000, 1.4129966000, 5.0030287000", \
"0.0977921000, 0.1063338000, 0.1310234000, 0.1951585000, 0.4321667000, 1.4222216000, 4.9946167000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0017994600, 0.0064761200, 0.0233070000, 0.0838802000, 0.3018780000, 1.0864400000");
values("0.3149336000, 0.3229814000, 0.3456248000, 0.3995207000, 0.5229304000, 0.8695581000, 2.0637410000", \
"0.3228769000, 0.3309845000, 0.3535768000, 0.4074945000, 0.5310132000, 0.8776807000, 2.0718129000", \
"0.3456000000, 0.3536892000, 0.3762868000, 0.4301848000, 0.5541540000, 0.9004087000, 2.0945017000", \
"0.4075316000, 0.4156332000, 0.4382195000, 0.4919726000, 0.6157397000, 0.9620987000, 2.1669997000", \
"0.5700665000, 0.5781256000, 0.6007032000, 0.6542122000, 0.7787118000, 1.1256928000, 2.3203766000", \
"0.9224201000, 0.9324488000, 0.9601775000, 1.0235353000, 1.1613047000, 1.5168491000, 2.7115046000", \
"1.5659214000, 1.5807776000, 1.6206632000, 1.7078324000, 1.8806110000, 2.2577227000, 3.4614156000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0017994600, 0.0064761200, 0.0233070000, 0.0838802000, 0.3018780000, 1.0864400000");
values("0.1720572000, 0.1801008000, 0.2037733000, 0.2696199000, 0.4747722000, 1.1913472000, 3.7630339000", \
"0.1788682000, 0.1867889000, 0.2105376000, 0.2763351000, 0.4809149000, 1.1975457000, 3.7654933000", \
"0.1995477000, 0.2076573000, 0.2312671000, 0.2970679000, 0.5023398000, 1.2195127000, 3.7942556000", \
"0.2602134000, 0.2681360000, 0.2918316000, 0.3573663000, 0.5618430000, 1.2793477000, 3.8475032000", \
"0.4053740000, 0.4139742000, 0.4387076000, 0.5059152000, 0.7112033000, 1.4310310000, 4.0040398000", \
"0.6509949000, 0.6622881000, 0.6930692000, 0.7665934000, 0.9735009000, 1.6916680000, 4.2674597000", \
"0.9972083000, 1.0146415000, 1.0615836000, 1.1609915000, 1.3774563000, 2.0927458000, 4.6611879000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0017994600, 0.0064761200, 0.0233070000, 0.0838802000, 0.3018780000, 1.0864400000");
values("0.0458947000, 0.0509415000, 0.0646080000, 0.1058907000, 0.2271688000, 0.6605488000, 2.2930302000", \
"0.0460816000, 0.0502737000, 0.0647523000, 0.1061140000, 0.2271316000, 0.6600069000, 2.2931814000", \
"0.0460155000, 0.0502811000, 0.0647254000, 0.1061391000, 0.2267895000, 0.6597846000, 2.2932320000", \
"0.0459024000, 0.0503442000, 0.0646701000, 0.1057770000, 0.2271098000, 0.6607975000, 2.3010454000", \
"0.0473298000, 0.0513960000, 0.0655993000, 0.1069833000, 0.2269813000, 0.6612605000, 2.2946822000", \
"0.0641071000, 0.0695735000, 0.0849488000, 0.1278291000, 0.2461529000, 0.6682204000, 2.2902935000", \
"0.1088806000, 0.1164238000, 0.1350024000, 0.1821835000, 0.2998613000, 0.7012762000, 2.2949027000");
}
related_pin : "B2";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0017994600, 0.0064761200, 0.0233070000, 0.0838802000, 0.3018780000, 1.0864400000");
values("0.0389606000, 0.0458645000, 0.0668368000, 0.1397212000, 0.4134095000, 1.4097703000, 5.0000577000", \
"0.0387362000, 0.0452405000, 0.0663617000, 0.1397253000, 0.4126702000, 1.4128745000, 5.0011755000", \
"0.0386141000, 0.0453127000, 0.0665785000, 0.1398070000, 0.4129967000, 1.4135529000, 5.0063345000", \
"0.0386746000, 0.0452112000, 0.0663401000, 0.1396455000, 0.4128569000, 1.4144944000, 5.0035647000", \
"0.0443166000, 0.0504052000, 0.0717443000, 0.1429113000, 0.4122963000, 1.4140800000, 4.9950648000", \
"0.0659199000, 0.0719869000, 0.0908005000, 0.1565254000, 0.4179158000, 1.4133088000, 4.9971316000", \
"0.1122804000, 0.1211268000, 0.1440700000, 0.2029918000, 0.4333827000, 1.4212604000, 4.9969078000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
/* removed sky130_fd_sc_hd__a2bb2oi_1 */
/* removed sky130_fd_sc_hd__a2bb2oi_2 */
cell ("sky130_fd_sc_hd__a2bb2oi_4") {
leakage_power () {
value : 13.714009500;
when : "!A1_N&!A2_N&!B1&B2";
}
leakage_power () {
value : 13.714228900;
when : "!A1_N&!A2_N&!B1&!B2";
}
leakage_power () {
value : 8.6027026000;
when : "!A1_N&!A2_N&B1&B2";
}
leakage_power () {
value : 13.713894000;
when : "!A1_N&!A2_N&B1&!B2";
}
leakage_power () {
value : 13.225483800;
when : "!A1_N&A2_N&!B1&B2";
}
leakage_power () {
value : 10.559684700;
when : "!A1_N&A2_N&!B1&!B2";
}
leakage_power () {
value : 11.515231400;
when : "!A1_N&A2_N&B1&B2";
}
leakage_power () {
value : 14.581282000;
when : "!A1_N&A2_N&B1&!B2";
}
leakage_power () {
value : 11.271081800;
when : "A1_N&!A2_N&!B1&B2";
}
leakage_power () {
value : 8.6052800000;
when : "A1_N&!A2_N&!B1&!B2";
}
leakage_power () {
value : 9.5608605000;
when : "A1_N&!A2_N&B1&B2";
}
leakage_power () {
value : 12.626885300;
when : "A1_N&!A2_N&B1&!B2";
}
leakage_power () {
value : 7.7977758000;
when : "A1_N&A2_N&!B1&B2";
}
leakage_power () {
value : 5.1319677000;
when : "A1_N&A2_N&!B1&!B2";
}
leakage_power () {
value : 6.0876331000;
when : "A1_N&A2_N&B1&B2";
}
leakage_power () {
value : 9.1535890000;
when : "A1_N&A2_N&B1&!B2";
}
area : 26.275200000;
cell_footprint : "sky130_fd_sc_hd__a2bb2oi";
cell_leakage_power : 10.616350000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A1_N") {
capacitance : 0.0088390000;
clock : "false";
direction : "input";
fall_capacitance : 0.0084710000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0452224000, 0.0446790000, 0.0432042000, 0.0432288000, 0.0433542000, 0.0437635000, 0.0449724000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0091830000, 0.0087977000, 0.0077990000, 0.0079242000, 0.0083635000, 0.0096882000, 0.0135070000");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0092070000;
}
pin ("A2_N") {
capacitance : 0.0087970000;
clock : "false";
direction : "input";
fall_capacitance : 0.0082730000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0376395000, 0.0370738000, 0.0355388000, 0.0356944000, 0.0361918000, 0.0376520000, 0.0418246000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0029671000, 0.0026713000, 0.0019131000, 0.0020292000, 0.0024313000, 0.0036396000, 0.0071189000");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0093220000;
}
pin ("B1") {
capacitance : 0.0092250000;
clock : "false";
direction : "input";
fall_capacitance : 0.0088370000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0134177000, 0.0133637000, 0.0132987000, 0.0132497000, 0.0131988000, 0.0131428000, 0.0130719000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.013417000, -0.013455200, -0.013486500, -0.013528700, -0.013570900, -0.013613400, -0.013655900");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0096120000;
}
pin ("B2") {
capacitance : 0.0085700000;
clock : "false";
direction : "input";
fall_capacitance : 0.0082980000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0124272000, 0.0123772000, 0.0123176000, 0.0122759000, 0.0122396000, 0.0122184000, 0.0122396000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.012415200, -0.012461500, -0.012515200, -0.012554000, -0.012586400, -0.012601200, -0.012565800");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0088410000;
}
pin ("Y") {
direction : "output";
function : "(A1_N&!B1) | (A1_N&!B2) | (A2_N&!B1) | (A2_N&!B2)";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0015736740, 0.0049528990, 0.0155885000, 0.0490624200, 0.1544165000, 0.4860024000");
values("0.0042419000, 0.0033277000, 0.0001813000, -0.011192900, -0.052060900, -0.186258800, -0.610592100", \
"0.0042778000, 0.0033572000, 0.0001888000, -0.011180300, -0.052057200, -0.186210000, -0.610537900", \
"0.0050766000, 0.0040913000, 0.0009918000, -0.010428900, -0.051305400, -0.185495700, -0.609778100", \
"0.0043589000, 0.0034343000, 0.0002558000, -0.011219200, -0.052025900, -0.186136600, -0.610381400", \
"0.0036092000, 0.0024996000, -0.000800100, -0.012239400, -0.052818200, -0.186765900, -0.610915600", \
"0.0047395000, 0.0032879000, -0.000568300, -0.013559200, -0.055145100, -0.187708200, -0.611661200", \
"0.0051275000, 0.0038110000, -0.000412600, -0.013646200, -0.055501000, -0.189012200, -0.611549600");
}
related_pin : "A1_N";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0015736740, 0.0049528990, 0.0155885000, 0.0490624200, 0.1544165000, 0.4860024000");
values("0.0270173000, 0.0284765000, 0.0329134000, 0.0467128000, 0.0895202000, 0.2229440000, 0.6430333000", \
"0.0270452000, 0.0284752000, 0.0329443000, 0.0467649000, 0.0896010000, 0.2229620000, 0.6431127000", \
"0.0273499000, 0.0288255000, 0.0332872000, 0.0471866000, 0.0900248000, 0.2234255000, 0.6434161000", \
"0.0261702000, 0.0275845000, 0.0320758000, 0.0459894000, 0.0889787000, 0.2224476000, 0.6425520000", \
"0.0248051000, 0.0262207000, 0.0307375000, 0.0446740000, 0.0877285000, 0.2213923000, 0.6416447000", \
"0.0244984000, 0.0258799000, 0.0301151000, 0.0437782000, 0.0863784000, 0.2200887000, 0.6403755000", \
"0.0258030000, 0.0271494000, 0.0314504000, 0.0450211000, 0.0877139000, 0.2207043000, 0.6406674000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0015736740, 0.0049528990, 0.0155885000, 0.0490624200, 0.1544165000, 0.4860024000");
values("0.0042097000, 0.0033281000, 0.0001679000, -0.011258500, -0.052224100, -0.186336200, -0.610590400", \
"0.0040573000, 0.0031263000, 1.080000e-05, -0.011406000, -0.052328800, -0.186485600, -0.610792000", \
"0.0047144000, 0.0037679000, 0.0005689000, -0.010856200, -0.051610500, -0.185744800, -0.610011400", \
"0.0038220000, 0.0028959000, -0.000384700, -0.011862500, -0.052622100, -0.186638300, -0.610840500", \
"0.0026967000, 0.0016233000, -0.001745600, -0.013337900, -0.053885200, -0.187610500, -0.611612500", \
"0.0039442000, 0.0026625000, -0.001313300, -0.014120300, -0.056009300, -0.188119600, -0.611892300", \
"0.0052288000, 0.0039103000, -0.000363400, -0.013709500, -0.055714800, -0.189193500, -0.611409800");
}
related_pin : "A2_N";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0015736740, 0.0049528990, 0.0155885000, 0.0490624200, 0.1544165000, 0.4860024000");
values("0.0279635000, 0.0294049000, 0.0339295000, 0.0477959000, 0.0904764000, 0.2236679000, 0.6431938000", \
"0.0280674000, 0.0294777000, 0.0340477000, 0.0479981000, 0.0906487000, 0.2237350000, 0.6437693000", \
"0.0279387000, 0.0294046000, 0.0339480000, 0.0479076000, 0.0907464000, 0.2240080000, 0.6434700000", \
"0.0260118000, 0.0274590000, 0.0319637000, 0.0459788000, 0.0892100000, 0.2228479000, 0.6422479000", \
"0.0242277000, 0.0258060000, 0.0302942000, 0.0441511000, 0.0873377000, 0.2211415000, 0.6410755000", \
"0.0243342000, 0.0257560000, 0.0301025000, 0.0438110000, 0.0863458000, 0.2202061000, 0.6402630000", \
"0.0261191000, 0.0275596000, 0.0318710000, 0.0456539000, 0.0882934000, 0.2214597000, 0.6413536000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0015736740, 0.0049528990, 0.0155885000, 0.0490624200, 0.1544165000, 0.4860024000");
values("0.0158408000, 0.0144765000, 0.0101983000, -0.003209200, -0.045686400, -0.180129400, -0.604075500", \
"0.0154802000, 0.0141286000, 0.0098717000, -0.003534400, -0.045982700, -0.180267700, -0.604316700", \
"0.0148215000, 0.0135017000, 0.0092917000, -0.003968600, -0.046356000, -0.180707600, -0.604631800", \
"0.0140056000, 0.0126897000, 0.0085362000, -0.004636100, -0.046764200, -0.180898900, -0.604796000", \
"0.0136527000, 0.0122647000, 0.0079513000, -0.005218000, -0.047274300, -0.181120900, -0.604845100", \
"0.0141283000, 0.0127622000, 0.0084260000, -0.005014300, -0.047714100, -0.181780900, -0.605243800", \
"0.0165838000, 0.0151371000, 0.0106709000, -0.002816800, -0.046128600, -0.181353200, -0.605424400");
}
related_pin : "B1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0015736740, 0.0049528990, 0.0155885000, 0.0490624200, 0.1544165000, 0.4860024000");
values("0.0313386000, 0.0326887000, 0.0370366000, 0.0505978000, 0.0930911000, 0.2263552000, 0.6460746000", \
"0.0309175000, 0.0323264000, 0.0367262000, 0.0503465000, 0.0928925000, 0.2261084000, 0.6461639000", \
"0.0303511000, 0.0317681000, 0.0362087000, 0.0499824000, 0.0926906000, 0.2259804000, 0.6460368000", \
"0.0298693000, 0.0312606000, 0.0356519000, 0.0494397000, 0.0923018000, 0.2259190000, 0.6459408000", \
"0.0295281000, 0.0309057000, 0.0352427000, 0.0489966000, 0.0918575000, 0.2255380000, 0.6454803000", \
"0.0285594000, 0.0298853000, 0.0341742000, 0.0487295000, 0.0916898000, 0.2256259000, 0.6457662000", \
"0.0299183000, 0.0313167000, 0.0355701000, 0.0488737000, 0.0909464000, 0.2252545000, 0.6462107000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0015736740, 0.0049528990, 0.0155885000, 0.0490624200, 0.1544165000, 0.4860024000");
values("0.0150452000, 0.0136709000, 0.0094356000, -0.003957700, -0.046450200, -0.180856400, -0.604811200", \
"0.0147177000, 0.0133903000, 0.0091332000, -0.004307200, -0.046783200, -0.181186000, -0.605104200", \
"0.0140548000, 0.0127375000, 0.0085348000, -0.004722100, -0.047038000, -0.181332400, -0.605422400", \
"0.0130434000, 0.0117356000, 0.0076309000, -0.005493600, -0.047527000, -0.181730600, -0.605445500", \
"0.0128806000, 0.0115293000, 0.0073093000, -0.006011800, -0.047820600, -0.181902400, -0.605587300", \
"0.0140102000, 0.0126141000, 0.0082278000, -0.005299900, -0.048137500, -0.182370600, -0.605906500", \
"0.0187442000, 0.0170692000, 0.0120752000, -0.002237100, -0.045554700, -0.180982100, -0.605757100");
}
related_pin : "B2";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0015736740, 0.0049528990, 0.0155885000, 0.0490624200, 0.1544165000, 0.4860024000");
values("0.0228355000, 0.0243359000, 0.0287702000, 0.0424017000, 0.0849519000, 0.2184694000, 0.6382604000", \
"0.0222474000, 0.0237784000, 0.0283532000, 0.0422669000, 0.0855244000, 0.2186442000, 0.6378898000", \
"0.0215000000, 0.0229714000, 0.0275470000, 0.0415935000, 0.0845789000, 0.2186618000, 0.6380940000", \
"0.0210461000, 0.0224266000, 0.0268946000, 0.0407394000, 0.0840373000, 0.2177359000, 0.6379566000", \
"0.0207133000, 0.0221130000, 0.0264601000, 0.0401275000, 0.0828832000, 0.2167568000, 0.6375683000", \
"0.0196615000, 0.0210310000, 0.0253937000, 0.0399261000, 0.0832684000, 0.2175232000, 0.6367775000", \
"0.0208380000, 0.0220720000, 0.0263705000, 0.0397873000, 0.0816559000, 0.2167033000, 0.6372003000");
}
}
max_capacitance : 0.1410010000;
max_transition : 1.4988600000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015736700, 0.0049529000, 0.0155885000, 0.0490624000, 0.1544160000, 0.4860020000");
values("0.2452457000, 0.2501045000, 0.2637092000, 0.2955096000, 0.3677333000, 0.5468135000, 1.0752774000", \
"0.2510949000, 0.2560762000, 0.2697063000, 0.3016324000, 0.3738198000, 0.5532275000, 1.0816258000", \
"0.2727472000, 0.2777167000, 0.2912326000, 0.3234538000, 0.3954801000, 0.5745709000, 1.1031124000", \
"0.3338679000, 0.3385363000, 0.3519557000, 0.3841432000, 0.4568983000, 0.6356158000, 1.1645727000", \
"0.4836332000, 0.4886635000, 0.5028455000, 0.5365189000, 0.6110244000, 0.7907214000, 1.3195587000", \
"0.7787013000, 0.7853908000, 0.8026429000, 0.8435351000, 0.9297029000, 1.1225518000, 1.6565888000", \
"1.2872762000, 1.2971257000, 1.3224809000, 1.3806930000, 1.4960783000, 1.7180963000, 2.2561641000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015736700, 0.0049529000, 0.0155885000, 0.0490624000, 0.1544160000, 0.4860020000");
values("0.1651093000, 0.1736216000, 0.1986024000, 0.2750996000, 0.5103474000, 1.2450883000, 3.5530554000", \
"0.1734345000, 0.1817069000, 0.2069163000, 0.2832947000, 0.5186746000, 1.2538263000, 3.5615404000", \
"0.1960272000, 0.2045833000, 0.2297267000, 0.3065997000, 0.5421987000, 1.2766969000, 3.5843271000", \
"0.2549963000, 0.2630314000, 0.2881698000, 0.3651850000, 0.6015010000, 1.3379651000, 3.6457937000", \
"0.3656833000, 0.3738774000, 0.3993369000, 0.4763679000, 0.7134575000, 1.4497250000, 3.7576799000", \
"0.5436848000, 0.5522394000, 0.5773337000, 0.6545114000, 0.8914754000, 1.6283267000, 3.9359325000", \
"0.7864695000, 0.7958924000, 0.8230604000, 0.8999446000, 1.1348649000, 1.8711388000, 4.1797437000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015736700, 0.0049529000, 0.0155885000, 0.0490624000, 0.1544160000, 0.4860020000");
values("0.0569260000, 0.0589343000, 0.0660526000, 0.0900740000, 0.1598812000, 0.3793046000, 1.0996809000", \
"0.0567592000, 0.0588417000, 0.0660814000, 0.0900808000, 0.1600350000, 0.3798565000, 1.1001784000", \
"0.0568462000, 0.0590426000, 0.0661211000, 0.0899142000, 0.1601472000, 0.3792915000, 1.1006728000", \
"0.0570564000, 0.0590500000, 0.0662690000, 0.0898809000, 0.1601014000, 0.3790623000, 1.0984447000", \
"0.0631163000, 0.0648580000, 0.0718157000, 0.0947213000, 0.1627810000, 0.3800127000, 1.0997931000", \
"0.0854237000, 0.0871021000, 0.0938415000, 0.1160055000, 0.1839339000, 0.3945533000, 1.1049847000", \
"0.1418672000, 0.1435876000, 0.1503871000, 0.1736675000, 0.2389231000, 0.4347351000, 1.1161763000");
}
related_pin : "A1_N";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015736700, 0.0049529000, 0.0155885000, 0.0490624000, 0.1544160000, 0.4860020000");
values("0.0958257000, 0.1062870000, 0.1388071000, 0.2399371000, 0.5543290000, 1.5415124000, 4.6361088000", \
"0.0958448000, 0.1063121000, 0.1388652000, 0.2397817000, 0.5551474000, 1.5412759000, 4.6336885000", \
"0.0958196000, 0.1062805000, 0.1387754000, 0.2400379000, 0.5543032000, 1.5413447000, 4.6369006000", \
"0.0955977000, 0.1060560000, 0.1386412000, 0.2397519000, 0.5544049000, 1.5416463000, 4.6401141000", \
"0.0963465000, 0.1068608000, 0.1393879000, 0.2405691000, 0.5551129000, 1.5398188000, 4.6380726000", \
"0.1008961000, 0.1108017000, 0.1421553000, 0.2419626000, 0.5564254000, 1.5411307000, 4.6360888000", \
"0.1254283000, 0.1348765000, 0.1627553000, 0.2527684000, 0.5586416000, 1.5440548000, 4.6379047000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015736700, 0.0049529000, 0.0155885000, 0.0490624000, 0.1544160000, 0.4860020000");
values("0.2116352000, 0.2165827000, 0.2300522000, 0.2622981000, 0.3343228000, 0.5128011000, 1.0414052000", \
"0.2146880000, 0.2196479000, 0.2331801000, 0.2657252000, 0.3378110000, 0.5166545000, 1.0449924000", \
"0.2313553000, 0.2363023000, 0.2497315000, 0.2819810000, 0.3548974000, 0.5339706000, 1.0624368000", \
"0.2917095000, 0.2965963000, 0.3099724000, 0.3421578000, 0.4144669000, 0.5937087000, 1.1224751000", \
"0.4540910000, 0.4594453000, 0.4734705000, 0.5070430000, 0.5822072000, 0.7631635000, 1.2921996000", \
"0.7477677000, 0.7549497000, 0.7736787000, 0.8146442000, 0.8970850000, 1.0856849000, 1.6232352000", \
"1.2398108000, 1.2503438000, 1.2774394000, 1.3374303000, 1.4476341000, 1.6546509000, 2.1914384000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015736700, 0.0049529000, 0.0155885000, 0.0490624000, 0.1544160000, 0.4860020000");
values("0.1582465000, 0.1663147000, 0.1924651000, 0.2695125000, 0.5053366000, 1.2397755000, 3.5470431000", \
"0.1662532000, 0.1745392000, 0.2004628000, 0.2782274000, 0.5140888000, 1.2486135000, 3.5554144000", \
"0.1883707000, 0.1964062000, 0.2225434000, 0.3002358000, 0.5373711000, 1.2720739000, 3.5797203000", \
"0.2407411000, 0.2488009000, 0.2745609000, 0.3525661000, 0.5906869000, 1.3274295000, 3.6348882000", \
"0.3343925000, 0.3425755000, 0.3682522000, 0.4460647000, 0.6845713000, 1.4222450000, 3.7319077000", \
"0.4911221000, 0.4994371000, 0.5248352000, 0.6013106000, 0.8376825000, 1.5759143000, 3.8856807000", \
"0.6903096000, 0.7005643000, 0.7301914000, 0.8117517000, 1.0453045000, 1.7812752000, 4.0926280000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015736700, 0.0049529000, 0.0155885000, 0.0490624000, 0.1544160000, 0.4860020000");
values("0.0568230000, 0.0587962000, 0.0661130000, 0.0898747000, 0.1599315000, 0.3791079000, 1.1006186000", \
"0.0567826000, 0.0588077000, 0.0661003000, 0.0898510000, 0.1599706000, 0.3790605000, 1.0997829000", \
"0.0567864000, 0.0588488000, 0.0660834000, 0.0897735000, 0.1600564000, 0.3794169000, 1.1005338000", \
"0.0569970000, 0.0590529000, 0.0662549000, 0.0899429000, 0.1600252000, 0.3793023000, 1.1004886000", \
"0.0649307000, 0.0667071000, 0.0734043000, 0.0963629000, 0.1644747000, 0.3807712000, 1.1000043000", \
"0.0991995000, 0.0999077000, 0.1036147000, 0.1204778000, 0.1818638000, 0.3953426000, 1.1085446000", \
"0.1650650000, 0.1656628000, 0.1695390000, 0.1846751000, 0.2353975000, 0.4202780000, 1.1145149000");
}
related_pin : "A2_N";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015736700, 0.0049529000, 0.0155885000, 0.0490624000, 0.1544160000, 0.4860020000");
values("0.0954632000, 0.1056750000, 0.1383412000, 0.2394188000, 0.5540637000, 1.5406506000, 4.6371880000", \
"0.0951574000, 0.1057966000, 0.1381570000, 0.2392286000, 0.5536471000, 1.5393435000, 4.6345527000", \
"0.0953452000, 0.1056428000, 0.1382464000, 0.2394167000, 0.5540635000, 1.5399289000, 4.6392903000", \
"0.0952251000, 0.1056325000, 0.1381683000, 0.2391018000, 0.5548140000, 1.5401213000, 4.6338737000", \
"0.0965165000, 0.1068119000, 0.1392144000, 0.2408355000, 0.5542076000, 1.5394240000, 4.6387409000", \
"0.1034068000, 0.1130820000, 0.1434588000, 0.2425384000, 0.5559301000, 1.5416631000, 4.6481921000", \
"0.1383215000, 0.1478878000, 0.1756964000, 0.2624775000, 0.5599131000, 1.5436626000, 4.6360102000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015736700, 0.0049529000, 0.0155885000, 0.0490624000, 0.1544160000, 0.4860020000");
values("0.0639990000, 0.0677754000, 0.0788825000, 0.1116853000, 0.2082936000, 0.5043956000, 1.4286441000", \
"0.0715526000, 0.0753301000, 0.0866436000, 0.1194467000, 0.2161346000, 0.5119660000, 1.4370358000", \
"0.0917093000, 0.0954808000, 0.1068022000, 0.1397507000, 0.2366189000, 0.5330241000, 1.4573619000", \
"0.1414803000, 0.1458701000, 0.1586036000, 0.1937264000, 0.2910776000, 0.5876144000, 1.5133746000", \
"0.2385867000, 0.2453982000, 0.2649079000, 0.3165189000, 0.4371353000, 0.7413318000, 1.6668453000", \
"0.3968676000, 0.4095289000, 0.4456119000, 0.5377296000, 0.7381402000, 1.1450400000, 2.1024644000", \
"0.5871787000, 0.6118477000, 0.6844875000, 0.8630011000, 1.2510274000, 1.9558855000, 3.2457277000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015736700, 0.0049529000, 0.0155885000, 0.0490624000, 0.1544160000, 0.4860020000");
values("0.1589427000, 0.1668703000, 0.1915639000, 0.2673363000, 0.5023311000, 1.2372324000, 3.5457215000", \
"0.1655035000, 0.1737004000, 0.1985092000, 0.2746492000, 0.5100425000, 1.2448808000, 3.5520251000", \
"0.1879198000, 0.1959452000, 0.2213412000, 0.2980501000, 0.5342387000, 1.2706005000, 3.5771484000", \
"0.2512451000, 0.2595602000, 0.2844524000, 0.3614184000, 0.5982347000, 1.3348097000, 3.6430052000", \
"0.3949600000, 0.4051759000, 0.4355848000, 0.5229673000, 0.7608132000, 1.4987460000, 3.8071777000", \
"0.6667713000, 0.6840093000, 0.7331813000, 0.8662486000, 1.1843788000, 1.9515181000, 4.2633657000", \
"1.1099522000, 1.1434351000, 1.2420608000, 1.4943693000, 2.0365967000, 3.1157767000, 5.5408336000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015736700, 0.0049529000, 0.0155885000, 0.0490624000, 0.1544160000, 0.4860020000");
values("0.0458779000, 0.0499612000, 0.0628636000, 0.1031731000, 0.2304286000, 0.6333571000, 1.8995960000", \
"0.0458183000, 0.0499218000, 0.0627164000, 0.1031076000, 0.2301658000, 0.6321788000, 1.8988833000", \
"0.0450141000, 0.0492309000, 0.0623212000, 0.1028605000, 0.2304103000, 0.6337379000, 1.8982043000", \
"0.0549675000, 0.0589250000, 0.0711181000, 0.1073602000, 0.2305644000, 0.6320685000, 1.8998410000", \
"0.0900243000, 0.0949484000, 0.1099229000, 0.1524166000, 0.2627756000, 0.6367455000, 1.8984943000", \
"0.1820201000, 0.1895351000, 0.2139453000, 0.2736849000, 0.4078543000, 0.7547376000, 1.9118938000", \
"0.3997340000, 0.4155148000, 0.4575814000, 0.5606268000, 0.7804150000, 1.1975174000, 2.2484770000");
}
related_pin : "B1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015736700, 0.0049529000, 0.0155885000, 0.0490624000, 0.1544160000, 0.4860020000");
values("0.0957152000, 0.1061478000, 0.1383213000, 0.2393992000, 0.5535463000, 1.5385094000, 4.6338084000", \
"0.0957196000, 0.1060573000, 0.1383743000, 0.2393361000, 0.5533958000, 1.5403818000, 4.6357838000", \
"0.0957378000, 0.1060304000, 0.1384669000, 0.2393455000, 0.5534955000, 1.5401596000, 4.6359503000", \
"0.0962681000, 0.1065064000, 0.1386007000, 0.2393794000, 0.5535014000, 1.5385209000, 4.6365214000", \
"0.1296315000, 0.1395439000, 0.1704269000, 0.2596735000, 0.5572854000, 1.5407114000, 4.6367568000", \
"0.2299913000, 0.2427731000, 0.2818946000, 0.3888090000, 0.6700205000, 1.5588373000, 4.6396083000", \
"0.5025270000, 0.5247189000, 0.5846039000, 0.7409698000, 1.0857046000, 1.9278570000, 4.6897011000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015736700, 0.0049529000, 0.0155885000, 0.0490624000, 0.1544160000, 0.4860020000");
values("0.0532475000, 0.0570174000, 0.0682112000, 0.1010934000, 0.1976453000, 0.4938444000, 1.4181833000", \
"0.0598263000, 0.0635281000, 0.0748393000, 0.1076742000, 0.2043200000, 0.5002280000, 1.4245284000", \
"0.0799970000, 0.0837320000, 0.0950936000, 0.1280388000, 0.2243035000, 0.5206084000, 1.4450352000", \
"0.1334782000, 0.1384172000, 0.1524671000, 0.1879847000, 0.2825825000, 0.5791370000, 1.5035758000", \
"0.2212243000, 0.2296774000, 0.2537077000, 0.3154029000, 0.4513736000, 0.7506968000, 1.6753270000", \
"0.3458638000, 0.3611416000, 0.4045479000, 0.5147639000, 0.7536390000, 1.2095427000, 2.1581827000", \
"0.4589662000, 0.4857558000, 0.5632341000, 0.7670360000, 1.2080529000, 2.0315367000, 3.4672609000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015736700, 0.0049529000, 0.0155885000, 0.0490624000, 0.1544160000, 0.4860020000");
values("0.1290109000, 0.1378367000, 0.1649783000, 0.2459804000, 0.5030694000, 1.2879311000, 3.7860106000", \
"0.1342755000, 0.1435310000, 0.1711263000, 0.2537527000, 0.5098597000, 1.2974898000, 3.7794310000", \
"0.1556473000, 0.1646368000, 0.1922115000, 0.2762162000, 0.5308453000, 1.3306333000, 3.8152548000", \
"0.2202079000, 0.2291422000, 0.2558811000, 0.3388499000, 0.5980519000, 1.3880139000, 3.8754142000", \
"0.3557998000, 0.3682104000, 0.4042385000, 0.5013547000, 0.7594635000, 1.5537921000, 4.0490833000", \
"0.6015170000, 0.6247066000, 0.6891257000, 0.8463731000, 1.1982736000, 2.0174931000, 4.5171635000", \
"0.9910609000, 1.0424051000, 1.1705265000, 1.4808024000, 2.0902389000, 3.2442486000, 5.8232890000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015736700, 0.0049529000, 0.0155885000, 0.0490624000, 0.1544160000, 0.4860020000");
values("0.0461256000, 0.0501749000, 0.0629410000, 0.1031494000, 0.2301016000, 0.6322268000, 1.8982107000", \
"0.0458896000, 0.0500045000, 0.0628038000, 0.1032287000, 0.2305228000, 0.6332717000, 1.8982504000", \
"0.0446967000, 0.0486979000, 0.0616752000, 0.1026952000, 0.2303137000, 0.6316914000, 1.8985318000", \
"0.0619393000, 0.0662001000, 0.0783245000, 0.1113043000, 0.2309810000, 0.6331616000, 1.8980626000", \
"0.1103219000, 0.1169306000, 0.1353927000, 0.1800935000, 0.2857758000, 0.6380145000, 1.8981567000", \
"0.2166521000, 0.2287194000, 0.2620246000, 0.3377420000, 0.4964611000, 0.8202187000, 1.9114335000", \
"0.4401887000, 0.4647232000, 0.5276052000, 0.6792753000, 0.9491354000, 1.4367013000, 2.4147861000");
}
related_pin : "B2";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015736700, 0.0049529000, 0.0155885000, 0.0490624000, 0.1544160000, 0.4860020000");
values("0.0739571000, 0.0850479000, 0.1196529000, 0.2277941000, 0.5683939000, 1.6276908000, 4.9616795000", \
"0.0741857000, 0.0851493000, 0.1195372000, 0.2280463000, 0.5723099000, 1.6284351000, 4.9578834000", \
"0.0743707000, 0.0851978000, 0.1198103000, 0.2275127000, 0.5657681000, 1.6299991000, 4.9568536000", \
"0.0766041000, 0.0868626000, 0.1205725000, 0.2278820000, 0.5666743000, 1.6258583000, 4.9505871000", \
"0.1124950000, 0.1237918000, 0.1568800000, 0.2514655000, 0.5682889000, 1.6241718000, 4.9565032000", \
"0.2177406000, 0.2322609000, 0.2738584000, 0.3859020000, 0.6856490000, 1.6420909000, 4.9549054000", \
"0.5016036000, 0.5235906000, 0.5878916000, 0.7435672000, 1.1038501000, 2.0068978000, 4.9960946000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
}
}
/* removed sky130_fd_sc_hd__a311o_1 */
/* removed sky130_fd_sc_hd__a311o_2 */
/* removed sky130_fd_sc_hd__a311o_4 */
/* removed sky130_fd_sc_hd__a311oi_1 */
/* removed sky130_fd_sc_hd__a311oi_2 */
/* removed sky130_fd_sc_hd__a311oi_4 */
/* removed sky130_fd_sc_hd__a31o_1 */
/* removed sky130_fd_sc_hd__a31o_2 */
/* removed sky130_fd_sc_hd__a31o_4 */
/* removed sky130_fd_sc_hd__a31oi_1 */
/* removed sky130_fd_sc_hd__a31oi_2 */
/* removed sky130_fd_sc_hd__a31oi_4 */
/* removed sky130_fd_sc_hd__a32o_1 */
/* removed sky130_fd_sc_hd__a32o_2 */
cell ("sky130_fd_sc_hd__a32o_4") {
leakage_power () {
value : 8.0570866000;
when : "!A1&!A2&!A3&!B1&B2";
}
leakage_power () {
value : 6.7192558000;
when : "!A1&!A2&!A3&!B1&!B2";
}
leakage_power () {
value : 9.1706225000;
when : "!A1&!A2&!A3&B1&B2";
}
leakage_power () {
value : 7.7470146000;
when : "!A1&!A2&!A3&B1&!B2";
}
leakage_power () {
value : 8.1082741000;
when : "!A1&!A2&A3&!B1&B2";
}
leakage_power () {
value : 6.7703931000;
when : "!A1&!A2&A3&!B1&!B2";
}
leakage_power () {
value : 9.1703845000;
when : "!A1&!A2&A3&B1&B2";
}
leakage_power () {
value : 7.7981976000;
when : "!A1&!A2&A3&B1&!B2";
}
leakage_power () {
value : 8.1151850000;
when : "!A1&A2&!A3&!B1&B2";
}
leakage_power () {
value : 6.7773152000;
when : "!A1&A2&!A3&!B1&!B2";
}
leakage_power () {
value : 9.1704271000;
when : "!A1&A2&!A3&B1&B2";
}
leakage_power () {
value : 7.8051094000;
when : "!A1&A2&!A3&B1&!B2";
}
leakage_power () {
value : 9.4404298000;
when : "!A1&A2&A3&!B1&B2";
}
leakage_power () {
value : 8.1023357000;
when : "!A1&A2&A3&!B1&!B2";
}
leakage_power () {
value : 9.1697441000;
when : "!A1&A2&A3&B1&B2";
}
leakage_power () {
value : 9.1303773000;
when : "!A1&A2&A3&B1&!B2";
}
leakage_power () {
value : 8.1128162000;
when : "A1&!A2&!A3&!B1&B2";
}
leakage_power () {
value : 6.7749202000;
when : "A1&!A2&!A3&!B1&!B2";
}
leakage_power () {
value : 9.1703685000;
when : "A1&!A2&!A3&B1&B2";
}
leakage_power () {
value : 7.8027167000;
when : "A1&!A2&!A3&B1&!B2";
}
leakage_power () {
value : 9.1315222000;
when : "A1&!A2&A3&!B1&B2";
}
leakage_power () {
value : 7.7934015000;
when : "A1&!A2&A3&!B1&!B2";
}
leakage_power () {
value : 9.1696970000;
when : "A1&!A2&A3&B1&B2";
}
leakage_power () {
value : 8.8213943000;
when : "A1&!A2&A3&B1&!B2";
}
leakage_power () {
value : 9.1375103000;
when : "A1&A2&!A3&!B1&B2";
}
leakage_power () {
value : 7.7993931000;
when : "A1&A2&!A3&!B1&!B2";
}
leakage_power () {
value : 9.1696952000;
when : "A1&A2&!A3&B1&B2";
}
leakage_power () {
value : 8.8273824000;
when : "A1&A2&!A3&B1&!B2";
}
leakage_power () {
value : 9.1800922000;
when : "A1&A2&A3&!B1&B2";
}
leakage_power () {
value : 9.5943893000;
when : "A1&A2&A3&!B1&!B2";
}
leakage_power () {
value : 4.0155226000;
when : "A1&A2&A3&B1&B2";
}
leakage_power () {
value : 9.4241006000;
when : "A1&A2&A3&B1&!B2";
}
area : 21.270400000;
cell_footprint : "sky130_fd_sc_hd__a32o";
cell_leakage_power : 8.2867840000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A1") {
capacitance : 0.0043540000;
clock : "false";
direction : "input";
fall_capacitance : 0.0042260000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0075041000, 0.0074688000, 0.0074298000, 0.0073980000, 0.0073690000, 0.0073475000, 0.0073473000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.005479800, -0.005525600, -0.005594600, -0.005608500, -0.005587800, -0.005470200, -0.005078800");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0044820000;
}
pin ("A2") {
capacitance : 0.0043550000;
clock : "false";
direction : "input";
fall_capacitance : 0.0042000000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0066078000, 0.0065696000, 0.0065228000, 0.0065010000, 0.0065004000, 0.0065597000, 0.0067875000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.006549500, -0.006584700, -0.006623900, -0.006656200, -0.006687300, -0.006715500, -0.006735200");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0045100000;
}
pin ("A3") {
capacitance : 0.0045030000;
clock : "false";
direction : "input";
fall_capacitance : 0.0042870000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0068103000, 0.0067760000, 0.0067402000, 0.0067080000, 0.0066780000, 0.0066546000, 0.0066497000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.006814200, -0.006843800, -0.006867300, -0.006900100, -0.006932700, -0.006964900, -0.006995700");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0047200000;
}
pin ("B1") {
capacitance : 0.0044210000;
clock : "false";
direction : "input";
fall_capacitance : 0.0042030000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0075308000, 0.0074943000, 0.0074499000, 0.0074187000, 0.0073897000, 0.0073670000, 0.0073620000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.005500400, -0.005543600, -0.005608100, -0.005621200, -0.005600300, -0.005484400, -0.005100700");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0046390000;
}
pin ("B2") {
capacitance : 0.0043480000;
clock : "false";
direction : "input";
fall_capacitance : 0.0040760000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0064582000, 0.0064202000, 0.0063734000, 0.0063404000, 0.0063078000, 0.0062765000, 0.0062489000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.006440000, -0.006468100, -0.006490100, -0.006521800, -0.006553800, -0.006586200, -0.006620200");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0046200000;
}
pin ("X") {
direction : "output";
function : "(A1&A2&A3) | (B1&B2)";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018171330, 0.0066039470, 0.0240005100, 0.0872242300, 0.3169961000, 1.1520490000");
values("0.0276702000, 0.0261878000, 0.0213471000, 0.0024704000, -0.075114400, -0.368137500, -1.436650400", \
"0.0277672000, 0.0262939000, 0.0214174000, 0.0025579000, -0.075049700, -0.368044100, -1.436591700", \
"0.0276289000, 0.0261761000, 0.0213114000, 0.0024345000, -0.075123500, -0.368135200, -1.436663400", \
"0.0272121000, 0.0257567000, 0.0208961000, 0.0020343000, -0.075544300, -0.368523100, -1.437050300", \
"0.0266769000, 0.0251957000, 0.0202724000, 0.0013527000, -0.076274600, -0.369126600, -1.437603200", \
"0.0281863000, 0.0265412000, 0.0205161000, 0.0002784000, -0.076848700, -0.369535900, -1.437876600", \
"0.0324373000, 0.0307219000, 0.0245530000, 0.0026362000, -0.077398200, -0.370145500, -1.438015000");
}
related_pin : "A1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018171330, 0.0066039470, 0.0240005100, 0.0872242300, 0.3169961000, 1.1520490000");
values("0.0305186000, 0.0323229000, 0.0389259000, 0.0625047000, 0.1435456000, 0.4348356000, 1.4953541000", \
"0.0304253000, 0.0321892000, 0.0388161000, 0.0622667000, 0.1435121000, 0.4350789000, 1.4943711000", \
"0.0302447000, 0.0319869000, 0.0386118000, 0.0620761000, 0.1432181000, 0.4349383000, 1.4984855000", \
"0.0297673000, 0.0315389000, 0.0381604000, 0.0616446000, 0.1428011000, 0.4345687000, 1.4981895000", \
"0.0294601000, 0.0311954000, 0.0377669000, 0.0612359000, 0.1422515000, 0.4337400000, 1.4935369000", \
"0.0308279000, 0.0324971000, 0.0386586000, 0.0608126000, 0.1416748000, 0.4325722000, 1.4929661000", \
"0.0331325000, 0.0347173000, 0.0407335000, 0.0630049000, 0.1438224000, 0.4353803000, 1.4910530000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018171330, 0.0066039470, 0.0240005100, 0.0872242300, 0.3169961000, 1.1520490000");
values("0.0330048000, 0.0315360000, 0.0266280000, 0.0078299000, -0.069725600, -0.362502200, -1.430819100", \
"0.0329913000, 0.0314833000, 0.0266446000, 0.0077946000, -0.069818300, -0.362563700, -1.430879800", \
"0.0329722000, 0.0314261000, 0.0265665000, 0.0076069000, -0.069888900, -0.362670700, -1.431061000", \
"0.0325544000, 0.0310367000, 0.0261785000, 0.0073094000, -0.070214800, -0.362971700, -1.431331900", \
"0.0320858000, 0.0305792000, 0.0256612000, 0.0067089000, -0.070826500, -0.363498700, -1.431773900", \
"0.0336801000, 0.0320001000, 0.0260160000, 0.0056153000, -0.071460900, -0.363953300, -1.432064400", \
"0.0379798000, 0.0362661000, 0.0309100000, 0.0086680000, -0.071969800, -0.364739000, -1.432328000");
}
related_pin : "A2";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018171330, 0.0066039470, 0.0240005100, 0.0872242300, 0.3169961000, 1.1520490000");
values("0.0315062000, 0.0333371000, 0.0399416000, 0.0634454000, 0.1444322000, 0.4379235000, 1.4983286000", \
"0.0313886000, 0.0331558000, 0.0397777000, 0.0633843000, 0.1444499000, 0.4357198000, 1.4951977000", \
"0.0311851000, 0.0329385000, 0.0395722000, 0.0631808000, 0.1442617000, 0.4355369000, 1.4950483000", \
"0.0308514000, 0.0326044000, 0.0392233000, 0.0628423000, 0.1439278000, 0.4352362000, 1.4947363000", \
"0.0307659000, 0.0324839000, 0.0390551000, 0.0625096000, 0.1435604000, 0.4350985000, 1.4943398000", \
"0.0319214000, 0.0336285000, 0.0397850000, 0.0620261000, 0.1432009000, 0.4360486000, 1.4980968000", \
"0.0337411000, 0.0352777000, 0.0414125000, 0.0638308000, 0.1449599000, 0.4367392000, 1.4971399000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018171330, 0.0066039470, 0.0240005100, 0.0872242300, 0.3169961000, 1.1520490000");
values("0.0366607000, 0.0351974000, 0.0301880000, 0.0112435000, -0.066453200, -0.359322600, -1.427584700", \
"0.0365235000, 0.0350278000, 0.0300924000, 0.0111023000, -0.066591100, -0.359442600, -1.427685000", \
"0.0361837000, 0.0347167000, 0.0299453000, 0.0109573000, -0.066733300, -0.359566600, -1.427841000", \
"0.0360037000, 0.0346719000, 0.0297175000, 0.0107355000, -0.066958200, -0.359735700, -1.427972200", \
"0.0357149000, 0.0342032000, 0.0292843000, 0.0103160000, -0.067349000, -0.360045800, -1.428256000", \
"0.0374030000, 0.0358867000, 0.0297762000, 0.0096646000, -0.067610400, -0.360146800, -1.428269800", \
"0.0424903000, 0.0407867000, 0.0345841000, 0.0125386000, -0.067613300, -0.360497100, -1.428099000");
}
related_pin : "A3";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018171330, 0.0066039470, 0.0240005100, 0.0872242300, 0.3169961000, 1.1520490000");
values("0.0316356000, 0.0334505000, 0.0399424000, 0.0635009000, 0.1445221000, 0.4354089000, 1.4989977000", \
"0.0314832000, 0.0332309000, 0.0398653000, 0.0634256000, 0.1444249000, 0.4376316000, 1.4923057000", \
"0.0312909000, 0.0330326000, 0.0396589000, 0.0632458000, 0.1442730000, 0.4354232000, 1.4986029000", \
"0.0309787000, 0.0327368000, 0.0393299000, 0.0629267000, 0.1439139000, 0.4349826000, 1.4944773000", \
"0.0306103000, 0.0323836000, 0.0389803000, 0.0625188000, 0.1434787000, 0.4370247000, 1.4974147000", \
"0.0321313000, 0.0338300000, 0.0400594000, 0.0624542000, 0.1433753000, 0.4362855000, 1.4929687000", \
"0.0335985000, 0.0353256000, 0.0413254000, 0.0639872000, 0.1452697000, 0.4363464000, 1.4971850000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018171330, 0.0066039470, 0.0240005100, 0.0872242300, 0.3169961000, 1.1520490000");
values("0.0266647000, 0.0251675000, 0.0202972000, 0.0013207000, -0.076347400, -0.369043700, -1.437332900", \
"0.0268238000, 0.0251361000, 0.0202802000, 0.0012995000, -0.076301600, -0.369052500, -1.437345000", \
"0.0264615000, 0.0249884000, 0.0200193000, 0.0011364000, -0.076458200, -0.369215400, -1.437502800", \
"0.0260360000, 0.0245534000, 0.0195934000, 0.0006804000, -0.076926200, -0.369665500, -1.437929400", \
"0.0256320000, 0.0241129000, 0.0191208000, 0.0001297000, -0.077586700, -0.370148800, -1.438366400", \
"0.0275971000, 0.0258986000, 0.0197993000, -0.000537400, -0.077980900, -0.370520500, -1.438568900", \
"0.0337469000, 0.0318720000, 0.0255561000, 0.0032694000, -0.077047700, -0.369895200, -1.437518600");
}
related_pin : "B1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018171330, 0.0066039470, 0.0240005100, 0.0872242300, 0.3169961000, 1.1520490000");
values("0.0246756000, 0.0265333000, 0.0333038000, 0.0568930000, 0.1377335000, 0.4314092000, 1.4892767000", \
"0.0246724000, 0.0265648000, 0.0332792000, 0.0568864000, 0.1377350000, 0.4291941000, 1.4905460000", \
"0.0246104000, 0.0264652000, 0.0332413000, 0.0567262000, 0.1376940000, 0.4314000000, 1.4854300000", \
"0.0241758000, 0.0260187000, 0.0327407000, 0.0560717000, 0.1370963000, 0.4285244000, 1.4831852000", \
"0.0234958000, 0.0253269000, 0.0318851000, 0.0550265000, 0.1359489000, 0.4255644000, 1.4847285000", \
"0.0244806000, 0.0261717000, 0.0323949000, 0.0549551000, 0.1354036000, 0.4261064000, 1.4820526000", \
"0.0263313000, 0.0278837000, 0.0340213000, 0.0561196000, 0.1371533000, 0.4275211000, 1.4839945000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018171330, 0.0066039470, 0.0240005100, 0.0872242300, 0.3169961000, 1.1520490000");
values("0.0320102000, 0.0304835000, 0.0255832000, 0.0066166000, -0.070915300, -0.363457900, -1.431604000", \
"0.0317699000, 0.0302908000, 0.0253874000, 0.0064708000, -0.071084400, -0.363609500, -1.431679800", \
"0.0315907000, 0.0300942000, 0.0251708000, 0.0062746000, -0.071256800, -0.363782600, -1.431849700", \
"0.0314257000, 0.0299600000, 0.0250196000, 0.0060731000, -0.071510500, -0.363978500, -1.432072400", \
"0.0311917000, 0.0296833000, 0.0247426000, 0.0057607000, -0.071841500, -0.364267200, -1.432243400", \
"0.0332822000, 0.0315935000, 0.0254141000, 0.0051268000, -0.072222100, -0.364475400, -1.432294600", \
"0.0404625000, 0.0386821000, 0.0323863000, 0.0100359000, -0.070373100, -0.363269600, -1.430653000");
}
related_pin : "B2";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018171330, 0.0066039470, 0.0240005100, 0.0872242300, 0.3169961000, 1.1520490000");
values("0.0256069000, 0.0274804000, 0.0342433000, 0.0577441000, 0.1386841000, 0.4323322000, 1.4863430000", \
"0.0256521000, 0.0274982000, 0.0342884000, 0.0577780000, 0.1387443000, 0.4302089000, 1.4951275000", \
"0.0255851000, 0.0274614000, 0.0341864000, 0.0577945000, 0.1386527000, 0.4297724000, 1.4862136000", \
"0.0251233000, 0.0270097000, 0.0337468000, 0.0572583000, 0.1381766000, 0.4273917000, 1.4866149000", \
"0.0246446000, 0.0265142000, 0.0331000000, 0.0563590000, 0.1372509000, 0.4286226000, 1.4854272000", \
"0.0260656000, 0.0277866000, 0.0340195000, 0.0565534000, 0.1369835000, 0.4275025000, 1.4929758000", \
"0.0272860000, 0.0290557000, 0.0352647000, 0.0573900000, 0.1387586000, 0.4306311000, 1.4854416000");
}
}
max_capacitance : 0.3387550000;
max_transition : 1.5032630000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018171300, 0.0066039500, 0.0240005000, 0.0872242000, 0.3169960000, 1.1520500000");
values("0.4307224000, 0.4400664000, 0.4658031000, 0.5274169000, 0.6666671000, 1.0395398000, 2.3056950000", \
"0.4398494000, 0.4491969000, 0.4753631000, 0.5366134000, 0.6760371000, 1.0490433000, 2.3181892000", \
"0.4640117000, 0.4733526000, 0.4991009000, 0.5607305000, 0.7000628000, 1.0729909000, 2.3395978000", \
"0.5303863000, 0.5397154000, 0.5654652000, 0.6269698000, 0.7662830000, 1.1389688000, 2.4039493000", \
"0.7013307000, 0.7107018000, 0.7368741000, 0.7981590000, 0.9375229000, 1.3107928000, 2.5801181000", \
"1.1116172000, 1.1225127000, 1.1521444000, 1.2199304000, 1.3684213000, 1.7469075000, 3.0152099000", \
"1.9033188000, 1.9185045000, 1.9590736000, 2.0483213000, 2.2291637000, 2.6392895000, 3.9122825000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018171300, 0.0066039500, 0.0240005000, 0.0872242000, 0.3169960000, 1.1520500000");
values("0.3035407000, 0.3173271000, 0.3541666000, 0.4413877000, 0.6622026000, 1.3737960000, 3.9355603000", \
"0.3091392000, 0.3229213000, 0.3598831000, 0.4469100000, 0.6678106000, 1.3796903000, 3.9348138000", \
"0.3274545000, 0.3412200000, 0.3782244000, 0.4652655000, 0.6863182000, 1.3982423000, 3.9544075000", \
"0.3815371000, 0.3953374000, 0.4322137000, 0.5193120000, 0.7403794000, 1.4524139000, 4.0088728000", \
"0.5439418000, 0.5576136000, 0.5944742000, 0.6813212000, 0.9019266000, 1.6127815000, 4.1727031000", \
"0.8668312000, 0.8847923000, 0.9304630000, 1.0267566000, 1.2541388000, 1.9681285000, 4.5239776000", \
"1.3562497000, 1.3828756000, 1.4537550000, 1.5874383000, 1.8405080000, 2.5573672000, 5.1174327000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018171300, 0.0066039500, 0.0240005000, 0.0872242000, 0.3169960000, 1.1520500000");
values("0.0531939000, 0.0588659000, 0.0748311000, 0.1192706000, 0.2491166000, 0.7020965000, 2.4350231000", \
"0.0529436000, 0.0586928000, 0.0743757000, 0.1207700000, 0.2491561000, 0.7020622000, 2.4306417000", \
"0.0530319000, 0.0588632000, 0.0749121000, 0.1192988000, 0.2489206000, 0.7021415000, 2.4352975000", \
"0.0527840000, 0.0585502000, 0.0745099000, 0.1206437000, 0.2495105000, 0.7014375000, 2.4300562000", \
"0.0526801000, 0.0590388000, 0.0753808000, 0.1192434000, 0.2487290000, 0.7004057000, 2.4296696000", \
"0.0648050000, 0.0703842000, 0.0873743000, 0.1332944000, 0.2619208000, 0.7064927000, 2.4372435000", \
"0.1023498000, 0.1097699000, 0.1294851000, 0.1810573000, 0.3136110000, 0.7380097000, 2.4353262000");
}
related_pin : "A1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018171300, 0.0066039500, 0.0240005000, 0.0872242000, 0.3169960000, 1.1520500000");
values("0.0672538000, 0.0762464000, 0.1009124000, 0.1726862000, 0.4259081000, 1.4020574000, 5.0005333000", \
"0.0671776000, 0.0760265000, 0.1010896000, 0.1723647000, 0.4256096000, 1.4040428000, 4.9974216000", \
"0.0679265000, 0.0760168000, 0.1012243000, 0.1723363000, 0.4259308000, 1.4044433000, 4.9956648000", \
"0.0671506000, 0.0757285000, 0.1010024000, 0.1722950000, 0.4258928000, 1.4044484000, 4.9952100000", \
"0.0682708000, 0.0769820000, 0.1015020000, 0.1728802000, 0.4256391000, 1.4015674000, 5.0022937000", \
"0.0965234000, 0.1044838000, 0.1273420000, 0.1903836000, 0.4344458000, 1.4044074000, 5.0001945000", \
"0.1553028000, 0.1706470000, 0.2002921000, 0.2551784000, 0.4641624000, 1.4118215000, 4.9972280000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018171300, 0.0066039500, 0.0240005000, 0.0872242000, 0.3169960000, 1.1520500000");
values("0.4635184000, 0.4728744000, 0.4990321000, 0.5597750000, 0.6990434000, 1.0724777000, 2.3416282000", \
"0.4712944000, 0.4806461000, 0.5064802000, 0.5680231000, 0.7072937000, 1.0806648000, 2.3496851000", \
"0.4946873000, 0.5039880000, 0.5300628000, 0.5909720000, 0.7306654000, 1.1040665000, 2.3757871000", \
"0.5591502000, 0.5685277000, 0.5946273000, 0.6555404000, 0.7947444000, 1.1679337000, 2.4351228000", \
"0.7208699000, 0.7302317000, 0.7562735000, 0.8175088000, 0.9565885000, 1.3300846000, 2.5990985000", \
"1.1070480000, 1.1176332000, 1.1468680000, 1.2138568000, 1.3614866000, 1.7401521000, 3.0071570000", \
"1.8394448000, 1.8544053000, 1.8923392000, 1.9784561000, 2.1558666000, 2.5624622000, 3.8378061000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018171300, 0.0066039500, 0.0240005000, 0.0872242000, 0.3169960000, 1.1520500000");
values("0.3272255000, 0.3409774000, 0.3779613000, 0.4651456000, 0.6859943000, 1.3985458000, 3.9619223000", \
"0.3338123000, 0.3476107000, 0.3845101000, 0.4717885000, 0.6924701000, 1.4032197000, 3.9609787000", \
"0.3536795000, 0.3675177000, 0.4043631000, 0.4916533000, 0.7123823000, 1.4231302000, 3.9811989000", \
"0.4066559000, 0.4204996000, 0.4573111000, 0.5445958000, 0.7653416000, 1.4761278000, 4.0344239000", \
"0.5545188000, 0.5682003000, 0.6050908000, 0.6920520000, 0.9126538000, 1.6243202000, 4.1796984000", \
"0.8738454000, 0.8903444000, 0.9345300000, 1.0310949000, 1.2591993000, 1.9741002000, 4.5385100000", \
"1.4106183000, 1.4348099000, 1.4994184000, 1.6262528000, 1.8791246000, 2.5982978000, 5.1548481000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018171300, 0.0066039500, 0.0240005000, 0.0872242000, 0.3169960000, 1.1520500000");
values("0.0534743000, 0.0592132000, 0.0746926000, 0.1200501000, 0.2495494000, 0.7029262000, 2.4358364000", \
"0.0531182000, 0.0585482000, 0.0753096000, 0.1206025000, 0.2491365000, 0.7017115000, 2.4385480000", \
"0.0533082000, 0.0585525000, 0.0750347000, 0.1205493000, 0.2493162000, 0.7020451000, 2.4325955000", \
"0.0537355000, 0.0590341000, 0.0748300000, 0.1199084000, 0.2495628000, 0.7025494000, 2.4390623000", \
"0.0530980000, 0.0585485000, 0.0748529000, 0.1203808000, 0.2493811000, 0.7031730000, 2.4377267000", \
"0.0643515000, 0.0692648000, 0.0863648000, 0.1336564000, 0.2597853000, 0.7072160000, 2.4385667000", \
"0.0986351000, 0.1062421000, 0.1257871000, 0.1753043000, 0.3071177000, 0.7360620000, 2.4349134000");
}
related_pin : "A2";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018171300, 0.0066039500, 0.0240005000, 0.0872242000, 0.3169960000, 1.1520500000");
values("0.0670618000, 0.0763558000, 0.1004091000, 0.1728142000, 0.4253110000, 1.4048125000, 5.0001527000", \
"0.0672116000, 0.0762776000, 0.1008313000, 0.1725329000, 0.4253965000, 1.4026751000, 5.0013940000", \
"0.0672043000, 0.0758570000, 0.1007779000, 0.1725249000, 0.4254253000, 1.4026285000, 5.0015334000", \
"0.0671688000, 0.0757611000, 0.1006101000, 0.1725365000, 0.4254632000, 1.4024848000, 5.0016444000", \
"0.0691133000, 0.0771966000, 0.1018679000, 0.1729246000, 0.4258066000, 1.4037165000, 4.9991713000", \
"0.0867770000, 0.0968092000, 0.1200745000, 0.1888191000, 0.4333318000, 1.4037934000, 5.0021840000", \
"0.1365227000, 0.1504533000, 0.1792373000, 0.2401803000, 0.4625508000, 1.4092926000, 4.9978137000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018171300, 0.0066039500, 0.0240005000, 0.0872242000, 0.3169960000, 1.1520500000");
values("0.4836836000, 0.4931365000, 0.5192756000, 0.5808730000, 0.7201087000, 1.0946287000, 2.3684892000", \
"0.4909234000, 0.5003965000, 0.5267250000, 0.5882047000, 0.7274823000, 1.1019465000, 2.3760756000", \
"0.5144463000, 0.5238477000, 0.5493302000, 0.6110509000, 0.7505120000, 1.1248866000, 2.3952640000", \
"0.5794620000, 0.5883681000, 0.6145102000, 0.6761534000, 0.8158558000, 1.1904521000, 2.4630855000", \
"0.7394602000, 0.7488877000, 0.7750514000, 0.8364724000, 0.9758698000, 1.3506573000, 2.6223399000", \
"1.1216651000, 1.1328298000, 1.1616181000, 1.2282843000, 1.3744155000, 1.7536989000, 3.0256655000", \
"1.8583345000, 1.8722985000, 1.9097057000, 1.9941624000, 2.1666089000, 2.5710505000, 3.8482404000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018171300, 0.0066039500, 0.0240005000, 0.0872242000, 0.3169960000, 1.1520500000");
values("0.3360168000, 0.3496310000, 0.3864531000, 0.4736853000, 0.6942844000, 1.4069788000, 3.9673824000", \
"0.3433784000, 0.3571317000, 0.3940813000, 0.4812405000, 0.7018261000, 1.4120546000, 3.9696904000", \
"0.3630019000, 0.3767634000, 0.4137067000, 0.5008070000, 0.7214088000, 1.4325466000, 3.9876276000", \
"0.4103794000, 0.4240397000, 0.4608877000, 0.5480822000, 0.7686989000, 1.4787405000, 4.0378016000", \
"0.5321044000, 0.5459566000, 0.5832343000, 0.6704493000, 0.8913897000, 1.6038184000, 4.1674908000", \
"0.7970609000, 0.8130454000, 0.8556632000, 0.9514726000, 1.1804190000, 1.8955963000, 4.4589656000", \
"1.2840716000, 1.3064252000, 1.3639962000, 1.4833490000, 1.7339729000, 2.4543265000, 5.0105116000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018171300, 0.0066039500, 0.0240005000, 0.0872242000, 0.3169960000, 1.1520500000");
values("0.0543652000, 0.0595954000, 0.0761283000, 0.1214264000, 0.2504941000, 0.7046471000, 2.4338671000", \
"0.0539125000, 0.0603777000, 0.0766781000, 0.1214826000, 0.2505242000, 0.7037044000, 2.4348463000", \
"0.0541432000, 0.0599676000, 0.0765226000, 0.1213968000, 0.2504603000, 0.7052446000, 2.4406228000", \
"0.0539171000, 0.0599393000, 0.0761244000, 0.1214508000, 0.2506861000, 0.7051605000, 2.4369540000", \
"0.0538968000, 0.0593429000, 0.0756255000, 0.1212116000, 0.2503666000, 0.7053098000, 2.4419375000", \
"0.0632157000, 0.0693633000, 0.0857725000, 0.1319290000, 0.2598142000, 0.7087462000, 2.4426936000", \
"0.0936159000, 0.0992642000, 0.1191307000, 0.1685854000, 0.3010368000, 0.7345556000, 2.4379381000");
}
related_pin : "A3";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018171300, 0.0066039500, 0.0240005000, 0.0872242000, 0.3169960000, 1.1520500000");
values("0.0671169000, 0.0763643000, 0.1001157000, 0.1729750000, 0.4252905000, 1.4048995000, 4.9973417000", \
"0.0678880000, 0.0761086000, 0.1011642000, 0.1724488000, 0.4256809000, 1.4025634000, 4.9986499000", \
"0.0678731000, 0.0760973000, 0.1011444000, 0.1723523000, 0.4259521000, 1.4042426000, 4.9965609000", \
"0.0671301000, 0.0759145000, 0.1008431000, 0.1726221000, 0.4258105000, 1.4017005000, 5.0021787000", \
"0.0687489000, 0.0771670000, 0.1011286000, 0.1729236000, 0.4256066000, 1.4057236000, 5.0010427000", \
"0.0820378000, 0.0910875000, 0.1155751000, 0.1873982000, 0.4339074000, 1.4041036000, 4.9970676000", \
"0.1187896000, 0.1313042000, 0.1598975000, 0.2282146000, 0.4605764000, 1.4078581000, 4.9970277000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018171300, 0.0066039500, 0.0240005000, 0.0872242000, 0.3169960000, 1.1520500000");
values("0.3971549000, 0.4065236000, 0.4325975000, 0.4945205000, 0.6341745000, 1.0080502000, 2.2775133000", \
"0.4040125000, 0.4135404000, 0.4396333000, 0.5016231000, 0.6411078000, 1.0149526000, 2.2842412000", \
"0.4236054000, 0.4330498000, 0.4593969000, 0.5205743000, 0.6602621000, 1.0345113000, 2.3039450000", \
"0.4824747000, 0.4919544000, 0.5183481000, 0.5795981000, 0.7194101000, 1.0938068000, 2.3635807000", \
"0.6615267000, 0.6714968000, 0.6978254000, 0.7594807000, 0.8990396000, 1.2735433000, 2.5480036000", \
"1.1173051000, 1.1287309000, 1.1591076000, 1.2262018000, 1.3717345000, 1.7509538000, 3.0253435000", \
"1.9222561000, 1.9398825000, 1.9855950000, 2.0798321000, 2.2538982000, 2.6478646000, 3.9254950000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018171300, 0.0066039500, 0.0240005000, 0.0872242000, 0.3169960000, 1.1520500000");
values("0.1780827000, 0.1889690000, 0.2215733000, 0.3026083000, 0.5125656000, 1.2171945000, 3.7740473000", \
"0.1852671000, 0.1961452000, 0.2287130000, 0.3098068000, 0.5199010000, 1.2252606000, 3.7927403000", \
"0.2068059000, 0.2177116000, 0.2503071000, 0.3313032000, 0.5414614000, 1.2458693000, 3.7957908000", \
"0.2673614000, 0.2781969000, 0.3106352000, 0.3913309000, 0.6015677000, 1.3077921000, 3.8659924000", \
"0.4109996000, 0.4232664000, 0.4588430000, 0.5437338000, 0.7558830000, 1.4629176000, 4.0263335000", \
"0.6525466000, 0.6706670000, 0.7209903000, 0.8275727000, 1.0529632000, 1.7611010000, 4.3207828000", \
"0.9904744000, 1.0183548000, 1.0978363000, 1.2662313000, 1.5393610000, 2.2525759000, 4.8045141000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018171300, 0.0066039500, 0.0240005000, 0.0872242000, 0.3169960000, 1.1520500000");
values("0.0541633000, 0.0597115000, 0.0765282000, 0.1214219000, 0.2498533000, 0.7041790000, 2.4387439000", \
"0.0544307000, 0.0596906000, 0.0764885000, 0.1213825000, 0.2501897000, 0.7041823000, 2.4387745000", \
"0.0549921000, 0.0605799000, 0.0758074000, 0.1207268000, 0.2504722000, 0.7038249000, 2.4377180000", \
"0.0549054000, 0.0605277000, 0.0758358000, 0.1209228000, 0.2503815000, 0.7043843000, 2.4378415000", \
"0.0548860000, 0.0605618000, 0.0757213000, 0.1211744000, 0.2495290000, 0.7034506000, 2.4420472000", \
"0.0732357000, 0.0792465000, 0.0935070000, 0.1363282000, 0.2601487000, 0.7085421000, 2.4410276000", \
"0.1265848000, 0.1338922000, 0.1539004000, 0.1953057000, 0.3065871000, 0.7323787000, 2.4423612000");
}
related_pin : "B1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018171300, 0.0066039500, 0.0240005000, 0.0872242000, 0.3169960000, 1.1520500000");
values("0.0493885000, 0.0585258000, 0.0855494000, 0.1574956000, 0.4103227000, 1.4006514000, 4.9881601000", \
"0.0495121000, 0.0584362000, 0.0854936000, 0.1573015000, 0.4096763000, 1.3918522000, 4.9861870000", \
"0.0495035000, 0.0585051000, 0.0855592000, 0.1575191000, 0.4100607000, 1.4005447000, 4.9868421000", \
"0.0495277000, 0.0585709000, 0.0857612000, 0.1577409000, 0.4105607000, 1.3937107000, 4.9854516000", \
"0.0600672000, 0.0691950000, 0.0955148000, 0.1641628000, 0.4115011000, 1.3917930000, 4.9918505000", \
"0.0958935000, 0.1069339000, 0.1377512000, 0.1993904000, 0.4268481000, 1.3943620000, 4.9817062000", \
"0.1623948000, 0.1810110000, 0.2317018000, 0.3022179000, 0.4781966000, 1.4033892000, 4.9897269000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018171300, 0.0066039500, 0.0240005000, 0.0872242000, 0.3169960000, 1.1520500000");
values("0.4180306000, 0.4274587000, 0.4536188000, 0.5145392000, 0.6545511000, 1.0293959000, 2.3036855000", \
"0.4236774000, 0.4330341000, 0.4592597000, 0.5206628000, 0.6603550000, 1.0351906000, 2.3069364000", \
"0.4420537000, 0.4514576000, 0.4776912000, 0.5391582000, 0.6787305000, 1.0535919000, 2.3257551000", \
"0.4993638000, 0.5088124000, 0.5351783000, 0.5967124000, 0.7363521000, 1.1111728000, 2.3843592000", \
"0.6770509000, 0.6864617000, 0.7127018000, 0.7742600000, 0.9137960000, 1.2888046000, 2.5644262000", \
"1.1215274000, 1.1325320000, 1.1621988000, 1.2276972000, 1.3721959000, 1.7511264000, 3.0234673000", \
"1.8889232000, 1.9054953000, 1.9495061000, 2.0402164000, 2.2085076000, 2.6012652000, 3.8814445000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018171300, 0.0066039500, 0.0240005000, 0.0872242000, 0.3169960000, 1.1520500000");
values("0.1885491000, 0.1994463000, 0.2320454000, 0.3130344000, 0.5230097000, 1.2273721000, 3.7771315000", \
"0.1972079000, 0.2081081000, 0.2407260000, 0.3217164000, 0.5317480000, 1.2363192000, 3.7961185000", \
"0.2192345000, 0.2301379000, 0.2627444000, 0.3437256000, 0.5539055000, 1.2593093000, 3.8090189000", \
"0.2737231000, 0.2846190000, 0.3171603000, 0.3980567000, 0.6084136000, 1.3146477000, 3.8635997000", \
"0.4056957000, 0.4175654000, 0.4525093000, 0.5366297000, 0.7485288000, 1.4573326000, 4.0042263000", \
"0.6554252000, 0.6711863000, 0.7153020000, 0.8144908000, 1.0384867000, 1.7451900000, 4.3049089000", \
"1.0433834000, 1.0676289000, 1.1365389000, 1.2838380000, 1.5454904000, 2.2602868000, 4.8107367000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018171300, 0.0066039500, 0.0240005000, 0.0872242000, 0.3169960000, 1.1520500000");
values("0.0539741000, 0.0594669000, 0.0757569000, 0.1220618000, 0.2499951000, 0.7035565000, 2.4355906000", \
"0.0538551000, 0.0597535000, 0.0757295000, 0.1211900000, 0.2498551000, 0.7051564000, 2.4420614000", \
"0.0544832000, 0.0600265000, 0.0755423000, 0.1211659000, 0.2493227000, 0.7050112000, 2.4425082000", \
"0.0537911000, 0.0594010000, 0.0769399000, 0.1216267000, 0.2500142000, 0.7048389000, 2.4354110000", \
"0.0544660000, 0.0602022000, 0.0755392000, 0.1210359000, 0.2497999000, 0.7041949000, 2.4364932000", \
"0.0702443000, 0.0754618000, 0.0900817000, 0.1336410000, 0.2595516000, 0.7089902000, 2.4423203000", \
"0.1202007000, 0.1272639000, 0.1446271000, 0.1865487000, 0.3004814000, 0.7287997000, 2.4384044000");
}
related_pin : "B2";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018171300, 0.0066039500, 0.0240005000, 0.0872242000, 0.3169960000, 1.1520500000");
values("0.0494838000, 0.0586219000, 0.0855709000, 0.1571577000, 0.4104234000, 1.4005930000, 4.9861675000", \
"0.0496098000, 0.0585043000, 0.0855949000, 0.1574955000, 0.4103003000, 1.3937936000, 5.0036721000", \
"0.0495225000, 0.0586544000, 0.0855928000, 0.1574931000, 0.4097009000, 1.3913335000, 4.9897926000", \
"0.0495805000, 0.0585440000, 0.0856558000, 0.1576425000, 0.4098113000, 1.3918858000, 4.9892654000", \
"0.0564906000, 0.0659930000, 0.0928113000, 0.1624428000, 0.4116489000, 1.3967737000, 4.9891762000", \
"0.0804669000, 0.0905691000, 0.1205973000, 0.1890335000, 0.4242226000, 1.3934431000, 4.9962732000", \
"0.1359054000, 0.1527946000, 0.1971935000, 0.2693316000, 0.4668481000, 1.4025281000, 4.9872306000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
/* removed sky130_fd_sc_hd__a32oi_1 */
/* removed sky130_fd_sc_hd__a32oi_2 */
cell ("sky130_fd_sc_hd__a32oi_4") {
leakage_power () {
value : 3.6097099000;
when : "!A1&!A2&!A3&!B1&B2";
}
leakage_power () {
value : 0.3756079000;
when : "!A1&!A2&!A3&!B1&!B2";
}
leakage_power () {
value : 8.4957428000;
when : "!A1&!A2&!A3&B1&B2";
}
leakage_power () {
value : 2.8634592000;
when : "!A1&!A2&!A3&B1&!B2";
}
leakage_power () {
value : 3.7516261000;
when : "!A1&!A2&A3&!B1&B2";
}
leakage_power () {
value : 0.5175264000;
when : "!A1&!A2&A3&!B1&!B2";
}
leakage_power () {
value : 8.4955172000;
when : "!A1&!A2&A3&B1&B2";
}
leakage_power () {
value : 3.0053773000;
when : "!A1&!A2&A3&B1&!B2";
}
leakage_power () {
value : 3.7166985000;
when : "!A1&A2&!A3&!B1&B2";
}
leakage_power () {
value : 0.4825982000;
when : "!A1&A2&!A3&!B1&!B2";
}
leakage_power () {
value : 8.4955811000;
when : "!A1&A2&!A3&B1&B2";
}
leakage_power () {
value : 2.9704492000;
when : "!A1&A2&!A3&B1&!B2";
}
leakage_power () {
value : 6.9976664000;
when : "!A1&A2&A3&!B1&B2";
}
leakage_power () {
value : 3.7636108000;
when : "!A1&A2&A3&!B1&!B2";
}
leakage_power () {
value : 8.4949550000;
when : "!A1&A2&A3&B1&B2";
}
leakage_power () {
value : 6.2514376000;
when : "!A1&A2&A3&B1&!B2";
}
leakage_power () {
value : 3.7119459000;
when : "A1&!A2&!A3&!B1&B2";
}
leakage_power () {
value : 0.4778476000;
when : "A1&!A2&!A3&!B1&!B2";
}
leakage_power () {
value : 8.4953751000;
when : "A1&!A2&!A3&B1&B2";
}
leakage_power () {
value : 2.9656966000;
when : "A1&!A2&!A3&B1&!B2";
}
leakage_power () {
value : 6.2493939000;
when : "A1&!A2&A3&!B1&B2";
}
leakage_power () {
value : 3.0153271000;
when : "A1&!A2&A3&!B1&!B2";
}
leakage_power () {
value : 8.4946308000;
when : "A1&!A2&A3&B1&B2";
}
leakage_power () {
value : 5.5031721000;
when : "A1&!A2&A3&B1&!B2";
}
leakage_power () {
value : 5.6867986000;
when : "A1&A2&!A3&!B1&B2";
}
leakage_power () {
value : 2.4527234000;
when : "A1&A2&!A3&!B1&!B2";
}
leakage_power () {
value : 8.4946912000;
when : "A1&A2&!A3&B1&B2";
}
leakage_power () {
value : 4.9405515000;
when : "A1&A2&!A3&B1&!B2";
}
leakage_power () {
value : 6.6282144000;
when : "A1&A2&A3&!B1&B2";
}
leakage_power () {
value : 7.0663266000;
when : "A1&A2&A3&!B1&!B2";
}
leakage_power () {
value : 0.7154548000;
when : "A1&A2&A3&B1&B2";
}
leakage_power () {
value : 6.8653798000;
when : "A1&A2&A3&B1&!B2";
}
area : 27.526400000;
cell_footprint : "sky130_fd_sc_hd__a32oi";
cell_leakage_power : 4.8140970000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A1") {
capacitance : 0.0084450000;
clock : "false";
direction : "input";
fall_capacitance : 0.0082500000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0147438000, 0.0147233000, 0.0147004000, 0.0146827000, 0.0146677000, 0.0146606000, 0.0146754000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.010851100, -0.010888500, -0.010962400, -0.010944000, -0.010860600, -0.010593800, -0.009811000");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0086410000;
}
pin ("A2") {
capacitance : 0.0083380000;
clock : "false";
direction : "input";
fall_capacitance : 0.0080420000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0129351000, 0.0129148000, 0.0128942000, 0.0129033000, 0.0129649000, 0.0131749000, 0.0138027000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.012864500, -0.012874300, -0.012870300, -0.012886800, -0.012901700, -0.012912100, -0.012910000");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0086330000;
}
pin ("A3") {
capacitance : 0.0085590000;
clock : "false";
direction : "input";
fall_capacitance : 0.0081460000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0129139000, 0.0128926000, 0.0128699000, 0.0128508000, 0.0128344000, 0.0128253000, 0.0128369000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.012948600, -0.012950400, -0.012924100, -0.012941600, -0.012959300, -0.012978000, -0.012999100");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0089710000;
}
pin ("B1") {
capacitance : 0.0083210000;
clock : "false";
direction : "input";
fall_capacitance : 0.0079020000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0146237000, 0.0146115000, 0.0146068000, 0.0145895000, 0.0145704000, 0.0145464000, 0.0145088000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.011002400, -0.011038100, -0.011118500, -0.011095800, -0.011011400, -0.010753500, -0.010006300");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0087390000;
}
pin ("B2") {
capacitance : 0.0085530000;
clock : "false";
direction : "input";
fall_capacitance : 0.0079530000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0131520000, 0.0131281000, 0.0130945000, 0.0130756000, 0.0130565000, 0.0130365000, 0.0130139000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.013110200, -0.013119800, -0.013126600, -0.013136700, -0.013144800, -0.013146800, -0.013131900");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0091540000;
}
pin ("Y") {
direction : "output";
function : "(!A1&!B1) | (!A1&!B2) | (!A2&!B1) | (!A3&!B1) | (!A2&!B2) | (!A3&!B2)";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0016123870, 0.0051995820, 0.0167674700, 0.0540713000, 0.1743677000, 0.5622963000");
values("0.0237682000, 0.0223417000, 0.0178145000, 0.0033615000, -0.043925300, -0.197285000, -0.693060100", \
"0.0235830000, 0.0221550000, 0.0176563000, 0.0030182000, -0.044200300, -0.197422700, -0.693235000", \
"0.0230489000, 0.0216498000, 0.0172174000, 0.0028331000, -0.044467500, -0.197575300, -0.693314300", \
"0.0221925000, 0.0208174000, 0.0163782000, 0.0020242000, -0.044933400, -0.198061600, -0.693701400", \
"0.0213513000, 0.0199652000, 0.0155200000, 0.0012718000, -0.045556300, -0.198203600, -0.693681500", \
"0.0213100000, 0.0198673000, 0.0151551000, 0.0004071000, -0.046299500, -0.199049100, -0.694425000", \
"0.0239424000, 0.0225311000, 0.0178396000, 0.0025897000, -0.045561300, -0.198848000, -0.695225100");
}
related_pin : "A1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0016123870, 0.0051995820, 0.0167674700, 0.0540713000, 0.1743677000, 0.5622963000");
values("0.0327674000, 0.0342108000, 0.0388582000, 0.0537020000, 0.1011383000, 0.2532710000, 0.7445436000", \
"0.0326955000, 0.0341326000, 0.0388195000, 0.0537699000, 0.1012937000, 0.2535298000, 0.7449560000", \
"0.0321763000, 0.0336705000, 0.0384592000, 0.0535398000, 0.1013047000, 0.2537294000, 0.7451584000", \
"0.0312465000, 0.0327377000, 0.0374585000, 0.0526915000, 0.1007539000, 0.2535566000, 0.7449638000", \
"0.0305065000, 0.0319524000, 0.0365474000, 0.0517478000, 0.0997831000, 0.2528354000, 0.7453779000", \
"0.0300782000, 0.0317860000, 0.0363673000, 0.0513960000, 0.0990765000, 0.2522073000, 0.7444638000", \
"0.0300302000, 0.0314175000, 0.0359772000, 0.0506615000, 0.0985147000, 0.2515474000, 0.7439455000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0016123870, 0.0051995820, 0.0167674700, 0.0540713000, 0.1743677000, 0.5622963000");
values("0.0258326000, 0.0244406000, 0.0198665000, 0.0053038000, -0.042028600, -0.195184200, -0.691003800", \
"0.0255932000, 0.0241795000, 0.0196704000, 0.0050927000, -0.042202700, -0.195541200, -0.691132800", \
"0.0251945000, 0.0237649000, 0.0192791000, 0.0047907000, -0.042443900, -0.195707300, -0.691448000", \
"0.0245378000, 0.0231003000, 0.0186539000, 0.0042063000, -0.042797400, -0.195904000, -0.691607000", \
"0.0237498000, 0.0223906000, 0.0178760000, 0.0035909000, -0.043238400, -0.196101500, -0.691550300", \
"0.0237729000, 0.0223696000, 0.0177557000, 0.0028392000, -0.044039600, -0.196679600, -0.692032100", \
"0.0255350000, 0.0240598000, 0.0195034000, 0.0045594000, -0.043370100, -0.197192900, -0.692382900");
}
related_pin : "A2";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0016123870, 0.0051995820, 0.0167674700, 0.0540713000, 0.1743677000, 0.5622963000");
values("0.0438052000, 0.0452474000, 0.0499380000, 0.0647216000, 0.1122814000, 0.2651706000, 0.7567210000", \
"0.0437068000, 0.0451555000, 0.0496754000, 0.0646263000, 0.1122110000, 0.2645583000, 0.7565703000", \
"0.0431130000, 0.0446862000, 0.0493286000, 0.0643105000, 0.1120437000, 0.2644504000, 0.7563549000", \
"0.0422912000, 0.0437695000, 0.0485283000, 0.0636342000, 0.1116124000, 0.2643594000, 0.7562235000", \
"0.0415756000, 0.0429810000, 0.0476871000, 0.0627416000, 0.1106336000, 0.2636319000, 0.7556882000", \
"0.0411287000, 0.0425606000, 0.0471540000, 0.0621744000, 0.1102170000, 0.2630913000, 0.7548706000", \
"0.0404800000, 0.0418574000, 0.0463487000, 0.0610045000, 0.1088941000, 0.2621189000, 0.7545163000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0016123870, 0.0051995820, 0.0167674700, 0.0540713000, 0.1743677000, 0.5622963000");
values("0.0256336000, 0.0242023000, 0.0196900000, 0.0051134000, -0.042188600, -0.195502900, -0.691190300", \
"0.0253558000, 0.0239395000, 0.0194194000, 0.0048922000, -0.042332500, -0.195632300, -0.691487400", \
"0.0249070000, 0.0235043000, 0.0189993000, 0.0044573000, -0.042774800, -0.195943700, -0.691763200", \
"0.0242269000, 0.0228383000, 0.0183761000, 0.0039972000, -0.043082700, -0.196181800, -0.691867800", \
"0.0235792000, 0.0221924000, 0.0177949000, 0.0034158000, -0.043536400, -0.196327000, -0.692031900", \
"0.0236202000, 0.0222153000, 0.0176436000, 0.0029063000, -0.044170800, -0.197014100, -0.692333800", \
"0.0251979000, 0.0237841000, 0.0191318000, 0.0042733000, -0.043512200, -0.197393800, -0.692668000");
}
related_pin : "A3";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0016123870, 0.0051995820, 0.0167674700, 0.0540713000, 0.1743677000, 0.5622963000");
values("0.0513090000, 0.0527511000, 0.0573391000, 0.0723246000, 0.1202090000, 0.2727828000, 0.7645858000", \
"0.0510148000, 0.0524436000, 0.0570787000, 0.0720313000, 0.1199174000, 0.2724661000, 0.7643452000", \
"0.0506582000, 0.0521028000, 0.0567527000, 0.0717824000, 0.1195366000, 0.2723680000, 0.7646230000", \
"0.0502467000, 0.0517032000, 0.0563449000, 0.0714225000, 0.1192647000, 0.2721025000, 0.7643184000", \
"0.0497899000, 0.0512659000, 0.0559362000, 0.0710028000, 0.1190388000, 0.2717814000, 0.7638848000", \
"0.0497485000, 0.0512059000, 0.0557802000, 0.0708296000, 0.1190222000, 0.2720025000, 0.7642030000", \
"0.0499781000, 0.0515100000, 0.0560484000, 0.0706151000, 0.1184884000, 0.2718459000, 0.7634289000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0016123870, 0.0051995820, 0.0167674700, 0.0540713000, 0.1743677000, 0.5622963000");
values("0.0091088000, 0.0077778000, 0.0033969000, -0.011218700, -0.058861200, -0.212808500, -0.709404400", \
"0.0086324000, 0.0073749000, 0.0031317000, -0.011223000, -0.058649700, -0.212515800, -0.709067500", \
"0.0079014000, 0.0066498000, 0.0025516000, -0.011430300, -0.058513200, -0.212169600, -0.708651900", \
"0.0069287000, 0.0056003000, 0.0015247000, -0.012349500, -0.058968600, -0.212167200, -0.708476100", \
"0.0063118000, 0.0049626000, 0.0005763000, -0.013467200, -0.059900000, -0.212707100, -0.708550300", \
"0.0068666000, 0.0054443000, 0.0008769000, -0.013832200, -0.061060000, -0.213540100, -0.709186300", \
"0.0099928000, 0.0083671000, 0.0034311000, -0.012086700, -0.060656500, -0.214571000, -0.710485400");
}
related_pin : "B1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0016123870, 0.0051995820, 0.0167674700, 0.0540713000, 0.1743677000, 0.5622963000");
values("0.0310989000, 0.0325422000, 0.0373175000, 0.0525084000, 0.1003102000, 0.2527435000, 0.7448926000", \
"0.0307852000, 0.0322825000, 0.0370839000, 0.0522295000, 0.1001774000, 0.2529152000, 0.7447474000", \
"0.0300560000, 0.0316356000, 0.0364826000, 0.0516783000, 0.1001058000, 0.2528256000, 0.7448690000", \
"0.0291978000, 0.0306889000, 0.0354920000, 0.0508227000, 0.0990204000, 0.2524803000, 0.7445960000", \
"0.0284249000, 0.0298620000, 0.0345320000, 0.0496274000, 0.0976143000, 0.2513606000, 0.7443451000", \
"0.0294254000, 0.0306965000, 0.0351602000, 0.0500076000, 0.0975263000, 0.2500372000, 0.7430286000", \
"0.0328635000, 0.0341950000, 0.0383081000, 0.0522783000, 0.0994713000, 0.2510802000, 0.7425549000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0016123870, 0.0051995820, 0.0167674700, 0.0540713000, 0.1743677000, 0.5622963000");
values("0.0112880000, 0.0099325000, 0.0054637000, -0.009200100, -0.056869000, -0.210817600, -0.707324000", \
"0.0108915000, 0.0096191000, 0.0053116000, -0.009144700, -0.056646600, -0.210528400, -0.707048600", \
"0.0101086000, 0.0088699000, 0.0047333000, -0.009369200, -0.056535300, -0.210226800, -0.706739500", \
"0.0090046000, 0.0077671000, 0.0035979000, -0.010247200, -0.056883200, -0.210195000, -0.706479000", \
"0.0085548000, 0.0071390000, 0.0025094000, -0.011557800, -0.057943600, -0.210662000, -0.706618800", \
"0.0087133000, 0.0072963000, 0.0028014000, -0.011797900, -0.059255700, -0.211696300, -0.707044400", \
"0.0111271000, 0.0095891000, 0.0048320000, -0.010399800, -0.058502200, -0.212373300, -0.707809200");
}
related_pin : "B2";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0016123870, 0.0051995820, 0.0167674700, 0.0540713000, 0.1743677000, 0.5622963000");
values("0.0417016000, 0.0431548000, 0.0478036000, 0.0628008000, 0.1105778000, 0.2632392000, 0.7551084000", \
"0.0412560000, 0.0426871000, 0.0473692000, 0.0624198000, 0.1103520000, 0.2635191000, 0.7553907000", \
"0.0407368000, 0.0422060000, 0.0468244000, 0.0619554000, 0.1100016000, 0.2629304000, 0.7553205000", \
"0.0401809000, 0.0414066000, 0.0461418000, 0.0612750000, 0.1094861000, 0.2626527000, 0.7544066000", \
"0.0395336000, 0.0410489000, 0.0457144000, 0.0608579000, 0.1089848000, 0.2622943000, 0.7549591000", \
"0.0410133000, 0.0423720000, 0.0469077000, 0.0618973000, 0.1093757000, 0.2614872000, 0.7540529000", \
"0.0460144000, 0.0473564000, 0.0518006000, 0.0649277000, 0.1121012000, 0.2640818000, 0.7541260000");
}
}
max_capacitance : 0.1583960000;
max_transition : 1.9116670000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016123900, 0.0051995800, 0.0167675000, 0.0540713000, 0.1743680000, 0.5622960000");
values("0.1369503000, 0.1429765000, 0.1624249000, 0.2230184000, 0.4067871000, 0.9860021000, 2.8390628000", \
"0.1428452000, 0.1491744000, 0.1684600000, 0.2282942000, 0.4122367000, 0.9918821000, 2.8449454000", \
"0.1604563000, 0.1668448000, 0.1864354000, 0.2467770000, 0.4315736000, 1.0113050000, 2.8643936000", \
"0.2150149000, 0.2213832000, 0.2412392000, 0.3018280000, 0.4871819000, 1.0679705000, 2.9198564000", \
"0.3535865000, 0.3620515000, 0.3876705000, 0.4588889000, 0.6458387000, 1.2264022000, 3.0796152000", \
"0.5757324000, 0.5902671000, 0.6327024000, 0.7533890000, 1.0442865000, 1.6801756000, 3.5317862000", \
"0.8659037000, 0.8915305000, 0.9690262000, 1.1815184000, 1.6974140000, 2.7492650000, 4.8212088000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016123900, 0.0051995800, 0.0167675000, 0.0540713000, 0.1743680000, 0.5622960000");
values("0.2060356000, 0.2153942000, 0.2449261000, 0.3372512000, 0.6301682000, 1.5677496000, 4.5870321000", \
"0.2146548000, 0.2240879000, 0.2535566000, 0.3465756000, 0.6402960000, 1.5793354000, 4.5976001000", \
"0.2372837000, 0.2470153000, 0.2770664000, 0.3712373000, 0.6662502000, 1.6066187000, 4.6253930000", \
"0.3040165000, 0.3130365000, 0.3436743000, 0.4379734000, 0.7350383000, 1.6766745000, 4.6977315000", \
"0.4711128000, 0.4817645000, 0.5137993000, 0.6096720000, 0.9060804000, 1.8499434000, 4.8715205000", \
"0.8043636000, 0.8220832000, 0.8703895000, 1.0087697000, 1.3616325000, 2.3137163000, 5.3406422000", \
"1.4011651000, 1.4333945000, 1.5297520000, 1.7823466000, 2.3579940000, 3.5810266000, 6.6484516000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016123900, 0.0051995800, 0.0167675000, 0.0540713000, 0.1743680000, 0.5622960000");
values("0.1121909000, 0.1198374000, 0.1437913000, 0.2209412000, 0.4625606000, 1.2410163000, 3.7374650000", \
"0.1123895000, 0.1197663000, 0.1438121000, 0.2202005000, 0.4629576000, 1.2403789000, 3.7358823000", \
"0.1121208000, 0.1196666000, 0.1437333000, 0.2204184000, 0.4627602000, 1.2404949000, 3.7345451000", \
"0.1124846000, 0.1197847000, 0.1431768000, 0.2195171000, 0.4626859000, 1.2407922000, 3.7407086000", \
"0.1591579000, 0.1674162000, 0.1920321000, 0.2598619000, 0.4744848000, 1.2388751000, 3.7412820000", \
"0.2855010000, 0.2983066000, 0.3363571000, 0.4348613000, 0.6659834000, 1.3026412000, 3.7382559000", \
"0.5540149000, 0.5775148000, 0.6436077000, 0.8156489000, 1.1757129000, 1.9269237000, 3.9115857000");
}
related_pin : "A1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016123900, 0.0051995800, 0.0167675000, 0.0540713000, 0.1743680000, 0.5622960000");
values("0.1266909000, 0.1385310000, 0.1766355000, 0.2994032000, 0.6905957000, 1.9482431000, 5.9989493000", \
"0.1265611000, 0.1385236000, 0.1767536000, 0.2986818000, 0.6904535000, 1.9488184000, 5.9878613000", \
"0.1267572000, 0.1386295000, 0.1766513000, 0.2993613000, 0.6902838000, 1.9491035000, 5.9953282000", \
"0.1267244000, 0.1387439000, 0.1769267000, 0.2988171000, 0.6907166000, 1.9456008000, 5.9949015000", \
"0.1492639000, 0.1598700000, 0.1935292000, 0.3077900000, 0.6914085000, 1.9515336000, 5.9893530000", \
"0.2460833000, 0.2593971000, 0.3000805000, 0.4231868000, 0.7641469000, 1.9498501000, 6.0096239000", \
"0.5240506000, 0.5431992000, 0.6036821000, 0.7654277000, 1.1661009000, 2.2309186000, 6.0029866000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016123900, 0.0051995800, 0.0167675000, 0.0540713000, 0.1743680000, 0.5622960000");
values("0.1608784000, 0.1672596000, 0.1859850000, 0.2467248000, 0.4303292000, 1.0096892000, 2.8632885000", \
"0.1674946000, 0.1737181000, 0.1935707000, 0.2532985000, 0.4371365000, 1.0165926000, 2.8695371000", \
"0.1871518000, 0.1934875000, 0.2124715000, 0.2737582000, 0.4570592000, 1.0367128000, 2.8907632000", \
"0.2382425000, 0.2443502000, 0.2640648000, 0.3245692000, 0.5094006000, 1.0897258000, 2.9431024000", \
"0.3668521000, 0.3748869000, 0.3980644000, 0.4657998000, 0.6559828000, 1.2377335000, 3.0930236000", \
"0.6062563000, 0.6187479000, 0.6565063000, 0.7609686000, 1.0229206000, 1.6539352000, 3.5119112000", \
"0.9468698000, 0.9699108000, 1.0397432000, 1.2333162000, 1.6931208000, 2.6378202000, 4.6825295000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016123900, 0.0051995800, 0.0167675000, 0.0540713000, 0.1743680000, 0.5622960000");
values("0.2649444000, 0.2745306000, 0.3061133000, 0.4034697000, 0.7124697000, 1.7003859000, 4.8779536000", \
"0.2730009000, 0.2828696000, 0.3126404000, 0.4118591000, 0.7208015000, 1.7099009000, 4.8865303000", \
"0.2955221000, 0.3059873000, 0.3366275000, 0.4359569000, 0.7456291000, 1.7343391000, 4.9120406000", \
"0.3621306000, 0.3719467000, 0.4040296000, 0.5032746000, 0.8143670000, 1.8044024000, 4.9833222000", \
"0.5333102000, 0.5435584000, 0.5751979000, 0.6732246000, 0.9849428000, 1.9771926000, 5.1561622000", \
"0.8962014000, 0.9107253000, 0.9538430000, 1.0853155000, 1.4406673000, 2.4356486000, 5.6173621000", \
"1.5735990000, 1.5972743000, 1.6819389000, 1.9130879000, 2.4615021000, 3.6959249000, 6.9075590000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016123900, 0.0051995800, 0.0167675000, 0.0540713000, 0.1743680000, 0.5622960000");
values("0.1122113000, 0.1201777000, 0.1438975000, 0.2202197000, 0.4627793000, 1.2399562000, 3.7351437000", \
"0.1120137000, 0.1194972000, 0.1442005000, 0.2201628000, 0.4626310000, 1.2412286000, 3.7395660000", \
"0.1119567000, 0.1195777000, 0.1438448000, 0.2201265000, 0.4630729000, 1.2405289000, 3.7374308000", \
"0.1124407000, 0.1199555000, 0.1435359000, 0.2197650000, 0.4621077000, 1.2409933000, 3.7377212000", \
"0.1439608000, 0.1517136000, 0.1750014000, 0.2457773000, 0.4698110000, 1.2406289000, 3.7356473000", \
"0.2509099000, 0.2608358000, 0.2904102000, 0.3768904000, 0.6010510000, 1.2821990000, 3.7366372000", \
"0.5027935000, 0.5201879000, 0.5709921000, 0.7043055000, 1.0137130000, 1.7124525000, 3.8649963000");
}
related_pin : "A2";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016123900, 0.0051995800, 0.0167675000, 0.0540713000, 0.1743680000, 0.5622960000");
values("0.1670018000, 0.1797580000, 0.2214486000, 0.3506762000, 0.7638990000, 2.0883975000, 6.3434613000", \
"0.1678967000, 0.1806281000, 0.2209789000, 0.3508697000, 0.7642033000, 2.0893633000, 6.3550170000", \
"0.1672857000, 0.1806247000, 0.2211847000, 0.3509054000, 0.7638426000, 2.0865194000, 6.3487344000", \
"0.1675188000, 0.1799173000, 0.2212370000, 0.3508804000, 0.7639401000, 2.0868642000, 6.3480159000", \
"0.1804974000, 0.1929419000, 0.2309743000, 0.3550355000, 0.7636852000, 2.0861770000, 6.3468928000", \
"0.2763012000, 0.2902663000, 0.3328328000, 0.4592564000, 0.8227441000, 2.0889079000, 6.3398895000", \
"0.5573208000, 0.5780224000, 0.6332779000, 0.7958359000, 1.2135433000, 2.3301203000, 6.3536723000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016123900, 0.0051995800, 0.0167675000, 0.0540713000, 0.1743680000, 0.5622960000");
values("0.1684451000, 0.1746592000, 0.1943771000, 0.2542754000, 0.4379133000, 1.0174726000, 2.8697764000", \
"0.1761632000, 0.1824263000, 0.2017905000, 0.2614360000, 0.4460856000, 1.0254187000, 2.8775473000", \
"0.1958188000, 0.2019037000, 0.2215601000, 0.2820932000, 0.4657005000, 1.0455428000, 2.8981246000", \
"0.2427784000, 0.2489731000, 0.2688330000, 0.3294495000, 0.5142006000, 1.0940215000, 2.9475675000", \
"0.3537962000, 0.3609345000, 0.3836277000, 0.4494735000, 0.6373921000, 1.2185164000, 3.0725167000", \
"0.5772260000, 0.5879852000, 0.6184117000, 0.7071918000, 0.9425062000, 1.5615824000, 3.4184315000", \
"0.9409383000, 0.9596368000, 1.0161261000, 1.1755784000, 1.5514845000, 2.3793001000, 4.3884016000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016123900, 0.0051995800, 0.0167675000, 0.0540713000, 0.1743680000, 0.5622960000");
values("0.2821822000, 0.2914480000, 0.3212934000, 0.4172689000, 0.7166882000, 1.6705103000, 4.7270134000", \
"0.2896097000, 0.2986107000, 0.3283978000, 0.4245927000, 0.7239014000, 1.6775930000, 4.7333080000", \
"0.3123204000, 0.3223047000, 0.3527906000, 0.4493002000, 0.7487458000, 1.7020756000, 4.7589954000", \
"0.3797235000, 0.3889308000, 0.4190582000, 0.5150258000, 0.8168671000, 1.7702519000, 4.8271578000", \
"0.5427768000, 0.5524461000, 0.5834458000, 0.6795370000, 0.9794817000, 1.9345050000, 4.9911231000", \
"0.8878283000, 0.9014843000, 0.9414199000, 1.0670024000, 1.4065961000, 2.3665667000, 5.4264340000", \
"1.5262838000, 1.5520860000, 1.6251437000, 1.8285675000, 2.3458793000, 3.5384684000, 6.6370500000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016123900, 0.0051995800, 0.0167675000, 0.0540713000, 0.1743680000, 0.5622960000");
values("0.1119706000, 0.1195239000, 0.1442428000, 0.2201887000, 0.4630414000, 1.2412292000, 3.7399071000", \
"0.1121359000, 0.1196909000, 0.1438063000, 0.2204052000, 0.4625141000, 1.2409447000, 3.7401331000", \
"0.1122466000, 0.1198599000, 0.1441764000, 0.2201940000, 0.4628999000, 1.2411788000, 3.7379673000", \
"0.1123255000, 0.1198641000, 0.1434739000, 0.2202261000, 0.4624337000, 1.2411288000, 3.7357122000", \
"0.1329467000, 0.1404844000, 0.1656470000, 0.2378056000, 0.4686386000, 1.2407252000, 3.7371350000", \
"0.2068014000, 0.2153990000, 0.2414223000, 0.3234375000, 0.5582703000, 1.2736611000, 3.7366155000", \
"0.4289915000, 0.4430779000, 0.4831408000, 0.5895282000, 0.8566245000, 1.5788337000, 3.8440158000");
}
related_pin : "A3";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016123900, 0.0051995800, 0.0167675000, 0.0540713000, 0.1743680000, 0.5622960000");
values("0.1876353000, 0.2004608000, 0.2397341000, 0.3658991000, 0.7659239000, 2.0425355000, 6.1479088000", \
"0.1879988000, 0.2001102000, 0.2399492000, 0.3658180000, 0.7658584000, 2.0424697000, 6.1501386000", \
"0.1876070000, 0.1999609000, 0.2398494000, 0.3662717000, 0.7650416000, 2.0435755000, 6.1619843000", \
"0.1879374000, 0.2000237000, 0.2397803000, 0.3655803000, 0.7633176000, 2.0426700000, 6.1454415000", \
"0.1996676000, 0.2110398000, 0.2489161000, 0.3691976000, 0.7648234000, 2.0411131000, 6.1500161000", \
"0.2911481000, 0.3045421000, 0.3458657000, 0.4689312000, 0.8259586000, 2.0458453000, 6.1668297000", \
"0.5646664000, 0.5815455000, 0.6357888000, 0.7902993000, 1.1977691000, 2.3050324000, 6.1625491000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016123900, 0.0051995800, 0.0167675000, 0.0540713000, 0.1743680000, 0.5622960000");
values("0.0449604000, 0.0486444000, 0.0603253000, 0.0962779000, 0.2105508000, 0.5769374000, 1.7594172000", \
"0.0510576000, 0.0548860000, 0.0666343000, 0.1031206000, 0.2177534000, 0.5846434000, 1.7673903000", \
"0.0707248000, 0.0747565000, 0.0869559000, 0.1242724000, 0.2390504000, 0.6064877000, 1.7892753000", \
"0.1140471000, 0.1203729000, 0.1386661000, 0.1842256000, 0.2980653000, 0.6665771000, 1.8521988000", \
"0.1781003000, 0.1900630000, 0.2232746000, 0.3045427000, 0.4690178000, 0.8392795000, 2.0224666000", \
"0.2556271000, 0.2781769000, 0.3416741000, 0.4896760000, 0.7814404000, 1.3152746000, 2.5035447000", \
"0.2842954000, 0.3259766000, 0.4414539000, 0.7187846000, 1.2672976000, 2.2310696000, 3.8836241000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016123900, 0.0051995800, 0.0167675000, 0.0540713000, 0.1743680000, 0.5622960000");
values("0.1797185000, 0.1889992000, 0.2209161000, 0.3209996000, 0.6277766000, 1.6015760000, 4.7268940000", \
"0.1849913000, 0.1951784000, 0.2268211000, 0.3268026000, 0.6347208000, 1.6091448000, 4.7359432000", \
"0.2028356000, 0.2136124000, 0.2458553000, 0.3456963000, 0.6543306000, 1.6300926000, 4.7571795000", \
"0.2626771000, 0.2730051000, 0.3047793000, 0.4035955000, 0.7129829000, 1.6905846000, 4.8194737000", \
"0.4392823000, 0.4509896000, 0.4861039000, 0.5834176000, 0.8899632000, 1.8695248000, 4.9995390000", \
"0.7779843000, 0.7973865000, 0.8577745000, 1.0251482000, 1.4068793000, 2.3724591000, 5.5073892000", \
"1.3778937000, 1.4134380000, 1.5194657000, 1.8117327000, 2.4934050000, 3.8326404000, 6.9578703000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016123900, 0.0051995800, 0.0167675000, 0.0540713000, 0.1743680000, 0.5622960000");
values("0.0550940000, 0.0599357000, 0.0754251000, 0.1241576000, 0.2777641000, 0.7719014000, 2.3674742000", \
"0.0551427000, 0.0599643000, 0.0754452000, 0.1241640000, 0.2777022000, 0.7717064000, 2.3692177000", \
"0.0568421000, 0.0610565000, 0.0754868000, 0.1241872000, 0.2777213000, 0.7724043000, 2.3686064000", \
"0.0861941000, 0.0896752000, 0.0999062000, 0.1355313000, 0.2779274000, 0.7716665000, 2.3705045000", \
"0.1621398000, 0.1661570000, 0.1798095000, 0.2192965000, 0.3306777000, 0.7761634000, 2.3696574000", \
"0.3311192000, 0.3369383000, 0.3561943000, 0.4147498000, 0.5686930000, 0.9308660000, 2.3717432000", \
"0.6790525000, 0.6929002000, 0.7301802000, 0.8344898000, 1.0797897000, 1.5901382000, 2.7620908000");
}
related_pin : "B1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016123900, 0.0051995800, 0.0167675000, 0.0540713000, 0.1743680000, 0.5622960000");
values("0.1599189000, 0.1720471000, 0.2114162000, 0.3381871000, 0.7425472000, 2.0420574000, 6.2102847000", \
"0.1596869000, 0.1721844000, 0.2117397000, 0.3381350000, 0.7429168000, 2.0399409000, 6.2188708000", \
"0.1595456000, 0.1718877000, 0.2116670000, 0.3381093000, 0.7428750000, 2.0426574000, 6.2197634000", \
"0.1566691000, 0.1691154000, 0.2102791000, 0.3376965000, 0.7427172000, 2.0406328000, 6.2231584000", \
"0.2063198000, 0.2163187000, 0.2471067000, 0.3546787000, 0.7416026000, 2.0407382000, 6.2219603000", \
"0.3588686000, 0.3769845000, 0.4265145000, 0.5536491000, 0.8633135000, 2.0463833000, 6.2200254000", \
"0.6756074000, 0.7066143000, 0.7921492000, 1.0205117000, 1.4846243000, 2.4463536000, 6.2271397000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016123900, 0.0051995800, 0.0167675000, 0.0540713000, 0.1743680000, 0.5622960000");
values("0.0555406000, 0.0591994000, 0.0705173000, 0.1063001000, 0.2209222000, 0.5870350000, 1.7701877000", \
"0.0632118000, 0.0668706000, 0.0788339000, 0.1148879000, 0.2300015000, 0.5963154000, 1.7787577000", \
"0.0828303000, 0.0867072000, 0.0987807000, 0.1361268000, 0.2511285000, 0.6183027000, 1.8013211000", \
"0.1256790000, 0.1309937000, 0.1463197000, 0.1878849000, 0.3046275000, 0.6729008000, 1.8570988000", \
"0.2032125000, 0.2118254000, 0.2369933000, 0.3015271000, 0.4490023000, 0.8235734000, 2.0069351000", \
"0.3134262000, 0.3305805000, 0.3817197000, 0.5002243000, 0.7451029000, 1.2301585000, 2.4320816000", \
"0.4009210000, 0.4355115000, 0.5375155000, 0.7815450000, 1.2542384000, 2.0652764000, 3.5918177000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016123900, 0.0051995800, 0.0167675000, 0.0540713000, 0.1743680000, 0.5622960000");
values("0.2221458000, 0.2317651000, 0.2611392000, 0.3579399000, 0.6579730000, 1.6109926000, 4.6667825000", \
"0.2265110000, 0.2354322000, 0.2666364000, 0.3622469000, 0.6632760000, 1.6162185000, 4.6738613000", \
"0.2442491000, 0.2535656000, 0.2841003000, 0.3813114000, 0.6819735000, 1.6360050000, 4.6935327000", \
"0.3021213000, 0.3104841000, 0.3421179000, 0.4391663000, 0.7408061000, 1.6959364000, 4.7533235000", \
"0.4801884000, 0.4903649000, 0.5211738000, 0.6162926000, 0.9153692000, 1.8709758000, 4.9300644000", \
"0.8401938000, 0.8577806000, 0.9113582000, 1.0597779000, 1.4152790000, 2.3712619000, 5.4275113000", \
"1.4544383000, 1.4851411000, 1.5794777000, 1.8358542000, 2.4747377000, 3.7832892000, 6.8406969000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016123900, 0.0051995800, 0.0167675000, 0.0540713000, 0.1743680000, 0.5622960000");
values("0.0550235000, 0.0598529000, 0.0753038000, 0.1241033000, 0.2775341000, 0.7719075000, 2.3693494000", \
"0.0549842000, 0.0598562000, 0.0753511000, 0.1240694000, 0.2776111000, 0.7749721000, 2.3679627000", \
"0.0552970000, 0.0600123000, 0.0754007000, 0.1240849000, 0.2775873000, 0.7724722000, 2.3704265000", \
"0.0710391000, 0.0750074000, 0.0871794000, 0.1300370000, 0.2775885000, 0.7725546000, 2.3708475000", \
"0.1208628000, 0.1251666000, 0.1387614000, 0.1805827000, 0.3093102000, 0.7737848000, 2.3691360000", \
"0.2517308000, 0.2572194000, 0.2740304000, 0.3249486000, 0.4644015000, 0.8750743000, 2.3762057000", \
"0.5670018000, 0.5745683000, 0.5993920000, 0.6747536000, 0.8626805000, 1.3220294000, 2.6403439000");
}
related_pin : "B2";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016123900, 0.0051995800, 0.0167675000, 0.0540713000, 0.1743680000, 0.5622960000");
values("0.1880775000, 0.2004482000, 0.2399096000, 0.3653247000, 0.7649686000, 2.0421878000, 6.1489125000", \
"0.1878928000, 0.2004083000, 0.2397542000, 0.3650183000, 0.7649595000, 2.0438422000, 6.1488228000", \
"0.1879135000, 0.2002407000, 0.2396608000, 0.3660330000, 0.7647620000, 2.0436024000, 6.1468981000", \
"0.1866598000, 0.1990864000, 0.2394957000, 0.3656350000, 0.7648383000, 2.0421738000, 6.1432419000", \
"0.2225937000, 0.2329022000, 0.2658476000, 0.3771639000, 0.7637295000, 2.0420383000, 6.1669918000", \
"0.3825987000, 0.3988037000, 0.4463551000, 0.5751237000, 0.8886575000, 2.0471598000, 6.1498957000", \
"0.7044373000, 0.7329782000, 0.8167644000, 1.0330142000, 1.4954179000, 2.4743818000, 6.1621535000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
}
}
/* removed sky130_fd_sc_hd__a41o_1 */
/* removed sky130_fd_sc_hd__a41o_2 */
cell ("sky130_fd_sc_hd__a41o_4") {
leakage_power () {
value : 7.1537034000;
when : "!A1&!A2&!A3&!A4&B1";
}
leakage_power () {
value : 10.881936200;
when : "!A1&!A2&!A3&!A4&!B1";
}
leakage_power () {
value : 7.1536652000;
when : "!A1&!A2&!A3&A4&B1";
}
leakage_power () {
value : 10.897597500;
when : "!A1&!A2&!A3&A4&!B1";
}
leakage_power () {
value : 7.1536701000;
when : "!A1&!A2&A3&!A4&B1";
}
leakage_power () {
value : 10.898838300;
when : "!A1&!A2&A3&!A4&!B1";
}
leakage_power () {
value : 7.1535857000;
when : "!A1&!A2&A3&A4&B1";
}
leakage_power () {
value : 10.950152800;
when : "!A1&!A2&A3&A4&!B1";
}
leakage_power () {
value : 7.1536714000;
when : "!A1&A2&!A3&!A4&B1";
}
leakage_power () {
value : 10.900487600;
when : "!A1&A2&!A3&!A4&!B1";
}
leakage_power () {
value : 7.1536053000;
when : "!A1&A2&!A3&A4&B1";
}
leakage_power () {
value : 10.952107700;
when : "!A1&A2&!A3&A4&!B1";
}
leakage_power () {
value : 7.1536035000;
when : "!A1&A2&A3&!A4&B1";
}
leakage_power () {
value : 10.956140000;
when : "!A1&A2&A3&!A4&!B1";
}
leakage_power () {
value : 7.1533730000;
when : "!A1&A2&A3&A4&B1";
}
leakage_power () {
value : 12.282400000;
when : "!A1&A2&A3&A4&!B1";
}
leakage_power () {
value : 7.1536479000;
when : "A1&!A2&!A3&!A4&B1";
}
leakage_power () {
value : 10.900354400;
when : "A1&!A2&!A3&!A4&!B1";
}
leakage_power () {
value : 7.1535697000;
when : "A1&!A2&!A3&A4&B1";
}
leakage_power () {
value : 10.949938700;
when : "A1&!A2&!A3&A4&!B1";
}
leakage_power () {
value : 7.1535777000;
when : "A1&!A2&A3&!A4&B1";
}
leakage_power () {
value : 10.953858300;
when : "A1&!A2&A3&!A4&!B1";
}
leakage_power () {
value : 7.1533295000;
when : "A1&!A2&A3&A4&B1";
}
leakage_power () {
value : 11.973488000;
when : "A1&!A2&A3&A4&!B1";
}
leakage_power () {
value : 7.1535680000;
when : "A1&A2&!A3&!A4&B1";
}
leakage_power () {
value : 10.953666400;
when : "A1&A2&!A3&!A4&!B1";
}
leakage_power () {
value : 7.1533606000;
when : "A1&A2&!A3&A4&B1";
}
leakage_power () {
value : 11.922406200;
when : "A1&A2&!A3&A4&!B1";
}
leakage_power () {
value : 7.1533379000;
when : "A1&A2&A3&!A4&B1";
}
leakage_power () {
value : 11.902727700;
when : "A1&A2&A3&!A4&!B1";
}
leakage_power () {
value : 3.9249000000;
when : "A1&A2&A3&A4&B1";
}
leakage_power () {
value : 9.0089642000;
when : "A1&A2&A3&A4&!B1";
}
area : 21.270400000;
cell_footprint : "sky130_fd_sc_hd__a41o";
cell_leakage_power : 9.0160390000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A1") {
capacitance : 0.0042850000;
clock : "false";
direction : "input";
fall_capacitance : 0.0041900000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0072544000, 0.0072189000, 0.0071848000, 0.0071502000, 0.0071186000, 0.0070958000, 0.0070975000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.005258000, -0.005304800, -0.005370700, -0.005388300, -0.005371800, -0.005259000, -0.004875000");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0043790000;
}
pin ("A2") {
capacitance : 0.0042880000;
clock : "false";
direction : "input";
fall_capacitance : 0.0041600000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0064486000, 0.0064085000, 0.0063618000, 0.0063368000, 0.0063328000, 0.0063877000, 0.0066088000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.006391800, -0.006430200, -0.006472500, -0.006508600, -0.006544100, -0.006578200, -0.006607900");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0044160000;
}
pin ("A3") {
capacitance : 0.0044350000;
clock : "false";
direction : "input";
fall_capacitance : 0.0042940000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0065063000, 0.0064662000, 0.0064193000, 0.0063834000, 0.0063483000, 0.0063157000, 0.0062899000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.006484300, -0.006522300, -0.006563500, -0.006599600, -0.006635100, -0.006669000, -0.006698500");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0045750000;
}
pin ("A4") {
capacitance : 0.0044420000;
clock : "false";
direction : "input";
fall_capacitance : 0.0042460000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0065291000, 0.0064895000, 0.0064439000, 0.0064078000, 0.0063721000, 0.0063380000, 0.0063082000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.006503800, -0.006541700, -0.006582900, -0.006619300, -0.006655500, -0.006691500, -0.006726700");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0046390000;
}
pin ("B1") {
capacitance : 0.0045780000;
clock : "false";
direction : "input";
fall_capacitance : 0.0042900000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0048950000, 0.0048373000, 0.0047035000, 0.0047317000, 0.0048399000, 0.0051743000, 0.0061453000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.002972400, -0.003001700, -0.003017300, -0.003054200, -0.003091300, -0.003128900, -0.003168200");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0048660000;
}
pin ("X") {
direction : "output";
function : "(A1&A2&A3&A4) | (B1)";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018322580, 0.0067143430, 0.0246048200, 0.0901648000, 0.3304104000, 1.2107950000");
values("0.0231288000, 0.0216359000, 0.0166465000, -0.002959800, -0.084390100, -0.391356200, -1.518251800", \
"0.0230807000, 0.0215949000, 0.0166352000, -0.002958600, -0.084274900, -0.391274300, -1.518181000", \
"0.0230618000, 0.0214860000, 0.0164763000, -0.003080800, -0.084403600, -0.391358100, -1.518228000", \
"0.0226137000, 0.0212594000, 0.0161316000, -0.003467900, -0.084779800, -0.391708600, -1.518591400", \
"0.0221130000, 0.0206239000, 0.0155932000, -0.004146700, -0.085521400, -0.392219400, -1.519068800", \
"0.0252250000, 0.0235409000, 0.0175434000, -0.004989300, -0.086190700, -0.392627700, -1.519225300", \
"0.0266008000, 0.0248178000, 0.0185086000, -0.004093700, -0.086981200, -0.393270300, -1.519334000");
}
related_pin : "A1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018322580, 0.0067143430, 0.0246048200, 0.0901648000, 0.3304104000, 1.2107950000");
values("0.0279669000, 0.0293863000, 0.0361656000, 0.0604393000, 0.1446510000, 0.4494199000, 1.5664332000", \
"0.0274930000, 0.0292911000, 0.0359606000, 0.0603358000, 0.1447918000, 0.4495103000, 1.5666872000", \
"0.0272832000, 0.0290918000, 0.0358060000, 0.0601631000, 0.1444686000, 0.4495500000, 1.5661485000", \
"0.0268837000, 0.0286918000, 0.0353126000, 0.0597464000, 0.1440110000, 0.4487472000, 1.5659347000", \
"0.0265878000, 0.0283835000, 0.0350304000, 0.0588742000, 0.1432742000, 0.4486031000, 1.5653642000", \
"0.0279245000, 0.0295959000, 0.0358600000, 0.0585285000, 0.1422701000, 0.4472860000, 1.5687998000", \
"0.0304708000, 0.0321820000, 0.0380795000, 0.0609996000, 0.1447627000, 0.4481186000, 1.5633075000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018322580, 0.0067143430, 0.0246048200, 0.0901648000, 0.3304104000, 1.2107950000");
values("0.0283194000, 0.0268509000, 0.0217558000, 0.0021037000, -0.078936100, -0.385523500, -1.512098200", \
"0.0282417000, 0.0267253000, 0.0217264000, 0.0021307000, -0.078899000, -0.385517500, -1.512064800", \
"0.0281600000, 0.0266412000, 0.0216002000, 0.0020753000, -0.078947700, -0.385579000, -1.512148400", \
"0.0278803000, 0.0263507000, 0.0213069000, 0.0016603000, -0.079342800, -0.385884400, -1.512477700", \
"0.0272472000, 0.0257231000, 0.0206710000, 0.0010029000, -0.080019300, -0.386449700, -1.512981700", \
"0.0295284000, 0.0278567000, 0.0217569000, -0.000468700, -0.080748400, -0.386940200, -1.513314400", \
"0.0326664000, 0.0308874000, 0.0245375000, 0.0017788000, -0.081533000, -0.387735600, -1.513692500");
}
related_pin : "A2";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018322580, 0.0067143430, 0.0246048200, 0.0901648000, 0.3304104000, 1.2107950000");
values("0.0287415000, 0.0305542000, 0.0371376000, 0.0615482000, 0.1460410000, 0.4506674000, 1.5674990000", \
"0.0287104000, 0.0305174000, 0.0372156000, 0.0612640000, 0.1457279000, 0.4506220000, 1.5715379000", \
"0.0285091000, 0.0302719000, 0.0370502000, 0.0613076000, 0.1455629000, 0.4503442000, 1.5675226000", \
"0.0281775000, 0.0299830000, 0.0365259000, 0.0608462000, 0.1454519000, 0.4524675000, 1.5684450000", \
"0.0279465000, 0.0297533000, 0.0363837000, 0.0605850000, 0.1447846000, 0.4500564000, 1.5674924000", \
"0.0292586000, 0.0309622000, 0.0372067000, 0.0597867000, 0.1442141000, 0.4488899000, 1.5669492000", \
"0.0307676000, 0.0324261000, 0.0385268000, 0.0613811000, 0.1452852000, 0.4506397000, 1.5638724000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018322580, 0.0067143430, 0.0246048200, 0.0901648000, 0.3304104000, 1.2107950000");
values("0.0318121000, 0.0302814000, 0.0252705000, 0.0057176000, -0.075105100, -0.381383000, -1.507690300", \
"0.0318165000, 0.0302601000, 0.0252601000, 0.0056880000, -0.075128400, -0.381419900, -1.507718300", \
"0.0317590000, 0.0302668000, 0.0251524000, 0.0055501000, -0.075281600, -0.381508200, -1.507825800", \
"0.0315441000, 0.0299812000, 0.0249067000, 0.0052769000, -0.075514100, -0.381735100, -1.508066700", \
"0.0310487000, 0.0294937000, 0.0244237000, 0.0047970000, -0.076006700, -0.382129000, -1.508433600", \
"0.0331305000, 0.0315058000, 0.0253858000, 0.0038171000, -0.076531000, -0.382467600, -1.508605900", \
"0.0365734000, 0.0348182000, 0.0284874000, 0.0059451000, -0.077106300, -0.383169800, -1.508796500");
}
related_pin : "A3";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018322580, 0.0067143430, 0.0246048200, 0.0901648000, 0.3304104000, 1.2107950000");
values("0.0287660000, 0.0305708000, 0.0372461000, 0.0613822000, 0.1460393000, 0.4528031000, 1.5717564000", \
"0.0287019000, 0.0305133000, 0.0371848000, 0.0611210000, 0.1457173000, 0.4506454000, 1.5716279000", \
"0.0285900000, 0.0303904000, 0.0370420000, 0.0610852000, 0.1454852000, 0.4501828000, 1.5674624000", \
"0.0281646000, 0.0299759000, 0.0365209000, 0.0608301000, 0.1454541000, 0.4524280000, 1.5683805000", \
"0.0279435000, 0.0297229000, 0.0362811000, 0.0604728000, 0.1448710000, 0.4498193000, 1.5668727000", \
"0.0292403000, 0.0309824000, 0.0372977000, 0.0599267000, 0.1446610000, 0.4489175000, 1.5661947000", \
"0.0304239000, 0.0320878000, 0.0384094000, 0.0613384000, 0.1457677000, 0.4498869000, 1.5703034000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018322580, 0.0067143430, 0.0246048200, 0.0901648000, 0.3304104000, 1.2107950000");
values("0.0353307000, 0.0337815000, 0.0287363000, 0.0092686000, -0.071239600, -0.377032300, -1.503051400", \
"0.0349869000, 0.0334777000, 0.0285630000, 0.0091295000, -0.071358700, -0.377201500, -1.503175100", \
"0.0350117000, 0.0334670000, 0.0283598000, 0.0089720000, -0.071553400, -0.377336500, -1.503320500", \
"0.0346699000, 0.0331627000, 0.0282647000, 0.0088147000, -0.071677100, -0.377502300, -1.503449800", \
"0.0344315000, 0.0328889000, 0.0278950000, 0.0084287000, -0.072087700, -0.377805200, -1.503702900", \
"0.0371296000, 0.0354413000, 0.0294318000, 0.0074565000, -0.072595200, -0.378129800, -1.503841900", \
"0.0410788000, 0.0393163000, 0.0330016000, 0.0103728000, -0.072695400, -0.378767100, -1.503944400");
}
related_pin : "A4";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018322580, 0.0067143430, 0.0246048200, 0.0901648000, 0.3304104000, 1.2107950000");
values("0.0287420000, 0.0305530000, 0.0372082000, 0.0615897000, 0.1459092000, 0.4504716000, 1.5676492000", \
"0.0286974000, 0.0304576000, 0.0371365000, 0.0611709000, 0.1458038000, 0.4506590000, 1.5707408000", \
"0.0285443000, 0.0303641000, 0.0370128000, 0.0610567000, 0.1455077000, 0.4504716000, 1.5673648000", \
"0.0281764000, 0.0299753000, 0.0366164000, 0.0606041000, 0.1451778000, 0.4501278000, 1.5710780000", \
"0.0279045000, 0.0297428000, 0.0362337000, 0.0605605000, 0.1449080000, 0.4501005000, 1.5714387000", \
"0.0294128000, 0.0311384000, 0.0375411000, 0.0601317000, 0.1443892000, 0.4493688000, 1.5660106000", \
"0.0304963000, 0.0322806000, 0.0384436000, 0.0612950000, 0.1460432000, 0.4507566000, 1.5695679000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018322580, 0.0067143430, 0.0246048200, 0.0901648000, 0.3304104000, 1.2107950000");
values("0.0321294000, 0.0306130000, 0.0256389000, 0.0062365000, -0.074219500, -0.379962500, -1.505999100", \
"0.0321442000, 0.0306282000, 0.0255825000, 0.0061810000, -0.074298500, -0.380085300, -1.506090100", \
"0.0318385000, 0.0303274000, 0.0252780000, 0.0059251000, -0.074475000, -0.380285700, -1.506174800", \
"0.0314048000, 0.0299099000, 0.0249288000, 0.0054750000, -0.074969200, -0.380723000, -1.506660300", \
"0.0311685000, 0.0296589000, 0.0245748000, 0.0050249000, -0.075525800, -0.381157300, -1.506944400", \
"0.0342248000, 0.0325360000, 0.0263388000, 0.0039375000, -0.076291000, -0.381792700, -1.507400400", \
"0.0393352000, 0.0375523000, 0.0311428000, 0.0081563000, -0.075211100, -0.381333400, -1.506480400");
}
related_pin : "B1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018322580, 0.0067143430, 0.0246048200, 0.0901648000, 0.3304104000, 1.2107950000");
values("0.0202475000, 0.0222553000, 0.0293046000, 0.0529897000, 0.1358617000, 0.4408745000, 1.5584544000", \
"0.0202038000, 0.0222128000, 0.0292847000, 0.0529914000, 0.1358977000, 0.4408327000, 1.5594626000", \
"0.0199292000, 0.0219226000, 0.0289172000, 0.0526536000, 0.1356844000, 0.4405730000, 1.5536137000", \
"0.0192920000, 0.0212102000, 0.0280659000, 0.0517065000, 0.1351427000, 0.4400690000, 1.5531558000", \
"0.0187560000, 0.0205710000, 0.0271617000, 0.0509254000, 0.1343243000, 0.4410322000, 1.5527969000", \
"0.0198213000, 0.0215405000, 0.0279002000, 0.0511602000, 0.1341786000, 0.4389367000, 1.5532291000", \
"0.0227194000, 0.0243818000, 0.0305359000, 0.0533239000, 0.1373185000, 0.4423911000, 1.5581356000");
}
}
max_capacitance : 0.3552340000;
max_transition : 1.4981770000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018322600, 0.0067143400, 0.0246048000, 0.0901648000, 0.3304100000, 1.2107900000");
values("0.3246206000, 0.3328355000, 0.3560787000, 0.4120903000, 0.5427131000, 0.9193518000, 2.2557027000", \
"0.3338314000, 0.3419512000, 0.3652421000, 0.4207633000, 0.5521362000, 0.9290011000, 2.2702433000", \
"0.3585403000, 0.3667011000, 0.3900029000, 0.4453955000, 0.5770571000, 0.9538229000, 2.2893347000", \
"0.4258348000, 0.4339644000, 0.4570466000, 0.5124621000, 0.6442876000, 1.0207272000, 2.3562146000", \
"0.5992122000, 0.6073556000, 0.6305201000, 0.6863348000, 0.8177510000, 1.1943796000, 2.5303906000", \
"0.9837883000, 0.9940114000, 1.0220097000, 1.0874688000, 1.2326505000, 1.6181958000, 2.9558238000", \
"1.6912275000, 1.7061363000, 1.7473026000, 1.8381578000, 2.0182933000, 2.4348723000, 3.7702299000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018322600, 0.0067143400, 0.0246048000, 0.0901648000, 0.3304100000, 1.2107900000");
values("0.3280255000, 0.3397487000, 0.3740657000, 0.4588573000, 0.6782778000, 1.3851515000, 3.9421213000", \
"0.3334611000, 0.3454188000, 0.3796679000, 0.4635090000, 0.6832128000, 1.3918759000, 3.9526031000", \
"0.3503158000, 0.3623204000, 0.3962905000, 0.4806061000, 0.7003064000, 1.4084217000, 3.9673753000", \
"0.4026961000, 0.4146375000, 0.4487472000, 0.5316765000, 0.7509313000, 1.4578782000, 4.0150590000", \
"0.5557748000, 0.5676859000, 0.6015937000, 0.6859677000, 0.9053860000, 1.6133361000, 4.1721284000", \
"0.8792490000, 0.8927764000, 0.9305144000, 1.0180049000, 1.2399267000, 1.9518906000, 4.5151127000", \
"1.3640624000, 1.3846398000, 1.4386408000, 1.5530460000, 1.7898880000, 2.4969105000, 5.0558326000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018322600, 0.0067143400, 0.0246048000, 0.0901648000, 0.3304100000, 1.2107900000");
values("0.0438942000, 0.0490035000, 0.0644760000, 0.1077100000, 0.2379963000, 0.7183752000, 2.5609206000", \
"0.0441199000, 0.0495407000, 0.0638675000, 0.1079691000, 0.2381930000, 0.7183109000, 2.5601361000", \
"0.0438397000, 0.0495743000, 0.0638939000, 0.1076620000, 0.2377391000, 0.7172501000, 2.5600198000", \
"0.0442596000, 0.0495939000, 0.0639189000, 0.1076508000, 0.2377683000, 0.7183658000, 2.5599312000", \
"0.0444520000, 0.0493607000, 0.0646350000, 0.1078621000, 0.2371676000, 0.7181313000, 2.5606377000", \
"0.0602695000, 0.0661936000, 0.0828974000, 0.1283560000, 0.2563201000, 0.7246912000, 2.5499127000", \
"0.1025442000, 0.1114163000, 0.1314019000, 0.1836833000, 0.3150815000, 0.7566584000, 2.5474653000");
}
related_pin : "A1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018322600, 0.0067143400, 0.0246048000, 0.0901648000, 0.3304100000, 1.2107900000");
values("0.0609700000, 0.0685047000, 0.0949329000, 0.1690752000, 0.4220102000, 1.3919668000, 4.9995137000", \
"0.0605067000, 0.0686663000, 0.0941736000, 0.1697156000, 0.4212330000, 1.3952528000, 4.9973532000", \
"0.0604904000, 0.0687130000, 0.0949770000, 0.1691673000, 0.4214416000, 1.3941038000, 5.0015507000", \
"0.0605258000, 0.0686610000, 0.0940623000, 0.1688678000, 0.4218206000, 1.3920634000, 5.0000044000", \
"0.0600556000, 0.0685557000, 0.0938270000, 0.1696810000, 0.4221677000, 1.3944754000, 5.0016324000", \
"0.0793843000, 0.0864979000, 0.1089846000, 0.1792750000, 0.4283438000, 1.3956700000, 5.0013358000", \
"0.1279340000, 0.1386326000, 0.1651489000, 0.2271291000, 0.4489959000, 1.3985196000, 5.0061884000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018322600, 0.0067143400, 0.0246048000, 0.0901648000, 0.3304100000, 1.2107900000");
values("0.3778375000, 0.3863431000, 0.4102295000, 0.4675423000, 0.6014767000, 0.9811755000, 2.3160720000", \
"0.3869728000, 0.3954576000, 0.4190451000, 0.4764270000, 0.6105780000, 0.9898700000, 2.3301567000", \
"0.4115273000, 0.4200162000, 0.4436350000, 0.5010486000, 0.6351768000, 1.0150956000, 2.3505717000", \
"0.4791899000, 0.4876893000, 0.5115819000, 0.5689446000, 0.7029610000, 1.0824536000, 2.4212832000", \
"0.6533105000, 0.6617876000, 0.6856926000, 0.7429839000, 0.8770540000, 1.2564862000, 2.5941842000", \
"1.0626805000, 1.0727916000, 1.1004997000, 1.1651849000, 1.3095935000, 1.6961761000, 3.0311464000", \
"1.8450181000, 1.8592448000, 1.8981794000, 1.9854269000, 2.1628523000, 2.5756242000, 3.9142405000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018322600, 0.0067143400, 0.0246048000, 0.0901648000, 0.3304100000, 1.2107900000");
values("0.3667683000, 0.3787310000, 0.4125411000, 0.4973721000, 0.7170980000, 1.4258799000, 3.9870076000", \
"0.3724907000, 0.3844616000, 0.4189969000, 0.5032330000, 0.7226909000, 1.4318392000, 3.9930534000", \
"0.3907459000, 0.4026869000, 0.4367606000, 0.5216098000, 0.7411431000, 1.4481005000, 4.0036507000", \
"0.4419723000, 0.4539544000, 0.4879577000, 0.5728373000, 0.7924357000, 1.5012684000, 4.0633208000", \
"0.5864140000, 0.5981450000, 0.6324358000, 0.7169045000, 0.9362867000, 1.6446783000, 4.2053738000", \
"0.9101603000, 0.9241085000, 0.9626797000, 1.0532466000, 1.2780993000, 1.9892852000, 4.5504027000", \
"1.4406023000, 1.4603843000, 1.5139888000, 1.6280407000, 1.8723270000, 2.5859983000, 5.1439462000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018322600, 0.0067143400, 0.0246048000, 0.0901648000, 0.3304100000, 1.2107900000");
values("0.0466738000, 0.0518195000, 0.0675104000, 0.1114381000, 0.2421515000, 0.7211329000, 2.5623754000", \
"0.0464819000, 0.0517612000, 0.0673589000, 0.1110001000, 0.2418593000, 0.7211984000, 2.5600273000", \
"0.0464879000, 0.0517377000, 0.0680434000, 0.1115923000, 0.2414093000, 0.7201393000, 2.5599549000", \
"0.0468139000, 0.0518528000, 0.0680114000, 0.1114610000, 0.2420572000, 0.7213583000, 2.5517088000", \
"0.0467233000, 0.0520490000, 0.0670357000, 0.1111657000, 0.2414928000, 0.7208016000, 2.5553979000", \
"0.0594876000, 0.0652775000, 0.0815581000, 0.1268779000, 0.2559450000, 0.7248522000, 2.5597424000", \
"0.0974742000, 0.1046428000, 0.1248958000, 0.1757594000, 0.3075808000, 0.7540998000, 2.5540061000");
}
related_pin : "A2";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018322600, 0.0067143400, 0.0246048000, 0.0901648000, 0.3304100000, 1.2107900000");
values("0.0605346000, 0.0686516000, 0.0953487000, 0.1687719000, 0.4208491000, 1.3951276000, 4.9934488000", \
"0.0607385000, 0.0688732000, 0.0942080000, 0.1689819000, 0.4218265000, 1.3945541000, 5.0007567000", \
"0.0609802000, 0.0693594000, 0.0951548000, 0.1691411000, 0.4220161000, 1.3926277000, 4.9994422000", \
"0.0603916000, 0.0685373000, 0.0952282000, 0.1688207000, 0.4209002000, 1.3944875000, 4.9943032000", \
"0.0616949000, 0.0695501000, 0.0950952000, 0.1690200000, 0.4215930000, 1.3963597000, 4.9993241000", \
"0.0753061000, 0.0836022000, 0.1087142000, 0.1825099000, 0.4290690000, 1.3960958000, 4.9981952000", \
"0.1175379000, 0.1274631000, 0.1567404000, 0.2270933000, 0.4529457000, 1.4022826000, 5.0011835000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018322600, 0.0067143400, 0.0246048000, 0.0901648000, 0.3304100000, 1.2107900000");
values("0.4000077000, 0.4085625000, 0.4326813000, 0.4898290000, 0.6242329000, 1.0052441000, 2.3423161000", \
"0.4085539000, 0.4171010000, 0.4412082000, 0.4984976000, 0.6329754000, 1.0140101000, 2.3503786000", \
"0.4331445000, 0.4417255000, 0.4658443000, 0.5231933000, 0.6576378000, 1.0381276000, 2.3773363000", \
"0.5015942000, 0.5101533000, 0.5341806000, 0.5916838000, 0.7261748000, 1.1065853000, 2.4476599000", \
"0.6759204000, 0.6844586000, 0.7084976000, 0.7658992000, 0.9002599000, 1.2813008000, 2.6164793000", \
"1.0936898000, 1.1030455000, 1.1304955000, 1.1949967000, 1.3372170000, 1.7228821000, 3.0635581000", \
"1.9053206000, 1.9191781000, 1.9565540000, 2.0402600000, 2.2129871000, 2.6232390000, 3.9651566000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018322600, 0.0067143400, 0.0246048000, 0.0901648000, 0.3304100000, 1.2107900000");
values("0.3863439000, 0.3983231000, 0.4325502000, 0.5172084000, 0.7367018000, 1.4456413000, 4.0078945000", \
"0.3925555000, 0.4045304000, 0.4390955000, 0.5232713000, 0.7428253000, 1.4519838000, 4.0134172000", \
"0.4114716000, 0.4234282000, 0.4576628000, 0.5423363000, 0.7619035000, 1.4695594000, 4.0219761000", \
"0.4592896000, 0.4712684000, 0.5052776000, 0.5901409000, 0.8097452000, 1.5186055000, 4.0806176000", \
"0.5838927000, 0.5958306000, 0.6297406000, 0.7147434000, 0.9339824000, 1.6406235000, 4.1958024000", \
"0.8666224000, 0.8803792000, 0.9188043000, 1.0096656000, 1.2355621000, 1.9453410000, 4.5009969000", \
"1.3731245000, 1.3905390000, 1.4415693000, 1.5545913000, 1.8007169000, 2.5153606000, 5.0707305000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018322600, 0.0067143400, 0.0246048000, 0.0901648000, 0.3304100000, 1.2107900000");
values("0.0477978000, 0.0531553000, 0.0676162000, 0.1125571000, 0.2419946000, 0.7215474000, 2.5613645000", \
"0.0476973000, 0.0529229000, 0.0676518000, 0.1123463000, 0.2427647000, 0.7219529000, 2.5605276000", \
"0.0473013000, 0.0523990000, 0.0687121000, 0.1123039000, 0.2426780000, 0.7225925000, 2.5649496000", \
"0.0482057000, 0.0525069000, 0.0688775000, 0.1120020000, 0.2423778000, 0.7220358000, 2.5521232000", \
"0.0477873000, 0.0530900000, 0.0680957000, 0.1120749000, 0.2416617000, 0.7227255000, 2.5551767000", \
"0.0582860000, 0.0641853000, 0.0800448000, 0.1256786000, 0.2549277000, 0.7263262000, 2.5525950000", \
"0.0923874000, 0.1006208000, 0.1198866000, 0.1686294000, 0.3007299000, 0.7505295000, 2.5512887000");
}
related_pin : "A3";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018322600, 0.0067143400, 0.0246048000, 0.0901648000, 0.3304100000, 1.2107900000");
values("0.0604238000, 0.0685766000, 0.0938053000, 0.1695288000, 0.4211153000, 1.3931120000, 4.9978079000", \
"0.0607436000, 0.0688745000, 0.0940909000, 0.1689528000, 0.4218621000, 1.3945354000, 5.0014419000", \
"0.0605538000, 0.0686637000, 0.0942525000, 0.1693528000, 0.4222174000, 1.3909659000, 4.9957500000", \
"0.0604835000, 0.0686176000, 0.0951557000, 0.1690948000, 0.4209734000, 1.3939636000, 4.9944471000", \
"0.0610638000, 0.0692423000, 0.0949432000, 0.1697677000, 0.4219025000, 1.3932784000, 4.9966489000", \
"0.0715451000, 0.0800877000, 0.1064478000, 0.1816814000, 0.4289789000, 1.3946050000, 4.9932423000", \
"0.1068114000, 0.1184220000, 0.1473374000, 0.2237424000, 0.4536933000, 1.3990809000, 4.9960240000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018322600, 0.0067143400, 0.0246048000, 0.0901648000, 0.3304100000, 1.2107900000");
values("0.4255477000, 0.4343225000, 0.4588925000, 0.5174616000, 0.6536515000, 1.0371676000, 2.3807782000", \
"0.4329307000, 0.4416912000, 0.4657152000, 0.5243143000, 0.6605717000, 1.0442187000, 2.3837632000", \
"0.4563832000, 0.4651859000, 0.4897615000, 0.5483682000, 0.6844816000, 1.0677720000, 2.4112161000", \
"0.5228494000, 0.5316020000, 0.5556777000, 0.6143011000, 0.7506211000, 1.1340971000, 2.4778564000", \
"0.6872571000, 0.6960425000, 0.7205836000, 0.7789715000, 0.9151337000, 1.2990928000, 2.6357401000", \
"1.0775560000, 1.0875364000, 1.1150194000, 1.1792431000, 1.3234163000, 1.7115410000, 3.0548513000", \
"1.8174675000, 1.8308703000, 1.8677482000, 1.9503394000, 2.1209838000, 2.5314044000, 3.8778185000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018322600, 0.0067143400, 0.0246048000, 0.0901648000, 0.3304100000, 1.2107900000");
values("0.3960663000, 0.4080488000, 0.4418533000, 0.5267368000, 0.7463637000, 1.4541072000, 4.0120809000", \
"0.4032630000, 0.4152398000, 0.4493390000, 0.5341477000, 0.7535988000, 1.4628339000, 4.0252708000", \
"0.4231168000, 0.4351260000, 0.4693824000, 0.5539242000, 0.7735114000, 1.4798158000, 4.0338533000", \
"0.4705618000, 0.4825148000, 0.5167455000, 0.6012923000, 0.8208404000, 1.5299105000, 4.0911314000", \
"0.5858485000, 0.5979860000, 0.6318046000, 0.7165998000, 0.9358210000, 1.6436353000, 4.1993102000", \
"0.8370207000, 0.8505255000, 0.8879189000, 0.9792231000, 1.2047848000, 1.9154256000, 4.4748925000", \
"1.3135925000, 1.3306062000, 1.3779818000, 1.4866148000, 1.7320301000, 2.4477425000, 5.0009237000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018322600, 0.0067143400, 0.0246048000, 0.0901648000, 0.3304100000, 1.2107900000");
values("0.0494694000, 0.0547293000, 0.0708673000, 0.1142640000, 0.2453051000, 0.7255099000, 2.5645572000", \
"0.0491275000, 0.0544921000, 0.0700703000, 0.1142202000, 0.2450482000, 0.7259633000, 2.5637956000", \
"0.0492735000, 0.0545852000, 0.0701797000, 0.1143674000, 0.2453614000, 0.7262655000, 2.5635831000", \
"0.0491318000, 0.0545279000, 0.0702342000, 0.1141641000, 0.2452769000, 0.7262058000, 2.5636839000", \
"0.0493661000, 0.0541687000, 0.0710467000, 0.1143327000, 0.2452742000, 0.7256850000, 2.5602662000", \
"0.0596801000, 0.0644303000, 0.0808703000, 0.1263684000, 0.2555209000, 0.7287811000, 2.5574294000", \
"0.0906485000, 0.0988380000, 0.1179290000, 0.1659968000, 0.2991826000, 0.7515548000, 2.5583582000");
}
related_pin : "A4";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018322600, 0.0067143400, 0.0246048000, 0.0901648000, 0.3304100000, 1.2107900000");
values("0.0605746000, 0.0686360000, 0.0953688000, 0.1688778000, 0.4218042000, 1.3917146000, 5.0021867000", \
"0.0604165000, 0.0686940000, 0.0947315000, 0.1694620000, 0.4215588000, 1.3944131000, 5.0025921000", \
"0.0605780000, 0.0687993000, 0.0941678000, 0.1693810000, 0.4222321000, 1.3934235000, 4.9947366000", \
"0.0606939000, 0.0688591000, 0.0939245000, 0.1688367000, 0.4218463000, 1.3944875000, 5.0012576000", \
"0.0608778000, 0.0694659000, 0.0948095000, 0.1690722000, 0.4216353000, 1.3944433000, 4.9957123000", \
"0.0696661000, 0.0786567000, 0.1059641000, 0.1811486000, 0.4285875000, 1.3969403000, 5.0007825000", \
"0.0954061000, 0.1058596000, 0.1353902000, 0.2121910000, 0.4526501000, 1.3995994000, 4.9983226000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018322600, 0.0067143400, 0.0246048000, 0.0901648000, 0.3304100000, 1.2107900000");
values("0.3702489000, 0.3789869000, 0.4036406000, 0.4622138000, 0.5982679000, 0.9813703000, 2.3194284000", \
"0.3758435000, 0.3846056000, 0.4092896000, 0.4677328000, 0.6038486000, 0.9874029000, 2.3269440000", \
"0.3946750000, 0.4034373000, 0.4279676000, 0.4860755000, 0.6224228000, 1.0059638000, 2.3496494000", \
"0.4507453000, 0.4595344000, 0.4848026000, 0.5433339000, 0.6797057000, 1.0629377000, 2.4054445000", \
"0.6281762000, 0.6370264000, 0.6615411000, 0.7200979000, 0.8560982000, 1.2393782000, 2.5814637000", \
"1.0571354000, 1.0684620000, 1.0965636000, 1.1583255000, 1.2996344000, 1.6877895000, 3.0324250000", \
"1.7872618000, 1.8029526000, 1.8449204000, 1.9321442000, 2.0957196000, 2.4941604000, 3.8412153000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018322600, 0.0067143400, 0.0246048000, 0.0901648000, 0.3304100000, 1.2107900000");
values("0.1017054000, 0.1093032000, 0.1330035000, 0.2017608000, 0.4016305000, 1.1000323000, 3.6522378000", \
"0.1098231000, 0.1176808000, 0.1413516000, 0.2102309000, 0.4101542000, 1.1084271000, 3.6761527000", \
"0.1337252000, 0.1412984000, 0.1648362000, 0.2338038000, 0.4340233000, 1.1300356000, 3.6767658000", \
"0.1978000000, 0.2053494000, 0.2287439000, 0.2978128000, 0.4986295000, 1.1952135000, 3.7419410000", \
"0.3219608000, 0.3319615000, 0.3607440000, 0.4367268000, 0.6415133000, 1.3415061000, 3.8864667000", \
"0.5242374000, 0.5400150000, 0.5840436000, 0.6856025000, 0.9072757000, 1.6055751000, 4.1532937000", \
"0.8061023000, 0.8305055000, 0.9022513000, 1.0689812000, 1.3571917000, 2.0645416000, 4.6110427000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018322600, 0.0067143400, 0.0246048000, 0.0901648000, 0.3304100000, 1.2107900000");
values("0.0492827000, 0.0549900000, 0.0695848000, 0.1137190000, 0.2453656000, 0.7248892000, 2.5585840000", \
"0.0490028000, 0.0542756000, 0.0708875000, 0.1143616000, 0.2453131000, 0.7250565000, 2.5642211000", \
"0.0489989000, 0.0543841000, 0.0696735000, 0.1140783000, 0.2453643000, 0.7256765000, 2.5579427000", \
"0.0491504000, 0.0543402000, 0.0699074000, 0.1144636000, 0.2454252000, 0.7256048000, 2.5671434000", \
"0.0488035000, 0.0545799000, 0.0695447000, 0.1137060000, 0.2449354000, 0.7249275000, 2.5669360000", \
"0.0676852000, 0.0732994000, 0.0859332000, 0.1265283000, 0.2555711000, 0.7284724000, 2.5642453000", \
"0.1140676000, 0.1213214000, 0.1392733000, 0.1791645000, 0.2928064000, 0.7461489000, 2.5633504000");
}
related_pin : "B1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018322600, 0.0067143400, 0.0246048000, 0.0901648000, 0.3304100000, 1.2107900000");
values("0.0317312000, 0.0386787000, 0.0623463000, 0.1391970000, 0.3944297000, 1.3802350000, 4.9794369000", \
"0.0317936000, 0.0386463000, 0.0623184000, 0.1391806000, 0.3944170000, 1.3818908000, 4.9898667000", \
"0.0318032000, 0.0386708000, 0.0624131000, 0.1391891000, 0.3946863000, 1.3789023000, 4.9790843000", \
"0.0334524000, 0.0401914000, 0.0633438000, 0.1398119000, 0.3952091000, 1.3789635000, 4.9790931000", \
"0.0475981000, 0.0546012000, 0.0775946000, 0.1507296000, 0.3978152000, 1.3794530000, 4.9839413000", \
"0.0811325000, 0.0907060000, 0.1199018000, 0.1909952000, 0.4142583000, 1.3748296000, 4.9856927000", \
"0.1415371000, 0.1584246000, 0.2067871000, 0.3060386000, 0.4802185000, 1.3864078000, 4.9781494000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
/* removed sky130_fd_sc_hd__a41oi_1 */
/* removed sky130_fd_sc_hd__a41oi_2 */
cell ("sky130_fd_sc_hd__a41oi_4") {
leakage_power () {
value : 5.4069189000;
when : "!A1&!A2&!A3&!A4&B1";
}
leakage_power () {
value : 2.9658400000;
when : "!A1&!A2&!A3&!A4&!B1";
}
leakage_power () {
value : 5.4068772000;
when : "!A1&!A2&!A3&A4&B1";
}
leakage_power () {
value : 3.0049181000;
when : "!A1&!A2&!A3&A4&!B1";
}
leakage_power () {
value : 5.4068905000;
when : "!A1&!A2&A3&!A4&B1";
}
leakage_power () {
value : 3.0075336000;
when : "!A1&!A2&A3&!A4&!B1";
}
leakage_power () {
value : 5.4068017000;
when : "!A1&!A2&A3&A4&B1";
}
leakage_power () {
value : 3.1346135000;
when : "!A1&!A2&A3&A4&!B1";
}
leakage_power () {
value : 5.4068767000;
when : "!A1&A2&!A3&!A4&B1";
}
leakage_power () {
value : 3.0108740000;
when : "!A1&A2&!A3&!A4&!B1";
}
leakage_power () {
value : 5.4067977000;
when : "!A1&A2&!A3&A4&B1";
}
leakage_power () {
value : 3.1387484000;
when : "!A1&A2&!A3&A4&!B1";
}
leakage_power () {
value : 5.4068119000;
when : "!A1&A2&A3&!A4&B1";
}
leakage_power () {
value : 3.1474343000;
when : "!A1&A2&A3&!A4&!B1";
}
leakage_power () {
value : 5.4065401000;
when : "!A1&A2&A3&A4&B1";
}
leakage_power () {
value : 6.3685022000;
when : "!A1&A2&A3&A4&!B1";
}
leakage_power () {
value : 5.4068172000;
when : "A1&!A2&!A3&!A4&B1";
}
leakage_power () {
value : 3.0099467000;
when : "A1&!A2&!A3&!A4&!B1";
}
leakage_power () {
value : 5.4067253000;
when : "A1&!A2&!A3&A4&B1";
}
leakage_power () {
value : 3.1323200000;
when : "A1&!A2&!A3&A4&!B1";
}
leakage_power () {
value : 5.4067502000;
when : "A1&!A2&A3&!A4&B1";
}
leakage_power () {
value : 3.1407341000;
when : "A1&!A2&A3&!A4&!B1";
}
leakage_power () {
value : 5.4064913000;
when : "A1&!A2&A3&A4&B1";
}
leakage_power () {
value : 5.6223395000;
when : "A1&!A2&A3&A4&!B1";
}
leakage_power () {
value : 5.4066871000;
when : "A1&A2&!A3&!A4&B1";
}
leakage_power () {
value : 3.1400023000;
when : "A1&A2&!A3&!A4&!B1";
}
leakage_power () {
value : 5.4063958000;
when : "A1&A2&!A3&A4&B1";
}
leakage_power () {
value : 5.5006484000;
when : "A1&A2&!A3&A4&!B1";
}
leakage_power () {
value : 5.4064238000;
when : "A1&A2&A3&!A4&B1";
}
leakage_power () {
value : 5.4776228000;
when : "A1&A2&A3&!A4&!B1";
}
leakage_power () {
value : 0.8057646000;
when : "A1&A2&A3&A4&B1";
}
leakage_power () {
value : 8.3915745000;
when : "A1&A2&A3&A4&!B1";
}
area : 27.526400000;
cell_footprint : "sky130_fd_sc_hd__a41oi";
cell_leakage_power : 4.5968820000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A1") {
capacitance : 0.0084390000;
clock : "false";
direction : "input";
fall_capacitance : 0.0082610000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0148032000, 0.0147854000, 0.0147689000, 0.0147515000, 0.0147359000, 0.0147253000, 0.0147290000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.011163600, -0.011191400, -0.011238000, -0.011221400, -0.011142900, -0.010889700, -0.010144200");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0086160000;
}
pin ("A2") {
capacitance : 0.0084610000;
clock : "false";
direction : "input";
fall_capacitance : 0.0082290000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0130418000, 0.0130236000, 0.0130064000, 0.0130173000, 0.0130823000, 0.0132991000, 0.0139436000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.012898500, -0.012916300, -0.012934600, -0.012952300, -0.012970400, -0.012989300, -0.013010500");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0086930000;
}
pin ("A3") {
capacitance : 0.0083700000;
clock : "false";
direction : "input";
fall_capacitance : 0.0080470000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0126349000, 0.0126359000, 0.0126726000, 0.0126549000, 0.0126392000, 0.0126286000, 0.0126328000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.012675400, -0.012697000, -0.012725800, -0.012738900, -0.012743900, -0.012726000, -0.012643400");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0086920000;
}
pin ("A4") {
capacitance : 0.0085920000;
clock : "false";
direction : "input";
fall_capacitance : 0.0081840000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0128340000, 0.0128228000, 0.0128248000, 0.0128051000, 0.0127838000, 0.0127574000, 0.0127170000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.012877000, -0.012886100, -0.012880000, -0.012897500, -0.012914600, -0.012931100, -0.012945400");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0090000000;
}
pin ("B1") {
capacitance : 0.0085350000;
clock : "false";
direction : "input";
fall_capacitance : 0.0079700000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0076940000, 0.0076475000, 0.0075226000, 0.0075923000, 0.0077947000, 0.0083708000, 0.0099999000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.005946400, -0.005983100, -0.006024200, -0.006058300, -0.006091700, -0.006123600, -0.006151500");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0091000000;
}
pin ("Y") {
direction : "output";
function : "(!A1&!B1) | (!A2&!B1) | (!A3&!B1) | (!A4&!B1)";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0015470450, 0.0047866970, 0.0148104700, 0.0458249300, 0.1417865000, 0.4387001000");
values("0.0180441000, 0.0167167000, 0.0125903000, 3.330000e-05, -0.039241900, -0.161472900, -0.540771500", \
"0.0177672000, 0.0164531000, 0.0124178000, -0.000216400, -0.039437300, -0.161641100, -0.541013700", \
"0.0171872000, 0.0158825000, 0.0118619000, -0.000646600, -0.039771200, -0.162157100, -0.541228700", \
"0.0160874000, 0.0148572000, 0.0107202000, -0.001587100, -0.040337400, -0.162436400, -0.541646500", \
"0.0153058000, 0.0140191000, 0.0100315000, -0.002140000, -0.041074200, -0.162770400, -0.541888900", \
"0.0153354000, 0.0139854000, 0.0098308000, -0.003003200, -0.041855400, -0.163682900, -0.542529100", \
"0.0184337000, 0.0169523000, 0.0126218000, -0.000286700, -0.040914700, -0.163765800, -0.543416500");
}
related_pin : "A1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0015470450, 0.0047866970, 0.0148104700, 0.0458249300, 0.1417865000, 0.4387001000");
values("0.0225270000, 0.0239295000, 0.0282564000, 0.0410866000, 0.0809734000, 0.2017700000, 0.5778718000", \
"0.0220435000, 0.0235421000, 0.0279602000, 0.0411028000, 0.0807515000, 0.2023599000, 0.5777266000", \
"0.0213934000, 0.0228809000, 0.0273519000, 0.0406679000, 0.0811472000, 0.2024367000, 0.5783387000", \
"0.0206728000, 0.0220758000, 0.0265056000, 0.0399394000, 0.0805764000, 0.2025348000, 0.5784589000", \
"0.0201187000, 0.0215331000, 0.0257515000, 0.0388092000, 0.0791983000, 0.2015734000, 0.5777807000", \
"0.0189945000, 0.0203504000, 0.0248818000, 0.0384327000, 0.0780901000, 0.2011668000, 0.5781621000", \
"0.0195249000, 0.0208159000, 0.0248131000, 0.0377345000, 0.0771226000, 0.2020458000, 0.5782238000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0015470450, 0.0047866970, 0.0148104700, 0.0458249300, 0.1417865000, 0.4387001000");
values("0.0197896000, 0.0184870000, 0.0144051000, 0.0017847000, -0.037528000, -0.159921800, -0.538864800", \
"0.0195569000, 0.0182074000, 0.0141544000, 0.0015166000, -0.037746600, -0.159891700, -0.539289500", \
"0.0190207000, 0.0177027000, 0.0136600000, 0.0010948000, -0.038098100, -0.160289200, -0.539666900", \
"0.0182138000, 0.0168959000, 0.0128999000, 0.0004541000, -0.038573500, -0.160604900, -0.539792400", \
"0.0173187000, 0.0160209000, 0.0121276000, -0.000241400, -0.039124100, -0.161072600, -0.540259500", \
"0.0175205000, 0.0161927000, 0.0120635000, -0.000653900, -0.039675000, -0.161265500, -0.540219400", \
"0.0193551000, 0.0180052000, 0.0138085000, 0.0009559000, -0.038969700, -0.161718000, -0.540652400");
}
related_pin : "A2";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0015470450, 0.0047866970, 0.0148104700, 0.0458249300, 0.1417865000, 0.4387001000");
values("0.0335452000, 0.0348922000, 0.0390240000, 0.0518171000, 0.0912499000, 0.2126850000, 0.5887403000", \
"0.0333738000, 0.0347701000, 0.0389605000, 0.0518301000, 0.0913838000, 0.2127185000, 0.5887429000", \
"0.0328378000, 0.0342435000, 0.0385214000, 0.0515786000, 0.0914162000, 0.2130272000, 0.5888214000", \
"0.0319122000, 0.0333401000, 0.0375726000, 0.0507967000, 0.0907170000, 0.2125202000, 0.5889459000", \
"0.0311060000, 0.0324873000, 0.0367349000, 0.0498258000, 0.0897932000, 0.2119401000, 0.5887233000", \
"0.0298410000, 0.0314447000, 0.0361274000, 0.0491200000, 0.0889863000, 0.2112245000, 0.5880019000", \
"0.0297349000, 0.0310287000, 0.0352496000, 0.0478223000, 0.0872115000, 0.2104512000, 0.5870084000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0015470450, 0.0047866970, 0.0148104700, 0.0458249300, 0.1417865000, 0.4387001000");
values("0.0196557000, 0.0183279000, 0.0142554000, 0.0016416000, -0.037636100, -0.160065500, -0.539205900", \
"0.0194006000, 0.0180811000, 0.0140094000, 0.0014072000, -0.037875500, -0.160068300, -0.539444000", \
"0.0189172000, 0.0176038000, 0.0135611000, 0.0009660000, -0.038336400, -0.160356300, -0.539797100", \
"0.0180909000, 0.0167905000, 0.0127900000, 0.0003308000, -0.038711700, -0.160749500, -0.540077800", \
"0.0174036000, 0.0161400000, 0.0121651000, -0.000259100, -0.039185200, -0.160976800, -0.540063900", \
"0.0173253000, 0.0159762000, 0.0118592000, -0.001054200, -0.040047400, -0.161645100, -0.540649200", \
"0.0186058000, 0.0172172000, 0.0131033000, 0.0002037000, -0.039468500, -0.162134200, -0.541038900");
}
related_pin : "A3";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0015470450, 0.0047866970, 0.0148104700, 0.0458249300, 0.1417865000, 0.4387001000");
values("0.0416016000, 0.0429363000, 0.0470884000, 0.0600813000, 0.0996148000, 0.2214262000, 0.5975763000", \
"0.0413431000, 0.0427396000, 0.0469506000, 0.0599636000, 0.0995662000, 0.2212041000, 0.5974198000", \
"0.0408435000, 0.0422522000, 0.0464805000, 0.0594867000, 0.0991814000, 0.2212638000, 0.5971898000", \
"0.0401152000, 0.0414977000, 0.0457773000, 0.0588846000, 0.0988737000, 0.2207363000, 0.5970879000", \
"0.0395551000, 0.0409106000, 0.0450713000, 0.0581831000, 0.0980861000, 0.2202340000, 0.5968553000", \
"0.0394146000, 0.0406821000, 0.0448666000, 0.0578925000, 0.0978650000, 0.2202500000, 0.5966867000", \
"0.0394143000, 0.0406959000, 0.0448651000, 0.0574527000, 0.0970975000, 0.2199330000, 0.5966873000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0015470450, 0.0047866970, 0.0148104700, 0.0458249300, 0.1417865000, 0.4387001000");
values("0.0196377000, 0.0182889000, 0.0142207000, 0.0016311000, -0.037692600, -0.159845000, -0.539242800", \
"0.0192842000, 0.0179637000, 0.0138817000, 0.0012901000, -0.037960400, -0.160185100, -0.539545600", \
"0.0187919000, 0.0174378000, 0.0133967000, 0.0008236000, -0.038361100, -0.160598200, -0.539921300", \
"0.0179523000, 0.0166729000, 0.0127153000, 0.0001803000, -0.038841400, -0.161028100, -0.540212200", \
"0.0173546000, 0.0159636000, 0.0120572000, -0.000375800, -0.039280700, -0.161139000, -0.540490000", \
"0.0173234000, 0.0159947000, 0.0118777000, -0.000956500, -0.040036600, -0.161745600, -0.540696100", \
"0.0187502000, 0.0174002000, 0.0131724000, 0.0003491000, -0.039371600, -0.162050800, -0.541006700");
}
related_pin : "A4";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0015470450, 0.0047866970, 0.0148104700, 0.0458249300, 0.1417865000, 0.4387001000");
values("0.0492728000, 0.0505950000, 0.0547937000, 0.0677802000, 0.1076139000, 0.2301131000, 0.6063770000", \
"0.0489038000, 0.0502374000, 0.0544206000, 0.0674049000, 0.1072698000, 0.2297624000, 0.6062437000", \
"0.0484670000, 0.0497922000, 0.0540079000, 0.0670415000, 0.1070697000, 0.2289975000, 0.6055943000", \
"0.0480379000, 0.0493687000, 0.0535602000, 0.0666643000, 0.1067601000, 0.2291018000, 0.6056237000", \
"0.0475303000, 0.0488925000, 0.0531276000, 0.0661008000, 0.1062620000, 0.2284496000, 0.6055331000", \
"0.0474490000, 0.0488424000, 0.0529972000, 0.0660686000, 0.1062586000, 0.2283837000, 0.6053164000", \
"0.0482502000, 0.0496275000, 0.0536383000, 0.0661799000, 0.1053750000, 0.2288868000, 0.6063264000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0015470450, 0.0047866970, 0.0148104700, 0.0458249300, 0.1417865000, 0.4387001000");
values("0.0003042000, -0.000864800, -0.004706100, -0.017244000, -0.056780100, -0.179558900, -0.559650500", \
"-0.000349800, -0.001450800, -0.005082400, -0.017272700, -0.056595900, -0.179243700, -0.559234500", \
"-0.001186500, -0.002252800, -0.005861800, -0.017694400, -0.056541900, -0.178974800, -0.558855700", \
"-0.002030600, -0.003198400, -0.006829300, -0.018676500, -0.057039600, -0.179023100, -0.558709300", \
"-0.001881000, -0.003165300, -0.007116700, -0.019644600, -0.058074100, -0.179524500, -0.558778300", \
"-0.000978900, -0.002375000, -0.006596900, -0.019465800, -0.058656500, -0.180478100, -0.559349300", \
"0.0037071000, 0.0022085000, -0.002325900, -0.016053500, -0.056839400, -0.179985600, -0.559732500");
}
related_pin : "B1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0015470450, 0.0047866970, 0.0148104700, 0.0458249300, 0.1417865000, 0.4387001000");
values("0.0445735000, 0.0459574000, 0.0502612000, 0.0635363000, 0.1035619000, 0.2255983000, 0.6026446000", \
"0.0440308000, 0.0454286000, 0.0497712000, 0.0632020000, 0.1033347000, 0.2258902000, 0.6025183000", \
"0.0436782000, 0.0450759000, 0.0493467000, 0.0626333000, 0.1030169000, 0.2256278000, 0.6026937000", \
"0.0429749000, 0.0443633000, 0.0486559000, 0.0616623000, 0.1022196000, 0.2252375000, 0.6022376000", \
"0.0423486000, 0.0437295000, 0.0478948000, 0.0610339000, 0.1013435000, 0.2243012000, 0.6016024000", \
"0.0437060000, 0.0449581000, 0.0488390000, 0.0614803000, 0.1010493000, 0.2225700000, 0.5998861000", \
"0.0451650000, 0.0463355000, 0.0503849000, 0.0623495000, 0.1024746000, 0.2229144000, 0.5993752000");
}
}
max_capacitance : 0.1226910000;
max_transition : 1.4972300000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015470500, 0.0047867000, 0.0148105000, 0.0458249000, 0.1417860000, 0.4387000000");
values("0.1226532000, 0.1306596000, 0.1552645000, 0.2252605000, 0.4281062000, 1.0397091000, 2.9140970000", \
"0.1279574000, 0.1359778000, 0.1599806000, 0.2295273000, 0.4331648000, 1.0447413000, 2.9192613000", \
"0.1439118000, 0.1523085000, 0.1767100000, 0.2471940000, 0.4509096000, 1.0625297000, 2.9368561000", \
"0.1940424000, 0.2021197000, 0.2248949000, 0.2965911000, 0.5018281000, 1.1145136000, 2.9887819000", \
"0.3241633000, 0.3351423000, 0.3664940000, 0.4496506000, 0.6532816000, 1.2665383000, 3.1420764000", \
"0.5202865000, 0.5387342000, 0.5916412000, 0.7270555000, 1.0394179000, 1.6986493000, 3.5730670000", \
"0.7584616000, 0.7901692000, 0.8814585000, 1.1155754000, 1.6599540000, 2.7339027000, 4.8041784000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015470500, 0.0047867000, 0.0148105000, 0.0458249000, 0.1417860000, 0.4387000000");
values("0.1468055000, 0.1558279000, 0.1823715000, 0.2610253000, 0.5022678000, 1.2362510000, 3.5129462000", \
"0.1530384000, 0.1623769000, 0.1899421000, 0.2702590000, 0.5113129000, 1.2508325000, 3.5399865000", \
"0.1752167000, 0.1844800000, 0.2122641000, 0.2943059000, 0.5382899000, 1.2740146000, 3.5533134000", \
"0.2427075000, 0.2517205000, 0.2788136000, 0.3605650000, 0.6065544000, 1.3454111000, 3.6255328000", \
"0.3954122000, 0.4070115000, 0.4403353000, 0.5306557000, 0.7754717000, 1.5224159000, 3.8157020000", \
"0.6764376000, 0.6967540000, 0.7528188000, 0.8939466000, 1.2171768000, 1.9843591000, 4.2776014000", \
"1.1250726000, 1.1674082000, 1.2912947000, 1.5665951000, 2.1392059000, 3.2141534000, 5.5889293000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015470500, 0.0047867000, 0.0148105000, 0.0458249000, 0.1417860000, 0.4387000000");
values("0.1240058000, 0.1329629000, 0.1599095000, 0.2443321000, 0.5056540000, 1.3115740000, 3.7993195000", \
"0.1240767000, 0.1331762000, 0.1602977000, 0.2451037000, 0.5051224000, 1.3124226000, 3.7999524000", \
"0.1245661000, 0.1334670000, 0.1604166000, 0.2441175000, 0.5058142000, 1.3132806000, 3.8003383000", \
"0.1203103000, 0.1287372000, 0.1565786000, 0.2419132000, 0.5047131000, 1.3125966000, 3.8001238000", \
"0.1614140000, 0.1717901000, 0.2014222000, 0.2816585000, 0.5120114000, 1.3113530000, 3.8014076000", \
"0.2846030000, 0.3002399000, 0.3444370000, 0.4515571000, 0.6989507000, 1.3685894000, 3.8028269000", \
"0.5418934000, 0.5684527000, 0.6471127000, 0.8506657000, 1.2068725000, 1.9619211000, 3.9748183000");
}
related_pin : "A1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015470500, 0.0047867000, 0.0148105000, 0.0458249000, 0.1417860000, 0.4387000000");
values("0.0771790000, 0.0880137000, 0.1216076000, 0.2259008000, 0.5491593000, 1.5337323000, 4.5885686000", \
"0.0771797000, 0.0881578000, 0.1216996000, 0.2255650000, 0.5474120000, 1.5381288000, 4.5922235000", \
"0.0775333000, 0.0882278000, 0.1216566000, 0.2257094000, 0.5493149000, 1.5412066000, 4.5866009000", \
"0.0782039000, 0.0892812000, 0.1223888000, 0.2255948000, 0.5491703000, 1.5372638000, 4.5919526000", \
"0.1091084000, 0.1193017000, 0.1504850000, 0.2417048000, 0.5484025000, 1.5391248000, 4.5944870000", \
"0.2032845000, 0.2166411000, 0.2549973000, 0.3642356000, 0.6502111000, 1.5580629000, 4.6043738000", \
"0.4702676000, 0.4913824000, 0.5512837000, 0.7041812000, 1.0606336000, 1.9183120000, 4.6448161000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015470500, 0.0047867000, 0.0148105000, 0.0458249000, 0.1417860000, 0.4387000000");
values("0.1593512000, 0.1679866000, 0.1914767000, 0.2619205000, 0.4652079000, 1.0763214000, 2.9507652000", \
"0.1653550000, 0.1734141000, 0.1972170000, 0.2673927000, 0.4702914000, 1.0819795000, 2.9568321000", \
"0.1825199000, 0.1907716000, 0.2153660000, 0.2851578000, 0.4884866000, 1.1002533000, 2.9746874000", \
"0.2316262000, 0.2398156000, 0.2638773000, 0.3347798000, 0.5387080000, 1.1507408000, 3.0251179000", \
"0.3550478000, 0.3649733000, 0.3946655000, 0.4723383000, 0.6816972000, 1.2957245000, 3.1717902000", \
"0.5764421000, 0.5923145000, 0.6387393000, 0.7603768000, 1.0440143000, 1.7057812000, 3.5838967000", \
"0.8637754000, 0.8928164000, 0.9760360000, 1.1967998000, 1.6959322000, 2.6830445000, 4.7341091000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015470500, 0.0047867000, 0.0148105000, 0.0458249000, 0.1417860000, 0.4387000000");
values("0.1937968000, 0.2028605000, 0.2293728000, 0.3098025000, 0.5556580000, 1.3103431000, 3.6395522000", \
"0.2018728000, 0.2111076000, 0.2383375000, 0.3192122000, 0.5651276000, 1.3207389000, 3.6494712000", \
"0.2246740000, 0.2338109000, 0.2615095000, 0.3435700000, 0.5909734000, 1.3465378000, 3.6764194000", \
"0.2909136000, 0.3003566000, 0.3279152000, 0.4109869000, 0.6598623000, 1.4171818000, 3.7479606000", \
"0.4558619000, 0.4662909000, 0.4970175000, 0.5821582000, 0.8312536000, 1.5902315000, 3.9235220000", \
"0.7809450000, 0.7965302000, 0.8437585000, 0.9685791000, 1.2770882000, 2.0522481000, 4.3874260000", \
"1.3520034000, 1.3841533000, 1.4789439000, 1.7127082000, 2.2258637000, 3.2804788000, 5.6891745000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015470500, 0.0047867000, 0.0148105000, 0.0458249000, 0.1417860000, 0.4387000000");
values("0.1232719000, 0.1319673000, 0.1597793000, 0.2442929000, 0.5061877000, 1.3139615000, 3.8046957000", \
"0.1230402000, 0.1318545000, 0.1597679000, 0.2439514000, 0.5060608000, 1.3129438000, 3.7974308000", \
"0.1232191000, 0.1323968000, 0.1594743000, 0.2448767000, 0.5053071000, 1.3132493000, 3.7992436000", \
"0.1235494000, 0.1321020000, 0.1592084000, 0.2435891000, 0.5051204000, 1.3123282000, 3.8018086000", \
"0.1542730000, 0.1633795000, 0.1909272000, 0.2671305000, 0.5113034000, 1.3124838000, 3.8004537000", \
"0.2617755000, 0.2763476000, 0.3109474000, 0.4044577000, 0.6409993000, 1.3469406000, 3.8037520000", \
"0.5219518000, 0.5425853000, 0.6003397000, 0.7461418000, 1.0719718000, 1.7962665000, 3.9253023000");
}
related_pin : "A2";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015470500, 0.0047867000, 0.0148105000, 0.0458249000, 0.1417860000, 0.4387000000");
values("0.1100707000, 0.1213347000, 0.1561486000, 0.2631566000, 0.5925526000, 1.6010756000, 4.7359161000", \
"0.1100212000, 0.1213660000, 0.1562640000, 0.2635862000, 0.5921958000, 1.6041379000, 4.7397455000", \
"0.1101091000, 0.1213872000, 0.1562206000, 0.2634257000, 0.5925122000, 1.6037939000, 4.7252620000", \
"0.1104440000, 0.1214332000, 0.1564132000, 0.2637182000, 0.5916959000, 1.6045416000, 4.7290416000", \
"0.1333474000, 0.1438552000, 0.1749091000, 0.2735072000, 0.5928470000, 1.6043995000, 4.7274460000", \
"0.2242919000, 0.2383584000, 0.2767035000, 0.3864372000, 0.6807109000, 1.6175503000, 4.7318500000", \
"0.4919755000, 0.5103346000, 0.5691441000, 0.7174709000, 1.0662468000, 1.9401809000, 4.7667035000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015470500, 0.0047867000, 0.0148105000, 0.0458249000, 0.1417860000, 0.4387000000");
values("0.1791723000, 0.1869537000, 0.2113434000, 0.2812544000, 0.4841658000, 1.0958005000, 2.9704146000", \
"0.1850195000, 0.1931977000, 0.2175988000, 0.2878290000, 0.4906940000, 1.1025261000, 2.9766110000", \
"0.2032364000, 0.2115799000, 0.2356844000, 0.3057162000, 0.5095261000, 1.1211767000, 2.9953566000", \
"0.2490638000, 0.2570290000, 0.2816299000, 0.3522752000, 0.5563613000, 1.1686409000, 3.0432967000", \
"0.3564048000, 0.3658754000, 0.3939837000, 0.4696200000, 0.6774297000, 1.2907887000, 3.1661338000", \
"0.5661041000, 0.5800104000, 0.6186697000, 0.7237249000, 0.9817612000, 1.6332998000, 3.5112289000", \
"0.8557357000, 0.8811100000, 0.9540220000, 1.1440248000, 1.5702772000, 2.4512825000, 4.4777728000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015470500, 0.0047867000, 0.0148105000, 0.0458249000, 0.1417860000, 0.4387000000");
values("0.2362276000, 0.2460337000, 0.2744040000, 0.3612603000, 0.6207437000, 1.4100943000, 3.8420618000", \
"0.2434490000, 0.2530919000, 0.2827759000, 0.3692323000, 0.6284069000, 1.4182041000, 3.8499284000", \
"0.2667088000, 0.2764418000, 0.3064423000, 0.3935526000, 0.6525873000, 1.4428267000, 3.8748484000", \
"0.3332036000, 0.3434732000, 0.3723894000, 0.4604590000, 0.7214803000, 1.5125706000, 3.9453281000", \
"0.5020671000, 0.5119768000, 0.5433822000, 0.6309860000, 0.8920658000, 1.6850833000, 4.1171235000", \
"0.8515997000, 0.8668221000, 0.9109152000, 1.0309942000, 1.3393365000, 2.1416449000, 4.5779456000", \
"1.4947865000, 1.5207972000, 1.6041961000, 1.8162041000, 2.3079063000, 3.3648166000, 5.8590692000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015470500, 0.0047867000, 0.0148105000, 0.0458249000, 0.1417860000, 0.4387000000");
values("0.1230633000, 0.1321833000, 0.1594626000, 0.2444092000, 0.5059589000, 1.3141671000, 3.7975472000", \
"0.1232200000, 0.1323351000, 0.1593855000, 0.2442892000, 0.5057149000, 1.3142258000, 3.7975116000", \
"0.1231868000, 0.1323527000, 0.1596811000, 0.2447297000, 0.5064482000, 1.3120872000, 3.7962167000", \
"0.1223304000, 0.1314693000, 0.1588257000, 0.2437616000, 0.5055890000, 1.3121869000, 3.7974575000", \
"0.1451387000, 0.1539245000, 0.1817068000, 0.2609033000, 0.5112349000, 1.3120692000, 3.8001189000", \
"0.2297257000, 0.2392506000, 0.2707652000, 0.3573486000, 0.6021350000, 1.3418516000, 3.8030117000", \
"0.4693125000, 0.4858297000, 0.5312928000, 0.6588051000, 0.9459457000, 1.6730894000, 3.8994958000");
}
related_pin : "A3";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015470500, 0.0047867000, 0.0148105000, 0.0458249000, 0.1417860000, 0.4387000000");
values("0.1446892000, 0.1563419000, 0.1932203000, 0.3053608000, 0.6474242000, 1.7050926000, 4.9623701000", \
"0.1447425000, 0.1563205000, 0.1929782000, 0.3055926000, 0.6488939000, 1.7036350000, 4.9626432000", \
"0.1447430000, 0.1563175000, 0.1928518000, 0.3053811000, 0.6482686000, 1.7051055000, 4.9638595000", \
"0.1445457000, 0.1564877000, 0.1928752000, 0.3050145000, 0.6488871000, 1.7032877000, 4.9623949000", \
"0.1604924000, 0.1710654000, 0.2053754000, 0.3116011000, 0.6479828000, 1.7018005000, 4.9596314000", \
"0.2516304000, 0.2643356000, 0.3031817000, 0.4159372000, 0.7207164000, 1.7109371000, 4.9609037000", \
"0.5166100000, 0.5362558000, 0.5921733000, 0.7395116000, 1.0983323000, 2.0103825000, 4.9999236000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015470500, 0.0047867000, 0.0148105000, 0.0458249000, 0.1417860000, 0.4387000000");
values("0.1877897000, 0.1955287000, 0.2195741000, 0.2894269000, 0.4926221000, 1.1042117000, 2.9787266000", \
"0.1943883000, 0.2022996000, 0.2269049000, 0.2969316000, 0.4997417000, 1.1113938000, 2.9850238000", \
"0.2132972000, 0.2211070000, 0.2452730000, 0.3153207000, 0.5187067000, 1.1304589000, 3.0047913000", \
"0.2573901000, 0.2657488000, 0.2896945000, 0.3607444000, 0.5648826000, 1.1770858000, 3.0516163000", \
"0.3572030000, 0.3656026000, 0.3919449000, 0.4674849000, 0.6738926000, 1.2870787000, 3.1628520000", \
"0.5484172000, 0.5598561000, 0.5934392000, 0.6862417000, 0.9306660000, 1.5733764000, 3.4516416000", \
"0.8408076000, 0.8634516000, 0.9206862000, 1.0800062000, 1.4384637000, 2.2496458000, 4.2514117000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015470500, 0.0047867000, 0.0148105000, 0.0458249000, 0.1417860000, 0.4387000000");
values("0.2502539000, 0.2600809000, 0.2889777000, 0.3767160000, 0.6300554000, 1.3959194000, 3.7399244000", \
"0.2571303000, 0.2668215000, 0.2960165000, 0.3837368000, 0.6368661000, 1.4028577000, 3.7472425000", \
"0.2797187000, 0.2899365000, 0.3195124000, 0.4068157000, 0.6604581000, 1.4261383000, 3.7701451000", \
"0.3465117000, 0.3564884000, 0.3860194000, 0.4734081000, 0.7285210000, 1.4941959000, 3.8385970000", \
"0.5091674000, 0.5195946000, 0.5501069000, 0.6372914000, 0.8920921000, 1.6583429000, 4.0033283000", \
"0.8474416000, 0.8624002000, 0.9038594000, 1.0179926000, 1.3161990000, 2.0923412000, 4.4398152000", \
"1.4699184000, 1.4949655000, 1.5687484000, 1.7599827000, 2.2179218000, 3.2363077000, 5.6592269000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015470500, 0.0047867000, 0.0148105000, 0.0458249000, 0.1417860000, 0.4387000000");
values("0.1231728000, 0.1320559000, 0.1595764000, 0.2445083000, 0.5060764000, 1.3109469000, 3.7972390000", \
"0.1232077000, 0.1322092000, 0.1592823000, 0.2442767000, 0.5054930000, 1.3137708000, 3.7960257000", \
"0.1231053000, 0.1319996000, 0.1595561000, 0.2443461000, 0.5050617000, 1.3133168000, 3.8007539000", \
"0.1228103000, 0.1313559000, 0.1591871000, 0.2436411000, 0.5056798000, 1.3122524000, 3.7990917000", \
"0.1385999000, 0.1475402000, 0.1750696000, 0.2557804000, 0.5095847000, 1.3117570000, 3.8033190000", \
"0.1934573000, 0.2035587000, 0.2336790000, 0.3223837000, 0.5794103000, 1.3387131000, 3.8002708000", \
"0.3962659000, 0.4090744000, 0.4499154000, 0.5540460000, 0.8262575000, 1.5866688000, 3.9027803000");
}
related_pin : "A4";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015470500, 0.0047867000, 0.0148105000, 0.0458249000, 0.1417860000, 0.4387000000");
values("0.1671491000, 0.1789434000, 0.2151085000, 0.3238475000, 0.6553174000, 1.6765598000, 4.8213886000", \
"0.1671195000, 0.1790358000, 0.2150807000, 0.3237839000, 0.6554515000, 1.6762597000, 4.8159858000", \
"0.1671705000, 0.1786060000, 0.2150506000, 0.3238212000, 0.6558817000, 1.6747165000, 4.8185358000", \
"0.1677021000, 0.1789954000, 0.2148639000, 0.3238438000, 0.6562402000, 1.6751125000, 4.8187689000", \
"0.1801477000, 0.1906704000, 0.2243129000, 0.3284975000, 0.6553595000, 1.6743876000, 4.8180429000", \
"0.2663527000, 0.2806877000, 0.3182455000, 0.4278712000, 0.7265969000, 1.6878533000, 4.8206110000", \
"0.5242450000, 0.5424346000, 0.5954345000, 0.7385420000, 1.0893277000, 1.9942010000, 4.8675406000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015470500, 0.0047867000, 0.0148105000, 0.0458249000, 0.1417860000, 0.4387000000");
values("0.0232148000, 0.0251028000, 0.0306595000, 0.0468332000, 0.0955055000, 0.2456516000, 0.7077361000", \
"0.0309235000, 0.0328744000, 0.0386357000, 0.0552208000, 0.1042931000, 0.2535960000, 0.7162727000", \
"0.0513010000, 0.0540465000, 0.0617479000, 0.0788563000, 0.1280218000, 0.2778225000, 0.7402091000", \
"0.0857664000, 0.0909204000, 0.1050728000, 0.1370568000, 0.1960122000, 0.3458720000, 0.8090378000", \
"0.1378385000, 0.1483725000, 0.1758679000, 0.2364089000, 0.3480308000, 0.5382769000, 0.9997666000", \
"0.2029596000, 0.2236546000, 0.2769321000, 0.3946258000, 0.6107589000, 0.9671181000, 1.5423636000", \
"0.2264068000, 0.2657559000, 0.3709003000, 0.5996939000, 1.0220748000, 1.7085967000, 2.7794060000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015470500, 0.0047867000, 0.0148105000, 0.0458249000, 0.1417860000, 0.4387000000");
values("0.1876359000, 0.1976266000, 0.2280619000, 0.3162770000, 0.5714628000, 1.3379069000, 3.6825607000", \
"0.1912200000, 0.2016230000, 0.2321787000, 0.3209713000, 0.5774720000, 1.3436150000, 3.6881251000", \
"0.2102245000, 0.2204895000, 0.2496103000, 0.3384759000, 0.5960624000, 1.3636517000, 3.7093855000", \
"0.2676824000, 0.2778961000, 0.3081854000, 0.3950091000, 0.6521665000, 1.4216895000, 3.7692782000", \
"0.4469456000, 0.4578541000, 0.4892541000, 0.5740430000, 0.8268353000, 1.5952274000, 3.9436094000", \
"0.7859437000, 0.8047575000, 0.8595412000, 1.0014967000, 1.3266745000, 2.0887034000, 4.4342228000", \
"1.3588822000, 1.3910031000, 1.4844119000, 1.7250411000, 2.3079654000, 3.4664713000, 5.8527325000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015470500, 0.0047867000, 0.0148105000, 0.0458249000, 0.1417860000, 0.4387000000");
values("0.0173005000, 0.0199726000, 0.0278522000, 0.0524844000, 0.1243944000, 0.3381916000, 0.9762648000", \
"0.0172262000, 0.0198055000, 0.0281191000, 0.0525380000, 0.1243530000, 0.3381509000, 0.9760071000", \
"0.0261838000, 0.0275761000, 0.0326248000, 0.0536893000, 0.1244309000, 0.3381309000, 0.9762722000", \
"0.0594297000, 0.0607480000, 0.0658746000, 0.0820202000, 0.1347183000, 0.3381677000, 0.9764841000", \
"0.1450220000, 0.1452314000, 0.1484094000, 0.1663954000, 0.2215492000, 0.3736165000, 0.9756834000", \
"0.3402786000, 0.3404970000, 0.3438810000, 0.3656866000, 0.4390278000, 0.6159107000, 1.0719149000", \
"0.7308094000, 0.7319607000, 0.7401370000, 0.7841087000, 0.9102975000, 1.1883293000, 1.7543833000");
}
related_pin : "B1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015470500, 0.0047867000, 0.0148105000, 0.0458249000, 0.1417860000, 0.4387000000");
values("0.1673778000, 0.1792348000, 0.2149243000, 0.3243620000, 0.6563580000, 1.6762861000, 4.8222146000", \
"0.1674996000, 0.1788864000, 0.2150740000, 0.3239346000, 0.6545993000, 1.6747344000, 4.8177644000", \
"0.1675794000, 0.1793176000, 0.2149990000, 0.3242983000, 0.6544323000, 1.6744748000, 4.8155595000", \
"0.1632168000, 0.1750439000, 0.2130376000, 0.3231792000, 0.6553842000, 1.6747632000, 4.8232711000", \
"0.2056930000, 0.2146419000, 0.2435570000, 0.3378092000, 0.6541183000, 1.6748212000, 4.8293805000", \
"0.3449603000, 0.3624317000, 0.4129914000, 0.5340660000, 0.7993103000, 1.6929450000, 4.8194337000", \
"0.6457807000, 0.6767243000, 0.7629052000, 0.9619491000, 1.3812743000, 2.2074020000, 4.8726636000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
}
}
/* removed sky130_fd_sc_hd__and2_0 */
/* removed sky130_fd_sc_hd__and2_1 */
/* removed sky130_fd_sc_hd__and2_2 */
cell ("sky130_fd_sc_hd__and2_4") {
leakage_power () {
value : 6.8251742000;
when : "!A&B";
}
leakage_power () {
value : 6.2255552000;
when : "!A&!B";
}
leakage_power () {
value : 7.4762356000;
when : "A&B";
}
leakage_power () {
value : 6.8313404000;
when : "A&!B";
}
area : 8.7584000000;
cell_footprint : "sky130_fd_sc_hd__and2";
cell_leakage_power : 6.8395760000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0023410000;
clock : "false";
direction : "input";
fall_capacitance : 0.0022790000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0037797000, 0.0037555000, 0.0037381000, 0.0037108000, 0.0036845000, 0.0036610000, 0.0036456000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.003193400, -0.003225800, -0.003271100, -0.003289800, -0.003296600, -0.003269500, -0.003147000");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0024020000;
}
pin ("B") {
capacitance : 0.0024390000;
clock : "false";
direction : "input";
fall_capacitance : 0.0023310000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0035504000, 0.0035215000, 0.0034909000, 0.0034634000, 0.0034363000, 0.0034106000, 0.0033889000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.003559200, -0.003582900, -0.003603600, -0.003629200, -0.003655200, -0.003682200, -0.003712200");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0025480000;
}
pin ("X") {
direction : "output";
function : "(A&B)";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018169330, 0.0066024890, 0.0239925600, 0.0871857200, 0.3168212000, 1.1512860000");
values("0.0177616000, 0.0162771000, 0.0111235000, -0.008768200, -0.088087900, -0.381525400, -1.449652100", \
"0.0177713000, 0.0162866000, 0.0111638000, -0.008771700, -0.088066900, -0.381546500, -1.449638300", \
"0.0175927000, 0.0161637000, 0.0110387000, -0.008924800, -0.088215900, -0.381696000, -1.449752100", \
"0.0173969000, 0.0159400000, 0.0106879000, -0.009366700, -0.088589800, -0.381973600, -1.449979100", \
"0.0171689000, 0.0158559000, 0.0102238000, -0.010105300, -0.089241000, -0.382331900, -1.450166200", \
"0.0194802000, 0.0175257000, 0.0117771000, -0.010071500, -0.089688800, -0.382561300, -1.450158600", \
"0.0210452000, 0.0191100000, 0.0128901000, -0.009365900, -0.089442500, -0.382209500, -1.449224200");
}
related_pin : "A";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018169330, 0.0066024890, 0.0239925600, 0.0871857200, 0.3168212000, 1.1512860000");
values("0.0222227000, 0.0241029000, 0.0306098000, 0.0537789000, 0.1344212000, 0.4234132000, 1.4850856000", \
"0.0221336000, 0.0239608000, 0.0305730000, 0.0534924000, 0.1342794000, 0.4258539000, 1.4797106000", \
"0.0220409000, 0.0238601000, 0.0304697000, 0.0533682000, 0.1341721000, 0.4260370000, 1.4810923000", \
"0.0218031000, 0.0236171000, 0.0301681000, 0.0532610000, 0.1340477000, 0.4232321000, 1.4846018000", \
"0.0215505000, 0.0233202000, 0.0298765000, 0.0527214000, 0.1330546000, 0.4230168000, 1.4886714000", \
"0.0230062000, 0.0246708000, 0.0310018000, 0.0534335000, 0.1336082000, 0.4238595000, 1.4854864000", \
"0.0242982000, 0.0258011000, 0.0317287000, 0.0540111000, 0.1351926000, 0.4268738000, 1.4843791000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018169330, 0.0066024890, 0.0239925600, 0.0871857200, 0.3168212000, 1.1512860000");
values("0.0195529000, 0.0180574000, 0.0128240000, -0.007271200, -0.086490400, -0.379938100, -1.448028700", \
"0.0195129000, 0.0179886000, 0.0127152000, -0.007286200, -0.086614100, -0.380042400, -1.447776000", \
"0.0193849000, 0.0179657000, 0.0125935000, -0.007421600, -0.086721400, -0.380104100, -1.448068700", \
"0.0192001000, 0.0176931000, 0.0123889000, -0.007671400, -0.086871400, -0.380265300, -1.448201900", \
"0.0190330000, 0.0174273000, 0.0119890000, -0.008223200, -0.087399400, -0.380483500, -1.448330600", \
"0.0211843000, 0.0195542000, 0.0135124000, -0.008574000, -0.087947700, -0.380770900, -1.448391400", \
"0.0230408000, 0.0212642000, 0.0148588000, -0.007325900, -0.087556800, -0.380564600, -1.447632200");
}
related_pin : "B";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018169330, 0.0066024890, 0.0239925600, 0.0871857200, 0.3168212000, 1.1512860000");
values("0.0227212000, 0.0245682000, 0.0311133000, 0.0542749000, 0.1348615000, 0.4236277000, 1.4853414000", \
"0.0227085000, 0.0245127000, 0.0309936000, 0.0543056000, 0.1346006000, 0.4259963000, 1.4845222000", \
"0.0224855000, 0.0244105000, 0.0309769000, 0.0541874000, 0.1345036000, 0.4259772000, 1.4862596000", \
"0.0222982000, 0.0240650000, 0.0306724000, 0.0537462000, 0.1344151000, 0.4239665000, 1.4898617000", \
"0.0220096000, 0.0239005000, 0.0303905000, 0.0531966000, 0.1338905000, 0.4251280000, 1.4903394000", \
"0.0228704000, 0.0245934000, 0.0308148000, 0.0535098000, 0.1341517000, 0.4239641000, 1.4807393000", \
"0.0237484000, 0.0254679000, 0.0315109000, 0.0538203000, 0.1349355000, 0.4258614000, 1.4808851000");
}
}
max_capacitance : 0.3396710000;
max_transition : 1.5067550000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018169300, 0.0066024900, 0.0239926000, 0.0871857000, 0.3168210000, 1.1512900000");
values("0.1978746000, 0.2045110000, 0.2231539000, 0.2683276000, 0.3784944000, 0.7248921000, 1.9649429000", \
"0.2060846000, 0.2128040000, 0.2314827000, 0.2765761000, 0.3867449000, 0.7328149000, 1.9779165000", \
"0.2291010000, 0.2366375000, 0.2553228000, 0.3005215000, 0.4107777000, 0.7569056000, 1.9979025000", \
"0.2979111000, 0.3051698000, 0.3232197000, 0.3681589000, 0.4788617000, 0.8245302000, 2.0704436000", \
"0.4876424000, 0.4952681000, 0.5144603000, 0.5603434000, 0.6723904000, 1.0189184000, 2.2589606000", \
"0.8482701000, 0.8593931000, 0.8874386000, 0.9491529000, 1.0769027000, 1.4296737000, 2.6696698000", \
"1.4398354000, 1.4561441000, 1.5023658000, 1.5972524000, 1.7676125000, 2.1357425000, 3.3861114000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018169300, 0.0066024900, 0.0239926000, 0.0871857000, 0.3168210000, 1.1512900000");
values("0.2160351000, 0.2257285000, 0.2533188000, 0.3249503000, 0.5292218000, 1.2367301000, 3.7938812000", \
"0.2228340000, 0.2324786000, 0.2602870000, 0.3316444000, 0.5360147000, 1.2416033000, 3.8022367000", \
"0.2440363000, 0.2536824000, 0.2814474000, 0.3527515000, 0.5570910000, 1.2633367000, 3.8187671000", \
"0.3056245000, 0.3152658000, 0.3429647000, 0.4144531000, 0.6189003000, 1.3243060000, 3.8859320000", \
"0.4707457000, 0.4810253000, 0.5093063000, 0.5819678000, 0.7874595000, 1.4928802000, 4.0569015000", \
"0.7741047000, 0.7882503000, 0.8264110000, 0.9111380000, 1.1227175000, 1.8239030000, 4.3926720000", \
"1.2525642000, 1.2746801000, 1.3328350000, 1.4552478000, 1.6932818000, 2.3951265000, 4.9392632000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018169300, 0.0066024900, 0.0239926000, 0.0871857000, 0.3168210000, 1.1512900000");
values("0.0364117000, 0.0404666000, 0.0525218000, 0.0893626000, 0.2096768000, 0.6703687000, 2.3738718000", \
"0.0367712000, 0.0403372000, 0.0525533000, 0.0892324000, 0.2096530000, 0.6687037000, 2.3776339000", \
"0.0364977000, 0.0403218000, 0.0525485000, 0.0895309000, 0.2094431000, 0.6700990000, 2.3733790000", \
"0.0363761000, 0.0403590000, 0.0532645000, 0.0895287000, 0.2095932000, 0.6692231000, 2.3807960000", \
"0.0426650000, 0.0473455000, 0.0580612000, 0.0927016000, 0.2108448000, 0.6705245000, 2.3722646000", \
"0.0759913000, 0.0804426000, 0.0945237000, 0.1278384000, 0.2358135000, 0.6736592000, 2.3705682000", \
"0.1412817000, 0.1489658000, 0.1670948000, 0.2069937000, 0.3053305000, 0.7059240000, 2.3773115000");
}
related_pin : "A";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018169300, 0.0066024900, 0.0239926000, 0.0871857000, 0.3168210000, 1.1512900000");
values("0.0498451000, 0.0564824000, 0.0785438000, 0.1490931000, 0.4098728000, 1.3982567000, 4.9941731000", \
"0.0494851000, 0.0563090000, 0.0785714000, 0.1493156000, 0.4103450000, 1.4062244000, 4.9877653000", \
"0.0494673000, 0.0563647000, 0.0787220000, 0.1496243000, 0.4102835000, 1.4056918000, 4.9940798000", \
"0.0496974000, 0.0568478000, 0.0790324000, 0.1493363000, 0.4098823000, 1.3949091000, 4.9955649000", \
"0.0561280000, 0.0626509000, 0.0843896000, 0.1528402000, 0.4105746000, 1.4014107000, 5.0037325000", \
"0.0881657000, 0.0955006000, 0.1160158000, 0.1780837000, 0.4219047000, 1.3996894000, 4.9930784000", \
"0.1517815000, 0.1620231000, 0.1893313000, 0.2512312000, 0.4610229000, 1.4078202000, 4.9891002000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018169300, 0.0066024900, 0.0239926000, 0.0871857000, 0.3168210000, 1.1512900000");
values("0.2317948000, 0.2387867000, 0.2585041000, 0.3055250000, 0.4188416000, 0.7665343000, 2.0127642000", \
"0.2398838000, 0.2468764000, 0.2664564000, 0.3136179000, 0.4271771000, 0.7749739000, 2.0210682000", \
"0.2634575000, 0.2702401000, 0.2896680000, 0.3366185000, 0.4501299000, 0.7985316000, 2.0400008000", \
"0.3347778000, 0.3419042000, 0.3614840000, 0.4074865000, 0.5209332000, 0.8680908000, 2.1116955000", \
"0.5357556000, 0.5428650000, 0.5625915000, 0.6093919000, 0.7233786000, 1.0715108000, 2.3119089000", \
"0.9599619000, 0.9705192000, 0.9987103000, 1.0590594000, 1.1873144000, 1.5398509000, 2.7827396000", \
"1.7031998000, 1.7205392000, 1.7645112000, 1.8587916000, 2.0260214000, 2.3997753000, 3.6441556000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018169300, 0.0066024900, 0.0239926000, 0.0871857000, 0.3168210000, 1.1512900000");
values("0.2255919000, 0.2353844000, 0.2629781000, 0.3345938000, 0.5387206000, 1.2454203000, 3.8032093000", \
"0.2336181000, 0.2432570000, 0.2709527000, 0.3426917000, 0.5467825000, 1.2536634000, 3.8150025000", \
"0.2537748000, 0.2634155000, 0.2912420000, 0.3628572000, 0.5670838000, 1.2740954000, 3.8404431000", \
"0.3075571000, 0.3171738000, 0.3449381000, 0.4164982000, 0.6208177000, 1.3257634000, 3.8884500000", \
"0.4479120000, 0.4581540000, 0.4873763000, 0.5603049000, 0.7654518000, 1.4760022000, 4.0415471000", \
"0.7255071000, 0.7385669000, 0.7737152000, 0.8571524000, 1.0697652000, 1.7769772000, 4.3322284000", \
"1.1799521000, 1.1997013000, 1.2517156000, 1.3635931000, 1.5996796000, 2.3067501000, 4.8610231000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018169300, 0.0066024900, 0.0239926000, 0.0871857000, 0.3168210000, 1.1512900000");
values("0.0404210000, 0.0442281000, 0.0573951000, 0.0938694000, 0.2137393000, 0.6722734000, 2.3724387000", \
"0.0403646000, 0.0445655000, 0.0576333000, 0.0938902000, 0.2135962000, 0.6718259000, 2.3707307000", \
"0.0403124000, 0.0439094000, 0.0572514000, 0.0939552000, 0.2134270000, 0.6717378000, 2.3774778000", \
"0.0399751000, 0.0440519000, 0.0567391000, 0.0939871000, 0.2133645000, 0.6716265000, 2.3835605000", \
"0.0422231000, 0.0465367000, 0.0582432000, 0.0951263000, 0.2136871000, 0.6717828000, 2.3760576000", \
"0.0738004000, 0.0785356000, 0.0915356000, 0.1255930000, 0.2353552000, 0.6756450000, 2.3816664000", \
"0.1390837000, 0.1443736000, 0.1637556000, 0.2019845000, 0.3071604000, 0.7042760000, 2.3708380000");
}
related_pin : "B";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018169300, 0.0066024900, 0.0239926000, 0.0871857000, 0.3168210000, 1.1512900000");
values("0.0497822000, 0.0567153000, 0.0787258000, 0.1491508000, 0.4099518000, 1.3978297000, 4.9943574000", \
"0.0496442000, 0.0565974000, 0.0783833000, 0.1494917000, 0.4099809000, 1.4007545000, 5.0051305000", \
"0.0495471000, 0.0566208000, 0.0788410000, 0.1494427000, 0.4103102000, 1.4006044000, 4.9911164000", \
"0.0498849000, 0.0568978000, 0.0785920000, 0.1494190000, 0.4102299000, 1.4016325000, 5.0106093000", \
"0.0547089000, 0.0618986000, 0.0835660000, 0.1525475000, 0.4111712000, 1.4044816000, 5.0138564000", \
"0.0752192000, 0.0823240000, 0.1044796000, 0.1721198000, 0.4203387000, 1.3976957000, 4.9936379000", \
"0.1295083000, 0.1375878000, 0.1633060000, 0.2292852000, 0.4502368000, 1.4062722000, 4.9962239000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
/* removed sky130_fd_sc_hd__and2b_1 */
/* removed sky130_fd_sc_hd__and2b_2 */
/* removed sky130_fd_sc_hd__and2b_4 */
/* removed sky130_fd_sc_hd__and3_1 */
/* removed sky130_fd_sc_hd__and3_2 */
cell ("sky130_fd_sc_hd__and3_4") {
leakage_power () {
value : 5.8962635000;
when : "!A&!B&C";
}
leakage_power () {
value : 5.8647363000;
when : "!A&!B&!C";
}
leakage_power () {
value : 6.5371424000;
when : "!A&B&C";
}
leakage_power () {
value : 5.8994494000;
when : "!A&B&!C";
}
leakage_power () {
value : 6.5491563000;
when : "A&!B&C";
}
leakage_power () {
value : 5.9006480000;
when : "A&!B&!C";
}
leakage_power () {
value : 8.0603160000;
when : "A&B&C";
}
leakage_power () {
value : 6.5506076000;
when : "A&B&!C";
}
area : 11.260800000;
cell_footprint : "sky130_fd_sc_hd__and3";
cell_leakage_power : 6.4072900000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0024980000;
clock : "false";
direction : "input";
fall_capacitance : 0.0024500000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0041944000, 0.0041662000, 0.0041333000, 0.0041071000, 0.0040806000, 0.0040528000, 0.0040216000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.003344300, -0.003373000, -0.003409800, -0.003426300, -0.003428800, -0.003392300, -0.003245900");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0025460000;
}
pin ("B") {
capacitance : 0.0024010000;
clock : "false";
direction : "input";
fall_capacitance : 0.0023260000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0033967000, 0.0033693000, 0.0033389000, 0.0033199000, 0.0033134000, 0.0033416000, 0.0034684000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.003355800, -0.003380200, -0.003405300, -0.003429300, -0.003452900, -0.003475900, -0.003497300");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0024750000;
}
pin ("C") {
capacitance : 0.0024230000;
clock : "false";
direction : "input";
fall_capacitance : 0.0023170000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0035439000, 0.0035169000, 0.0034876000, 0.0034619000, 0.0034368000, 0.0034129000, 0.0033926000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.003550400, -0.003572100, -0.003589300, -0.003613500, -0.003638000, -0.003663600, -0.003691600");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0025300000;
}
pin ("X") {
direction : "output";
function : "(A&B&C)";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018133030, 0.0065761320, 0.0238490300, 0.0864910200, 0.3136687000, 1.1375530000");
values("0.0182136000, 0.0167883000, 0.0116197000, -0.008038500, -0.086458800, -0.376811800, -1.431295800", \
"0.0182478000, 0.0167514000, 0.0116301000, -0.008017200, -0.086410000, -0.376686600, -1.431296200", \
"0.0181784000, 0.0166827000, 0.0115326000, -0.008097000, -0.086484600, -0.376799000, -1.431288700", \
"0.0179808000, 0.0164712000, 0.0112608000, -0.008482500, -0.086838500, -0.377058900, -1.431484700", \
"0.0178447000, 0.0162502000, 0.0107899000, -0.009220600, -0.087565800, -0.377492000, -1.431780100", \
"0.0197655000, 0.0180829000, 0.0122026000, -0.009493000, -0.088262800, -0.377865900, -1.431986500", \
"0.0216207000, 0.0198446000, 0.0135371000, -0.007859900, -0.087592300, -0.377521200, -1.431150500");
}
related_pin : "A";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018133030, 0.0065761320, 0.0238490300, 0.0864910200, 0.3136687000, 1.1375530000");
values("0.0230453000, 0.0248352000, 0.0312290000, 0.0543817000, 0.1345105000, 0.4230274000, 1.4640552000", \
"0.0230412000, 0.0248042000, 0.0312099000, 0.0545244000, 0.1344410000, 0.4230705000, 1.4685688000", \
"0.0229836000, 0.0247080000, 0.0311547000, 0.0541606000, 0.1344936000, 0.4224973000, 1.4724697000", \
"0.0226511000, 0.0244002000, 0.0308506000, 0.0540333000, 0.1342063000, 0.4228077000, 1.4692116000", \
"0.0223967000, 0.0244159000, 0.0307743000, 0.0538083000, 0.1336108000, 0.4223093000, 1.4679277000", \
"0.0239057000, 0.0255550000, 0.0316093000, 0.0538277000, 0.1336521000, 0.4210600000, 1.4667778000", \
"0.0263105000, 0.0279133000, 0.0337184000, 0.0558387000, 0.1354083000, 0.4215473000, 1.4676052000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018133030, 0.0065761320, 0.0238490300, 0.0864910200, 0.3136687000, 1.1375530000");
values("0.0205011000, 0.0189693000, 0.0137325000, -0.005891800, -0.084130000, -0.374253000, -1.428596700", \
"0.0204342000, 0.0189413000, 0.0137189000, -0.005908900, -0.084134800, -0.374256200, -1.428581600", \
"0.0203897000, 0.0188671000, 0.0136443000, -0.006004600, -0.084175000, -0.374329600, -1.428686800", \
"0.0201673000, 0.0186678000, 0.0133894000, -0.006283300, -0.084428000, -0.374535400, -1.428815400", \
"0.0199147000, 0.0184018000, 0.0129833000, -0.006940500, -0.085073200, -0.374882000, -1.429076900", \
"0.0219535000, 0.0203141000, 0.0145471000, -0.007260600, -0.085771600, -0.375325900, -1.429298700", \
"0.0238570000, 0.0220509000, 0.0161117000, -0.006165000, -0.085720500, -0.375447200, -1.428902500");
}
related_pin : "B";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018133030, 0.0065761320, 0.0238490300, 0.0864910200, 0.3136687000, 1.1375530000");
values("0.0233647000, 0.0250617000, 0.0315275000, 0.0546590000, 0.1347412000, 0.4231676000, 1.4684716000", \
"0.0233662000, 0.0251659000, 0.0315862000, 0.0548746000, 0.1350212000, 0.4228118000, 1.4657414000", \
"0.0232593000, 0.0250467000, 0.0314929000, 0.0545894000, 0.1347632000, 0.4227704000, 1.4728997000", \
"0.0230610000, 0.0248441000, 0.0312839000, 0.0544536000, 0.1346367000, 0.4223923000, 1.4727281000", \
"0.0229130000, 0.0246672000, 0.0309670000, 0.0541199000, 0.1341734000, 0.4242350000, 1.4727275000", \
"0.0243380000, 0.0260306000, 0.0321288000, 0.0538717000, 0.1339977000, 0.4215104000, 1.4652417000", \
"0.0251537000, 0.0267688000, 0.0327251000, 0.0551936000, 0.1358729000, 0.4232572000, 1.4665021000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018133030, 0.0065761320, 0.0238490300, 0.0864910200, 0.3136687000, 1.1375530000");
values("0.0218880000, 0.0203209000, 0.0151524000, -0.004635400, -0.082893600, -0.372989000, -1.427364800", \
"0.0218634000, 0.0203399000, 0.0150623000, -0.004746300, -0.082992000, -0.373104800, -1.427364400", \
"0.0217781000, 0.0202970000, 0.0149760000, -0.004794900, -0.083041800, -0.373155000, -1.427416200", \
"0.0215607000, 0.0200756000, 0.0148036000, -0.005031000, -0.083208000, -0.373269500, -1.427571400", \
"0.0214378000, 0.0199053000, 0.0145260000, -0.005410500, -0.083677100, -0.373505900, -1.427697300", \
"0.0231136000, 0.0214504000, 0.0156894000, -0.006293400, -0.084178900, -0.373832800, -1.427782100", \
"0.0265901000, 0.0247840000, 0.0177480000, -0.003626200, -0.083884200, -0.373693700, -1.427265000");
}
related_pin : "C";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018133030, 0.0065761320, 0.0238490300, 0.0864910200, 0.3136687000, 1.1375530000");
values("0.0235900000, 0.0254101000, 0.0317544000, 0.0550587000, 0.1348894000, 0.4232735000, 1.4643077000", \
"0.0235982000, 0.0253622000, 0.0318472000, 0.0551085000, 0.1349518000, 0.4234846000, 1.4683288000", \
"0.0235036000, 0.0252797000, 0.0316921000, 0.0549817000, 0.1349828000, 0.4228139000, 1.4698832000", \
"0.0232362000, 0.0250087000, 0.0314376000, 0.0547351000, 0.1347797000, 0.4226774000, 1.4727624000", \
"0.0230846000, 0.0247649000, 0.0311137000, 0.0543355000, 0.1343149000, 0.4225284000, 1.4652155000", \
"0.0243741000, 0.0260736000, 0.0322427000, 0.0544151000, 0.1347606000, 0.4236833000, 1.4678434000", \
"0.0251895000, 0.0268362000, 0.0327158000, 0.0549984000, 0.1353646000, 0.4230070000, 1.4698485000");
}
}
max_capacitance : 0.3341770000;
max_transition : 1.5030290000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018133000, 0.0065761300, 0.0238490000, 0.0864910000, 0.3136690000, 1.1375500000");
values("0.2170951000, 0.2242915000, 0.2440883000, 0.2914686000, 0.4049140000, 0.7480321000, 1.9730446000", \
"0.2255622000, 0.2326621000, 0.2525149000, 0.2999440000, 0.4127638000, 0.7570486000, 1.9836437000", \
"0.2501004000, 0.2571905000, 0.2770133000, 0.3244007000, 0.4374190000, 0.7817185000, 2.0063979000", \
"0.3178282000, 0.3249403000, 0.3446054000, 0.3917584000, 0.5052189000, 0.8494491000, 2.0731319000", \
"0.5116441000, 0.5190372000, 0.5393473000, 0.5871073000, 0.7013453000, 1.0461598000, 2.2712054000", \
"0.8950490000, 0.9062019000, 0.9359680000, 0.9994827000, 1.1304518000, 1.4823582000, 2.7026588000", \
"1.5355806000, 1.5522319000, 1.6001139000, 1.6960794000, 1.8728694000, 2.2502642000, 3.4785244000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018133000, 0.0065761300, 0.0238490000, 0.0864910000, 0.3136690000, 1.1375500000");
values("0.3098647000, 0.3221375000, 0.3561743000, 0.4415942000, 0.6609314000, 1.3716656000, 3.9306371000", \
"0.3159359000, 0.3282273000, 0.3626550000, 0.4477254000, 0.6670818000, 1.3779212000, 3.9367172000", \
"0.3345684000, 0.3467979000, 0.3812486000, 0.4657791000, 0.6853079000, 1.3954119000, 3.9552948000", \
"0.3912964000, 0.4030739000, 0.4381998000, 0.5231180000, 0.7421008000, 1.4532595000, 4.0137573000", \
"0.5544116000, 0.5665651000, 0.6011378000, 0.6864319000, 0.9055142000, 1.6160537000, 4.1738550000", \
"0.8895095000, 0.9052149000, 0.9481206000, 1.0442265000, 1.2710766000, 1.9781856000, 4.5520867000", \
"1.4147635000, 1.4383413000, 1.5012531000, 1.6349825000, 1.8916584000, 2.5951916000, 5.1474416000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018133000, 0.0065761300, 0.0238490000, 0.0864910000, 0.3136690000, 1.1375500000");
values("0.0388393000, 0.0430201000, 0.0557094000, 0.0935256000, 0.2123789000, 0.6645138000, 2.3438678000", \
"0.0389381000, 0.0432236000, 0.0564913000, 0.0932911000, 0.2126926000, 0.6642858000, 2.3515334000", \
"0.0389328000, 0.0432404000, 0.0557784000, 0.0932734000, 0.2125528000, 0.6643533000, 2.3430704000", \
"0.0393560000, 0.0430370000, 0.0557532000, 0.0937560000, 0.2120375000, 0.6644430000, 2.3431614000", \
"0.0437640000, 0.0476573000, 0.0590971000, 0.0956282000, 0.2132502000, 0.6648798000, 2.3422857000", \
"0.0769139000, 0.0810884000, 0.0944884000, 0.1293247000, 0.2386979000, 0.6683716000, 2.3417697000", \
"0.1418976000, 0.1507248000, 0.1692727000, 0.2120726000, 0.3128396000, 0.7031598000, 2.3456612000");
}
related_pin : "A";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018133000, 0.0065761300, 0.0238490000, 0.0864910000, 0.3136690000, 1.1375500000");
values("0.0662409000, 0.0742244000, 0.1008523000, 0.1745258000, 0.4278540000, 1.4109915000, 4.9908264000", \
"0.0661950000, 0.0742686000, 0.1008267000, 0.1745517000, 0.4277721000, 1.4109794000, 4.9989071000", \
"0.0660987000, 0.0743036000, 0.1000016000, 0.1741612000, 0.4285989000, 1.4057807000, 5.0005102000", \
"0.0663522000, 0.0742749000, 0.0999182000, 0.1739960000, 0.4283320000, 1.4113135000, 4.9992552000", \
"0.0680402000, 0.0764857000, 0.1017564000, 0.1751483000, 0.4294126000, 1.4103774000, 4.9935642000", \
"0.0992814000, 0.1072880000, 0.1302623000, 0.1983560000, 0.4404891000, 1.4072397000, 5.0147655000", \
"0.1657085000, 0.1789403000, 0.2063450000, 0.2741276000, 0.4841472000, 1.4199925000, 4.9952189000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018133000, 0.0065761300, 0.0238490000, 0.0864910000, 0.3136690000, 1.1375500000");
values("0.2518622000, 0.2592984000, 0.2799462000, 0.3291813000, 0.4455132000, 0.7908603000, 2.0164546000", \
"0.2601246000, 0.2676311000, 0.2883011000, 0.3376016000, 0.4539321000, 0.7992541000, 2.0265103000", \
"0.2850341000, 0.2924051000, 0.3131514000, 0.3624080000, 0.4788431000, 0.8244484000, 2.0509123000", \
"0.3542947000, 0.3618286000, 0.3837432000, 0.4329488000, 0.5477624000, 0.8938529000, 2.1235298000", \
"0.5576093000, 0.5650874000, 0.5859259000, 0.6350260000, 0.7511891000, 1.0974224000, 2.3248319000", \
"1.0032182000, 1.0141463000, 1.0409384000, 1.1036163000, 1.2361473000, 1.5865129000, 2.8116016000", \
"1.7936385000, 1.8112261000, 1.8563971000, 1.9517818000, 2.1258220000, 2.5026228000, 3.7256616000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018133000, 0.0065761300, 0.0238490000, 0.0864910000, 0.3136690000, 1.1375500000");
values("0.3265498000, 0.3382816000, 0.3730922000, 0.4582630000, 0.6775495000, 1.3880105000, 3.9453276000", \
"0.3336680000, 0.3460762000, 0.3808621000, 0.4656500000, 0.6841844000, 1.3931802000, 3.9472594000", \
"0.3533000000, 0.3655521000, 0.4002284000, 0.4849490000, 0.7042231000, 1.4144149000, 3.9754755000", \
"0.4048582000, 0.4170722000, 0.4516401000, 0.5366078000, 0.7555472000, 1.4679657000, 4.0259485000", \
"0.5481453000, 0.5600896000, 0.5951214000, 0.6805947000, 0.8998732000, 1.6119432000, 4.1720774000", \
"0.8547540000, 0.8698106000, 0.9113900000, 1.0058324000, 1.2312824000, 1.9430446000, 4.4953223000", \
"1.3580488000, 1.3798411000, 1.4377417000, 1.5601243000, 1.8149137000, 2.5337676000, 5.0898254000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018133000, 0.0065761300, 0.0238490000, 0.0864910000, 0.3136690000, 1.1375500000");
values("0.0424284000, 0.0468181000, 0.0597378000, 0.0979637000, 0.2165131000, 0.6663323000, 2.3489914000", \
"0.0429610000, 0.0466910000, 0.0596877000, 0.0979525000, 0.2163631000, 0.6664412000, 2.3546871000", \
"0.0423484000, 0.0465766000, 0.0597329000, 0.0974417000, 0.2162100000, 0.6660891000, 2.3460855000", \
"0.0428427000, 0.0470703000, 0.0597037000, 0.0978391000, 0.2161781000, 0.6644523000, 2.3549180000", \
"0.0434086000, 0.0477870000, 0.0614099000, 0.0985797000, 0.2163621000, 0.6658168000, 2.3475948000", \
"0.0741181000, 0.0790188000, 0.0928013000, 0.1277252000, 0.2377081000, 0.6703441000, 2.3464746000", \
"0.1380875000, 0.1462507000, 0.1644640000, 0.2067569000, 0.3088918000, 0.7017618000, 2.3431728000");
}
related_pin : "B";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018133000, 0.0065761300, 0.0238490000, 0.0864910000, 0.3136690000, 1.1375500000");
values("0.0662088000, 0.0749666000, 0.1008932000, 0.1746345000, 0.4277504000, 1.4107736000, 4.9921312000", \
"0.0661395000, 0.0742243000, 0.0995358000, 0.1737119000, 0.4285985000, 1.4078917000, 4.9973439000", \
"0.0661318000, 0.0741405000, 0.0992012000, 0.1746011000, 0.4279953000, 1.4078751000, 5.0027733000", \
"0.0659545000, 0.0739963000, 0.0992163000, 0.1738482000, 0.4277539000, 1.4093540000, 5.0010867000", \
"0.0681355000, 0.0767424000, 0.1018871000, 0.1753401000, 0.4292028000, 1.4109354000, 5.0037072000", \
"0.0884216000, 0.0969218000, 0.1230060000, 0.1950594000, 0.4405218000, 1.4060463000, 4.9994471000", \
"0.1446497000, 0.1543976000, 0.1838937000, 0.2600354000, 0.4776497000, 1.4184717000, 4.9998761000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018133000, 0.0065761300, 0.0238490000, 0.0864910000, 0.3136690000, 1.1375500000");
values("0.2700697000, 0.2776623000, 0.2987452000, 0.3485666000, 0.4660215000, 0.8127718000, 2.0387096000", \
"0.2776376000, 0.2852278000, 0.3062625000, 0.3562655000, 0.4738323000, 0.8205270000, 2.0479318000", \
"0.3018914000, 0.3095084000, 0.3309759000, 0.3805402000, 0.4984188000, 0.8454283000, 2.0764948000", \
"0.3724272000, 0.3800547000, 0.4028045000, 0.4510178000, 0.5701015000, 0.9175012000, 2.1485933000", \
"0.5770517000, 0.5846097000, 0.6058506000, 0.6547700000, 0.7734019000, 1.1204020000, 2.3481772000", \
"1.0456535000, 1.0563932000, 1.0843881000, 1.1452451000, 1.2761187000, 1.6268387000, 2.8572682000", \
"1.8869184000, 1.9038140000, 1.9490025000, 2.0424529000, 2.2132138000, 2.5896096000, 3.8179997000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018133000, 0.0065761300, 0.0238490000, 0.0864910000, 0.3136690000, 1.1375500000");
values("0.3355652000, 0.3479986000, 0.3827281000, 0.4676780000, 0.6868847000, 1.3972298000, 3.9549093000", \
"0.3438417000, 0.3560857000, 0.3908078000, 0.4757538000, 0.6944663000, 1.4038603000, 3.9571200000", \
"0.3632350000, 0.3754520000, 0.4103272000, 0.4952026000, 0.7137287000, 1.4230201000, 3.9870343000", \
"0.4101372000, 0.4223753000, 0.4569140000, 0.5419739000, 0.7607319000, 1.4709035000, 4.0319272000", \
"0.5329108000, 0.5450388000, 0.5798480000, 0.6655103000, 0.8846853000, 1.5950966000, 4.1549901000", \
"0.7979166000, 0.8124866000, 0.8527614000, 0.9471329000, 1.1756176000, 1.8890509000, 4.4526614000", \
"1.2633556000, 1.2836402000, 1.3376005000, 1.4566379000, 1.7097113000, 2.4296667000, 4.9826254000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018133000, 0.0065761300, 0.0238490000, 0.0864910000, 0.3136690000, 1.1375500000");
values("0.0443016000, 0.0485716000, 0.0617133000, 0.1000054000, 0.2182508000, 0.6672581000, 2.3483187000", \
"0.0441331000, 0.0485936000, 0.0615222000, 0.0994315000, 0.2184075000, 0.6674150000, 2.3527540000", \
"0.0438845000, 0.0482430000, 0.0616138000, 0.1000380000, 0.2182830000, 0.6673891000, 2.3450553000", \
"0.0440557000, 0.0481940000, 0.0622417000, 0.0996400000, 0.2179788000, 0.6662698000, 2.3453095000", \
"0.0442720000, 0.0486209000, 0.0623337000, 0.1004759000, 0.2185458000, 0.6680750000, 2.3483766000", \
"0.0727612000, 0.0776230000, 0.0908652000, 0.1267156000, 0.2369782000, 0.6707835000, 2.3538953000", \
"0.1357090000, 0.1431952000, 0.1608091000, 0.2026778000, 0.3049158000, 0.7009794000, 2.3485665000");
}
related_pin : "C";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018133000, 0.0065761300, 0.0238490000, 0.0864910000, 0.3136690000, 1.1375500000");
values("0.0666573000, 0.0754047000, 0.1007816000, 0.1745338000, 0.4279050000, 1.4108642000, 4.9879216000", \
"0.0664336000, 0.0744928000, 0.0996375000, 0.1737151000, 0.4287123000, 1.4115641000, 4.9895021000", \
"0.0664372000, 0.0744889000, 0.1000707000, 0.1736533000, 0.4287772000, 1.4061080000, 5.0115565000", \
"0.0658481000, 0.0740591000, 0.1000779000, 0.1736991000, 0.4283032000, 1.4080541000, 5.0016720000", \
"0.0681575000, 0.0771892000, 0.1009540000, 0.1756552000, 0.4287958000, 1.4093748000, 5.0034079000", \
"0.0831926000, 0.0919185000, 0.1182137000, 0.1930372000, 0.4393106000, 1.4074488000, 4.9969144000", \
"0.1282672000, 0.1392620000, 0.1678940000, 0.2399300000, 0.4724256000, 1.4177756000, 4.9939546000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
/* removed sky130_fd_sc_hd__and3b_1 */
/* removed sky130_fd_sc_hd__and3b_2 */
/* removed sky130_fd_sc_hd__and3b_4 */
/* removed sky130_fd_sc_hd__and4_1 */
/* removed sky130_fd_sc_hd__and4_2 */
cell ("sky130_fd_sc_hd__and4_4") {
leakage_power () {
value : 6.0020895000;
when : "!A&!B&!C&D";
}
leakage_power () {
value : 5.9925540000;
when : "!A&!B&!C&!D";
}
leakage_power () {
value : 6.0314389000;
when : "!A&!B&C&D";
}
leakage_power () {
value : 6.0029755000;
when : "!A&!B&C&!D";
}
leakage_power () {
value : 6.0374981000;
when : "!A&B&!C&D";
}
leakage_power () {
value : 6.0053522000;
when : "!A&B&!C&!D";
}
leakage_power () {
value : 6.6362373000;
when : "!A&B&C&D";
}
leakage_power () {
value : 6.0407532000;
when : "!A&B&C&!D";
}
leakage_power () {
value : 6.0387002000;
when : "A&!B&!C&D";
}
leakage_power () {
value : 6.0058101000;
when : "A&!B&!C&!D";
}
leakage_power () {
value : 6.6581451000;
when : "A&!B&C&D";
}
leakage_power () {
value : 6.0419936000;
when : "A&!B&C&!D";
}
leakage_power () {
value : 6.6909687000;
when : "A&B&!C&D";
}
leakage_power () {
value : 6.0429852000;
when : "A&B&!C&!D";
}
leakage_power () {
value : 9.4620889000;
when : "A&B&C&D";
}
leakage_power () {
value : 6.6990831000;
when : "A&B&C&!D";
}
area : 11.260800000;
cell_footprint : "sky130_fd_sc_hd__and4";
cell_leakage_power : 6.3992920000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0023760000;
clock : "false";
direction : "input";
fall_capacitance : 0.0023380000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0039903000, 0.0039687000, 0.0039547000, 0.0039283000, 0.0039010000, 0.0038705000, 0.0038315000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.003354800, -0.003384800, -0.003424600, -0.003442500, -0.003448500, -0.003420300, -0.003296600");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0024140000;
}
pin ("B") {
capacitance : 0.0024360000;
clock : "false";
direction : "input";
fall_capacitance : 0.0023730000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0034970000, 0.0034687000, 0.0034356000, 0.0034167000, 0.0034102000, 0.0034388000, 0.0035661000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.003448200, -0.003471600, -0.003493000, -0.003517700, -0.003542400, -0.003567400, -0.003593300");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0024990000;
}
pin ("C") {
capacitance : 0.0023840000;
clock : "false";
direction : "input";
fall_capacitance : 0.0023050000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0032469000, 0.0032210000, 0.0031947000, 0.0031691000, 0.0031438000, 0.0031193000, 0.0030971000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.003244500, -0.003270800, -0.003300300, -0.003324700, -0.003349100, -0.003373100, -0.003396100");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0024630000;
}
pin ("D") {
capacitance : 0.0023590000;
clock : "false";
direction : "input";
fall_capacitance : 0.0022520000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0034386000, 0.0034129000, 0.0033873000, 0.0033619000, 0.0033372000, 0.0033142000, 0.0032963000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.003449200, -0.003470600, -0.003486100, -0.003511000, -0.003536600, -0.003564000, -0.003596500");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0024650000;
}
pin ("X") {
direction : "output";
function : "(A&B&C&D)";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018131000, 0.0065746610, 0.0238410300, 0.0864523400, 0.3134934000, 1.1367900000");
values("0.0180798000, 0.0166464000, 0.0114576000, -0.008173000, -0.086595700, -0.376758100, -1.430435200", \
"0.0180570000, 0.0165695000, 0.0114850000, -0.008125200, -0.086541800, -0.376716400, -1.430411500", \
"0.0180417000, 0.0165790000, 0.0114737000, -0.008165800, -0.086629700, -0.376768300, -1.430525500", \
"0.0178044000, 0.0163186000, 0.0111539000, -0.008591700, -0.086956000, -0.377008500, -1.430727600", \
"0.0175399000, 0.0160052000, 0.0106027000, -0.009361200, -0.087642600, -0.377390000, -1.430932200", \
"0.0195858000, 0.0178924000, 0.0120061000, -0.009662000, -0.088398700, -0.377805600, -1.431164500", \
"0.0215425000, 0.0196881000, 0.0133587000, -0.008704000, -0.087812400, -0.377427400, -1.430288200");
}
related_pin : "A";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018131000, 0.0065746610, 0.0238410300, 0.0864523400, 0.3134934000, 1.1367900000");
values("0.0230885000, 0.0248058000, 0.0311001000, 0.0545538000, 0.1347869000, 0.4223787000, 1.4724945000", \
"0.0230490000, 0.0247679000, 0.0311669000, 0.0547210000, 0.1344908000, 0.4223585000, 1.4721009000", \
"0.0229496000, 0.0246692000, 0.0310716000, 0.0545037000, 0.1345559000, 0.4222841000, 1.4670772000", \
"0.0227085000, 0.0244417000, 0.0308284000, 0.0538801000, 0.1345570000, 0.4227596000, 1.4690556000", \
"0.0227456000, 0.0244280000, 0.0307267000, 0.0539096000, 0.1343682000, 0.4217602000, 1.4642243000", \
"0.0237613000, 0.0254092000, 0.0311995000, 0.0538020000, 0.1338495000, 0.4219034000, 1.4634342000", \
"0.0262258000, 0.0278126000, 0.0337682000, 0.0558330000, 0.1361944000, 0.4198769000, 1.4644904000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018131000, 0.0065746610, 0.0238410300, 0.0864523400, 0.3134934000, 1.1367900000");
values("0.0200441000, 0.0185545000, 0.0132682000, -0.006341900, -0.084489100, -0.374534400, -1.428121300", \
"0.0200925000, 0.0184990000, 0.0133521000, -0.006297000, -0.084462100, -0.374462700, -1.428142400", \
"0.0199964000, 0.0184832000, 0.0132855000, -0.006333100, -0.084476600, -0.374459600, -1.428222800", \
"0.0198080000, 0.0183303000, 0.0131409000, -0.006615600, -0.084755700, -0.374705900, -1.428274700", \
"0.0194767000, 0.0179703000, 0.0126301000, -0.007258000, -0.085469200, -0.375076100, -1.428563900", \
"0.0213099000, 0.0196422000, 0.0136873000, -0.008043400, -0.086286100, -0.375593100, -1.428817800", \
"0.0233298000, 0.0215501000, 0.0152666000, -0.006750300, -0.086219000, -0.375765900, -1.428490800");
}
related_pin : "B";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018131000, 0.0065746610, 0.0238410300, 0.0864523400, 0.3134934000, 1.1367900000");
values("0.0232933000, 0.0251251000, 0.0314330000, 0.0549179000, 0.1354570000, 0.4225257000, 1.4723961000", \
"0.0233369000, 0.0250646000, 0.0315560000, 0.0549620000, 0.1354326000, 0.4225124000, 1.4723422000", \
"0.0232716000, 0.0250886000, 0.0313805000, 0.0544490000, 0.1348926000, 0.4226295000, 1.4641331000", \
"0.0230066000, 0.0247702000, 0.0311460000, 0.0545704000, 0.1344096000, 0.4222914000, 1.4672996000", \
"0.0228984000, 0.0246211000, 0.0309900000, 0.0543544000, 0.1349065000, 0.4219990000, 1.4673340000", \
"0.0236131000, 0.0252527000, 0.0312115000, 0.0538391000, 0.1341039000, 0.4213684000, 1.4671684000", \
"0.0257705000, 0.0273388000, 0.0333754000, 0.0551823000, 0.1355340000, 0.4227869000, 1.4692313000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018131000, 0.0065746610, 0.0238410300, 0.0864523400, 0.3134934000, 1.1367900000");
values("0.0217833000, 0.0202244000, 0.0150827000, -0.004542700, -0.082545800, -0.372402600, -1.425839500", \
"0.0216614000, 0.0202510000, 0.0150370000, -0.004594000, -0.082573600, -0.372394800, -1.425828500", \
"0.0217292000, 0.0202118000, 0.0149419000, -0.004652600, -0.082628600, -0.372403900, -1.425961300", \
"0.0214923000, 0.0200162000, 0.0147798000, -0.004849400, -0.082824500, -0.372601900, -1.426097100", \
"0.0213347000, 0.0198237000, 0.0144597000, -0.005341500, -0.083414200, -0.372892900, -1.426246100", \
"0.0232631000, 0.0216005000, 0.0156038000, -0.006274300, -0.084038800, -0.373326800, -1.426428300", \
"0.0257557000, 0.0245873000, 0.0182753000, -0.004436000, -0.083857500, -0.373324900, -1.426005800");
}
related_pin : "C";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018131000, 0.0065746610, 0.0238410300, 0.0864523400, 0.3134934000, 1.1367900000");
values("0.0231169000, 0.0248736000, 0.0312580000, 0.0546781000, 0.1348246000, 0.4221944000, 1.4625094000", \
"0.0231111000, 0.0248337000, 0.0313616000, 0.0547632000, 0.1352310000, 0.4221803000, 1.4720778000", \
"0.0231610000, 0.0248865000, 0.0312648000, 0.0545650000, 0.1345737000, 0.4220691000, 1.4719819000", \
"0.0228725000, 0.0245970000, 0.0309631000, 0.0543850000, 0.1346000000, 0.4219130000, 1.4631347000", \
"0.0227139000, 0.0245251000, 0.0308046000, 0.0541970000, 0.1347638000, 0.4217896000, 1.4712414000", \
"0.0236544000, 0.0253169000, 0.0313784000, 0.0540450000, 0.1336397000, 0.4214597000, 1.4640890000", \
"0.0252698000, 0.0268741000, 0.0328625000, 0.0553955000, 0.1358737000, 0.4233729000, 1.4703464000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018131000, 0.0065746610, 0.0238410300, 0.0864523400, 0.3134934000, 1.1367900000");
values("0.0233286000, 0.0217998000, 0.0164995000, -0.003206700, -0.081278800, -0.371022200, -1.424436200", \
"0.0231499000, 0.0216063000, 0.0163888000, -0.003316200, -0.081327600, -0.371075500, -1.424468300", \
"0.0230602000, 0.0215492000, 0.0163028000, -0.003366000, -0.081417900, -0.371130400, -1.424559600", \
"0.0229529000, 0.0214436000, 0.0161975000, -0.003548300, -0.081534200, -0.371263100, -1.424667100", \
"0.0229451000, 0.0214166000, 0.0160418000, -0.003799300, -0.081910800, -0.371481100, -1.424756900", \
"0.0245980000, 0.0229324000, 0.0168781000, -0.004621700, -0.082432400, -0.371786200, -1.424898800", \
"0.0276423000, 0.0258551000, 0.0195721000, -0.002539100, -0.082083500, -0.371681300, -1.424474800");
}
related_pin : "D";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018131000, 0.0065746610, 0.0238410300, 0.0864523400, 0.3134934000, 1.1367900000");
values("0.0233618000, 0.0251064000, 0.0314942000, 0.0549101000, 0.1350542000, 0.4223024000, 1.4663397000", \
"0.0233503000, 0.0250935000, 0.0314856000, 0.0548663000, 0.1347617000, 0.4223025000, 1.4674997000", \
"0.0232763000, 0.0250026000, 0.0313871000, 0.0548978000, 0.1353481000, 0.4222281000, 1.4716337000", \
"0.0230252000, 0.0247997000, 0.0312020000, 0.0545884000, 0.1347309000, 0.4220320000, 1.4670213000", \
"0.0227582000, 0.0244774000, 0.0308369000, 0.0542430000, 0.1346042000, 0.4224508000, 1.4627435000", \
"0.0240415000, 0.0257307000, 0.0317772000, 0.0536792000, 0.1343009000, 0.4214479000, 1.4670181000", \
"0.0251953000, 0.0267785000, 0.0327161000, 0.0549272000, 0.1356002000, 0.4232977000, 1.4689051000");
}
}
max_capacitance : 0.3323460000;
max_transition : 1.4974240000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018131000, 0.0065746600, 0.0238410000, 0.0864523000, 0.3134930000, 1.1367900000");
values("0.2132241000, 0.2203240000, 0.2401869000, 0.2875317000, 0.4007389000, 0.7462077000, 1.9743664000", \
"0.2216802000, 0.2288000000, 0.2486322000, 0.2960016000, 0.4092196000, 0.7546544000, 1.9829791000", \
"0.2463227000, 0.2534363000, 0.2732785000, 0.3205835000, 0.4341262000, 0.7789213000, 2.0108648000", \
"0.3150083000, 0.3220409000, 0.3419638000, 0.3889736000, 0.5029574000, 0.8478516000, 2.0835249000", \
"0.5064041000, 0.5139345000, 0.5344265000, 0.5823201000, 0.6968113000, 1.0397526000, 2.2680016000", \
"0.8812890000, 0.8925515000, 0.9225525000, 0.9864500000, 1.1179363000, 1.4709352000, 2.6901152000", \
"1.4988674000, 1.5165819000, 1.5637125000, 1.6617858000, 1.8374078000, 2.2160871000, 3.4431087000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018131000, 0.0065746600, 0.0238410000, 0.0864523000, 0.3134930000, 1.1367900000");
values("0.3891706000, 0.4037193000, 0.4439769000, 0.5411336000, 0.7769052000, 1.4922150000, 4.0523287000", \
"0.3947478000, 0.4092942000, 0.4496052000, 0.5470473000, 0.7825562000, 1.4979399000, 4.0561878000", \
"0.4127961000, 0.4273362000, 0.4680450000, 0.5652772000, 0.8000038000, 1.5155213000, 4.0747574000", \
"0.4651652000, 0.4796997000, 0.5206419000, 0.6173629000, 0.8527609000, 1.5695932000, 4.1279806000", \
"0.6222337000, 0.6367579000, 0.6772066000, 0.7744331000, 1.0092801000, 1.7257024000, 4.2757384000", \
"0.9808185000, 0.9984529000, 1.0443870000, 1.1492948000, 1.3918862000, 2.1050974000, 4.6551136000", \
"1.5559457000, 1.5812797000, 1.6482570000, 1.7908051000, 2.0631448000, 2.7844720000, 5.3299414000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018131000, 0.0065746600, 0.0238410000, 0.0864523000, 0.3134930000, 1.1367900000");
values("0.0381633000, 0.0423877000, 0.0550057000, 0.0927538000, 0.2132255000, 0.6697679000, 2.3626752000", \
"0.0380704000, 0.0424249000, 0.0550057000, 0.0926795000, 0.2133561000, 0.6698990000, 2.3648190000", \
"0.0385934000, 0.0422060000, 0.0551924000, 0.0927917000, 0.2128134000, 0.6693309000, 2.3754745000", \
"0.0379444000, 0.0421150000, 0.0550858000, 0.0933220000, 0.2134084000, 0.6687699000, 2.3639612000", \
"0.0430723000, 0.0474091000, 0.0591880000, 0.0952083000, 0.2139363000, 0.6686598000, 2.3633662000", \
"0.0759047000, 0.0802341000, 0.0938804000, 0.1292946000, 0.2400212000, 0.6744342000, 2.3624591000", \
"0.1398719000, 0.1484645000, 0.1677744000, 0.2095583000, 0.3163474000, 0.7089020000, 2.3777367000");
}
related_pin : "A";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018131000, 0.0065746600, 0.0238410000, 0.0864523000, 0.3134930000, 1.1367900000");
values("0.0805508000, 0.0896085000, 0.1184812000, 0.1969152000, 0.4488805000, 1.4112650000, 5.0059277000", \
"0.0805842000, 0.0896209000, 0.1194676000, 0.1968458000, 0.4487558000, 1.4112430000, 5.0021622000", \
"0.0804174000, 0.0896700000, 0.1171747000, 0.1972720000, 0.4493564000, 1.4141954000, 4.9908011000", \
"0.0804045000, 0.0895994000, 0.1178504000, 0.1974812000, 0.4485778000, 1.4151859000, 5.0094529000", \
"0.0816065000, 0.0896509000, 0.1179596000, 0.1973896000, 0.4483182000, 1.4113527000, 5.0000692000", \
"0.1081684000, 0.1178207000, 0.1427466000, 0.2162732000, 0.4589941000, 1.4156640000, 4.9930731000", \
"0.1758245000, 0.1906035000, 0.2201117000, 0.2899621000, 0.5054054000, 1.4299745000, 4.9992388000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018131000, 0.0065746600, 0.0238410000, 0.0864523000, 0.3134930000, 1.1367900000");
values("0.2497446000, 0.2572719000, 0.2779323000, 0.3275205000, 0.4444848000, 0.7916983000, 2.0232336000", \
"0.2583351000, 0.2657450000, 0.2867853000, 0.3362190000, 0.4531154000, 0.8005141000, 2.0353834000", \
"0.2834864000, 0.2909815000, 0.3119511000, 0.3615043000, 0.4783551000, 0.8256013000, 2.0566071000", \
"0.3524500000, 0.3600137000, 0.3809992000, 0.4302260000, 0.5471996000, 0.8944793000, 2.1279525000", \
"0.5550191000, 0.5624824000, 0.5833486000, 0.6326280000, 0.7497903000, 1.0970038000, 2.3280680000", \
"0.9939498000, 1.0048500000, 1.0343987000, 1.0970442000, 1.2288248000, 1.5821142000, 2.8141769000", \
"1.7681711000, 1.7860398000, 1.8327733000, 1.9291391000, 2.1043938000, 2.4834237000, 3.7102044000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018131000, 0.0065746600, 0.0238410000, 0.0864523000, 0.3134930000, 1.1367900000");
values("0.4149545000, 0.4292659000, 0.4698029000, 0.5671623000, 0.8023644000, 1.5178901000, 4.0770143000", \
"0.4212279000, 0.4358494000, 0.4765236000, 0.5736485000, 0.8088257000, 1.5243545000, 4.0829233000", \
"0.4395017000, 0.4543350000, 0.4945625000, 0.5911244000, 0.8271140000, 1.5433594000, 4.0924508000", \
"0.4885349000, 0.5030357000, 0.5440284000, 0.6409550000, 0.8756269000, 1.5916634000, 4.1459138000", \
"0.6306107000, 0.6450318000, 0.6856399000, 0.7825905000, 1.0174394000, 1.7340162000, 4.2962127000", \
"0.9561015000, 0.9729115000, 1.0187466000, 1.1244239000, 1.3676591000, 2.0860735000, 4.6475499000", \
"1.5046028000, 1.5280401000, 1.5911723000, 1.7262438000, 1.9924955000, 2.7183278000, 5.2706537000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018131000, 0.0065746600, 0.0238410000, 0.0864523000, 0.3134930000, 1.1367900000");
values("0.0419974000, 0.0464496000, 0.0596803000, 0.0981929000, 0.2177233000, 0.6703841000, 2.3747733000", \
"0.0417883000, 0.0461653000, 0.0594914000, 0.0982437000, 0.2176609000, 0.6711571000, 2.3666618000", \
"0.0417426000, 0.0462078000, 0.0596663000, 0.0982546000, 0.2175715000, 0.6720758000, 2.3664439000", \
"0.0417316000, 0.0469922000, 0.0600294000, 0.0981769000, 0.2176413000, 0.6705385000, 2.3655029000", \
"0.0431177000, 0.0473656000, 0.0602557000, 0.0984452000, 0.2177283000, 0.6715684000, 2.3729152000", \
"0.0741920000, 0.0789708000, 0.0925777000, 0.1276509000, 0.2396874000, 0.6764658000, 2.3723743000", \
"0.1373391000, 0.1461437000, 0.1644876000, 0.2054391000, 0.3108460000, 0.7076002000, 2.3641218000");
}
related_pin : "B";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018131000, 0.0065746600, 0.0238410000, 0.0864523000, 0.3134930000, 1.1367900000");
values("0.0806142000, 0.0913762000, 0.1194353000, 0.1967700000, 0.4489767000, 1.4106881000, 5.0047468000", \
"0.0813022000, 0.0912409000, 0.1190997000, 0.1969070000, 0.4488437000, 1.4107283000, 5.0040900000", \
"0.0810145000, 0.0908510000, 0.1180493000, 0.1969188000, 0.4499413000, 1.4143298000, 4.9916813000", \
"0.0804188000, 0.0895446000, 0.1180131000, 0.1972537000, 0.4495380000, 1.4143958000, 4.9906403000", \
"0.0810760000, 0.0903554000, 0.1179261000, 0.1962974000, 0.4484385000, 1.4145128000, 4.9968891000", \
"0.0994079000, 0.1093782000, 0.1371264000, 0.2144761000, 0.4588010000, 1.4141304000, 4.9956048000", \
"0.1583287000, 0.1684995000, 0.2004589000, 0.2741551000, 0.5011639000, 1.4266569000, 4.9934239000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018131000, 0.0065746600, 0.0238410000, 0.0864523000, 0.3134930000, 1.1367900000");
values("0.2699622000, 0.2777458000, 0.2991633000, 0.3494458000, 0.4678267000, 0.8160452000, 2.0489406000", \
"0.2783117000, 0.2858459000, 0.3072085000, 0.3577033000, 0.4761056000, 0.8244995000, 2.0589324000", \
"0.3024034000, 0.3101319000, 0.3315898000, 0.3819082000, 0.5003851000, 0.8491077000, 2.0804782000", \
"0.3730855000, 0.3808109000, 0.4020887000, 0.4524688000, 0.5709515000, 0.9197323000, 2.1549553000", \
"0.5779673000, 0.5856780000, 0.6070460000, 0.6573577000, 0.7758509000, 1.1241137000, 2.3552700000", \
"1.0453589000, 1.0562846000, 1.0851339000, 1.1477298000, 1.2784038000, 1.6303247000, 2.8637657000", \
"1.8872153000, 1.9032691000, 1.9489437000, 2.0439910000, 2.2167161000, 2.5948759000, 3.8259289000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018131000, 0.0065746600, 0.0238410000, 0.0864523000, 0.3134930000, 1.1367900000");
values("0.4290592000, 0.4436063000, 0.4845939000, 0.5815160000, 0.8162831000, 1.5320241000, 4.0803241000", \
"0.4360890000, 0.4506391000, 0.4913607000, 0.5883423000, 0.8234503000, 1.5387694000, 4.0976236000", \
"0.4550915000, 0.4696322000, 0.5103420000, 0.6076250000, 0.8424827000, 1.5578645000, 4.1167878000", \
"0.5017411000, 0.5163171000, 0.5567997000, 0.6538785000, 0.8888036000, 1.6048629000, 4.1536099000", \
"0.6249342000, 0.6396992000, 0.6801815000, 0.7772124000, 1.0121066000, 1.7284630000, 4.2957122000", \
"0.9098634000, 0.9264065000, 0.9716546000, 1.0770703000, 1.3206164000, 2.0399642000, 4.5909809000", \
"1.4166149000, 1.4388936000, 1.4982429000, 1.6262801000, 1.8964095000, 2.6272273000, 5.1767834000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018131000, 0.0065746600, 0.0238410000, 0.0864523000, 0.3134930000, 1.1367900000");
values("0.0440308000, 0.0480588000, 0.0614127000, 0.0994739000, 0.2199329000, 0.6727809000, 2.3762630000", \
"0.0438499000, 0.0487638000, 0.0615679000, 0.1004633000, 0.2198934000, 0.6721371000, 2.3761249000", \
"0.0440781000, 0.0486499000, 0.0623941000, 0.1004802000, 0.2197271000, 0.6733150000, 2.3725732000", \
"0.0439497000, 0.0486594000, 0.0618786000, 0.1003889000, 0.2196022000, 0.6725350000, 2.3675966000", \
"0.0442881000, 0.0489470000, 0.0618696000, 0.1004429000, 0.2197163000, 0.6731851000, 2.3703175000", \
"0.0720015000, 0.0769331000, 0.0905633000, 0.1264108000, 0.2384473000, 0.6759085000, 2.3767539000", \
"0.1335869000, 0.1415430000, 0.1607711000, 0.2011654000, 0.3068472000, 0.7061863000, 2.3682278000");
}
related_pin : "C";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018131000, 0.0065746600, 0.0238410000, 0.0864523000, 0.3134930000, 1.1367900000");
values("0.0803396000, 0.0901419000, 0.1179082000, 0.1972721000, 0.4496269000, 1.4146617000, 4.9830842000", \
"0.0805480000, 0.0899427000, 0.1193290000, 0.1969139000, 0.4489149000, 1.4108578000, 5.0043494000", \
"0.0804913000, 0.0896036000, 0.1173284000, 0.1968558000, 0.4490528000, 1.4119064000, 5.0029185000", \
"0.0806271000, 0.0900611000, 0.1187293000, 0.1960230000, 0.4501255000, 1.4145232000, 4.9895843000", \
"0.0809543000, 0.0909628000, 0.1180494000, 0.1961466000, 0.4487204000, 1.4145948000, 5.0117941000", \
"0.0962590000, 0.1064558000, 0.1346051000, 0.2138073000, 0.4600386000, 1.4136715000, 5.0021232000", \
"0.1438468000, 0.1545451000, 0.1861519000, 0.2683039000, 0.4975284000, 1.4256645000, 4.9999067000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018131000, 0.0065746600, 0.0238410000, 0.0864523000, 0.3134930000, 1.1367900000");
values("0.2877057000, 0.2955085000, 0.3173040000, 0.3688274000, 0.4886871000, 0.8387267000, 2.0698911000", \
"0.2952587000, 0.3032325000, 0.3251825000, 0.3762640000, 0.4962707000, 0.8464862000, 2.0793791000", \
"0.3197678000, 0.3276832000, 0.3493485000, 0.4009235000, 0.5208373000, 0.8708324000, 2.1014741000", \
"0.3918737000, 0.3998034000, 0.4217562000, 0.4729451000, 0.5929505000, 0.9433232000, 2.1754811000", \
"0.5965429000, 0.6043648000, 0.6262691000, 0.6772076000, 0.7972489000, 1.1475673000, 2.3814775000", \
"1.0832503000, 1.0939030000, 1.1224810000, 1.1845200000, 1.3149637000, 1.6682834000, 2.8990479000", \
"1.9694639000, 1.9863677000, 2.0310269000, 2.1232718000, 2.2938922000, 2.6716378000, 3.9042507000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018131000, 0.0065746600, 0.0238410000, 0.0864523000, 0.3134930000, 1.1367900000");
values("0.4407852000, 0.4553272000, 0.4963210000, 0.5932558000, 0.8279964000, 1.5435575000, 4.0921768000", \
"0.4486365000, 0.4632016000, 0.5041322000, 0.6011290000, 0.8357915000, 1.5512440000, 4.1023465000", \
"0.4680349000, 0.4825921000, 0.5234120000, 0.6204254000, 0.8555360000, 1.5706494000, 4.1280503000", \
"0.5135342000, 0.5281163000, 0.5689386000, 0.6658846000, 0.9006531000, 1.6163612000, 4.1649013000", \
"0.6246875000, 0.6393029000, 0.6798898000, 0.7775790000, 1.0123360000, 1.7286810000, 4.2777590000", \
"0.8727269000, 0.8891177000, 0.9340921000, 1.0378227000, 1.2812602000, 1.9999991000, 4.5633799000", \
"1.3322752000, 1.3531324000, 1.4086969000, 1.5339718000, 1.8016931000, 2.5248639000, 5.0760072000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018131000, 0.0065746600, 0.0238410000, 0.0864523000, 0.3134930000, 1.1367900000");
values("0.0454992000, 0.0503797000, 0.0635546000, 0.1022053000, 0.2224266000, 0.6742861000, 2.3692135000", \
"0.0453224000, 0.0501808000, 0.0631579000, 0.1025781000, 0.2224822000, 0.6731037000, 2.3739553000", \
"0.0453280000, 0.0498638000, 0.0634895000, 0.1021290000, 0.2224564000, 0.6744065000, 2.3705129000", \
"0.0458497000, 0.0505960000, 0.0632604000, 0.1020302000, 0.2223261000, 0.6736790000, 2.3724147000", \
"0.0454315000, 0.0501049000, 0.0635958000, 0.1023475000, 0.2225515000, 0.6740722000, 2.3769886000", \
"0.0712508000, 0.0763573000, 0.0893000000, 0.1255715000, 0.2382158000, 0.6765402000, 2.3714335000", \
"0.1309142000, 0.1395055000, 0.1573944000, 0.1975236000, 0.3033466000, 0.7054780000, 2.3705802000");
}
related_pin : "D";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018131000, 0.0065746600, 0.0238410000, 0.0864523000, 0.3134930000, 1.1367900000");
values("0.0802866000, 0.0901113000, 0.1178697000, 0.1972936000, 0.4495554000, 1.4147417000, 4.9850231000", \
"0.0801854000, 0.0900310000, 0.1178759000, 0.1973639000, 0.4492216000, 1.4146208000, 4.9872333000", \
"0.0813823000, 0.0911674000, 0.1184498000, 0.1969123000, 0.4488306000, 1.4106317000, 5.0022875000", \
"0.0807023000, 0.0899847000, 0.1184757000, 0.1968930000, 0.4499284000, 1.4145678000, 4.9860880000", \
"0.0812254000, 0.0907587000, 0.1180260000, 0.1974180000, 0.4482028000, 1.4148496000, 4.9901403000", \
"0.0928410000, 0.1024438000, 0.1316075000, 0.2125684000, 0.4587716000, 1.4149760000, 4.9954179000", \
"0.1285338000, 0.1392243000, 0.1702344000, 0.2506156000, 0.4928005000, 1.4264864000, 4.9901052000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
/* removed sky130_fd_sc_hd__and4b_1 */
/* removed sky130_fd_sc_hd__and4b_2 */
/* removed sky130_fd_sc_hd__and4b_4 */
/* removed sky130_fd_sc_hd__and4bb_1 */
/* removed sky130_fd_sc_hd__and4bb_2 */
/* removed sky130_fd_sc_hd__and4bb_4 */
/* removed sky130_fd_sc_hd__buf_1 */
/* removed sky130_fd_sc_hd__buf_12 */
/* removed sky130_fd_sc_hd__buf_16 */
cell ("sky130_fd_sc_hd__buf_2") {
leakage_power () {
value : 4.6435882000;
when : "A";
}
leakage_power () {
value : 6.6006294000;
when : "!A";
}
area : 5.0048000000;
cell_footprint : "sky130_fd_sc_hd__buf";
cell_leakage_power : 5.6221090000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0016890000;
clock : "false";
direction : "input";
fall_capacitance : 0.0016250000;
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0017530000;
}
pin ("X") {
direction : "output";
function : "(A)";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0016601230, 0.0055120140, 0.0183012400, 0.0607646100, 0.2017534000, 0.6698708000");
values("0.0114081000, 0.0101446000, 0.0059979000, -0.009402800, -0.063373000, -0.243711300, -0.842698000", \
"0.0113388000, 0.0100762000, 0.0059300000, -0.009486800, -0.063460400, -0.243827600, -0.843011100", \
"0.0112017000, 0.0099462000, 0.0057567000, -0.009643300, -0.063588600, -0.243958500, -0.843144100", \
"0.0110075000, 0.0097543000, 0.0055074000, -0.009920700, -0.063799800, -0.244104300, -0.843269800", \
"0.0109034000, 0.0095393000, 0.0052132000, -0.010273000, -0.064044500, -0.244242600, -0.843326200", \
"0.0119924000, 0.0105041000, 0.0056826000, -0.010510400, -0.064181300, -0.244293500, -0.843329900", \
"0.0133049000, 0.0117762000, 0.0067733000, -0.010008100, -0.063958200, -0.243773500, -0.842616000");
}
related_pin : "A";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0016601230, 0.0055120140, 0.0183012400, 0.0607646100, 0.2017534000, 0.6698708000");
values("0.0098555000, 0.0115169000, 0.0167726000, 0.0332817000, 0.0871943000, 0.2658523000, 0.8575931000", \
"0.0098033000, 0.0114422000, 0.0166443000, 0.0332402000, 0.0870676000, 0.2660090000, 0.8622403000", \
"0.0096491000, 0.0113218000, 0.0165229000, 0.0330688000, 0.0870949000, 0.2659789000, 0.8605653000", \
"0.0094459000, 0.0110590000, 0.0162189000, 0.0328133000, 0.0867315000, 0.2645456000, 0.8578066000", \
"0.0091558000, 0.0107074000, 0.0158925000, 0.0322325000, 0.0863208000, 0.2651428000, 0.8617378000", \
"0.0095206000, 0.0110045000, 0.0159610000, 0.0325901000, 0.0864250000, 0.2659149000, 0.8571363000", \
"0.0105961000, 0.0118883000, 0.0168704000, 0.0330688000, 0.0870450000, 0.2644305000, 0.8593129000");
}
}
max_capacitance : 0.1986790000;
max_transition : 1.5092220000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016601200, 0.0055120100, 0.0183012000, 0.0607646000, 0.2017530000, 0.6698710000");
values("0.1792932000, 0.1892139000, 0.2133868000, 0.2670034000, 0.4028960000, 0.8250789000, 2.2191862000", \
"0.1871287000, 0.1970866000, 0.2210889000, 0.2748479000, 0.4105168000, 0.8315783000, 2.2243745000", \
"0.2106823000, 0.2205745000, 0.2446495000, 0.2982169000, 0.4341444000, 0.8554000000, 2.2481992000", \
"0.2799382000, 0.2898951000, 0.3138765000, 0.3677327000, 0.5037561000, 0.9255889000, 2.3203426000", \
"0.4672344000, 0.4781244000, 0.5041376000, 0.5602953000, 0.6958281000, 1.1186306000, 2.5260194000", \
"0.8101472000, 0.8265185000, 0.8635023000, 0.9333720000, 1.0847886000, 1.5091776000, 2.9005294000", \
"1.3600734000, 1.3859723000, 1.4428624000, 1.5490592000, 1.7344262000, 2.1691255000, 3.5662060000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016601200, 0.0055120100, 0.0183012000, 0.0607646000, 0.2017530000, 0.6698710000");
values("0.1223381000, 0.1326455000, 0.1596562000, 0.2318837000, 0.4568384000, 1.1978754000, 3.6524452000", \
"0.1302204000, 0.1403412000, 0.1677390000, 0.2400523000, 0.4654440000, 1.2089935000, 3.6676835000", \
"0.1541482000, 0.1645033000, 0.1912796000, 0.2635832000, 0.4888030000, 1.2279676000, 3.7022767000", \
"0.2219590000, 0.2320275000, 0.2587865000, 0.3310327000, 0.5568049000, 1.2984541000, 3.7519770000", \
"0.3715447000, 0.3840245000, 0.4146360000, 0.4888566000, 0.7143833000, 1.4546266000, 3.9179868000", \
"0.6314031000, 0.6501129000, 0.6919922000, 0.7786307000, 1.0057660000, 1.7429683000, 4.1897121000", \
"1.0490839000, 1.0793150000, 1.1464256000, 1.2692332000, 1.5133310000, 2.2499729000, 4.6949586000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016601200, 0.0055120100, 0.0183012000, 0.0607646000, 0.2017530000, 0.6698710000");
values("0.0326383000, 0.0388792000, 0.0570961000, 0.1072918000, 0.2698199000, 0.8430761000, 2.7455656000", \
"0.0325373000, 0.0394768000, 0.0571115000, 0.1073651000, 0.2708044000, 0.8448503000, 2.7405382000", \
"0.0325853000, 0.0394171000, 0.0570797000, 0.1070333000, 0.2703807000, 0.8412561000, 2.7441960000", \
"0.0327381000, 0.0393401000, 0.0571526000, 0.1072078000, 0.2706572000, 0.8416168000, 2.7472584000", \
"0.0399550000, 0.0462274000, 0.0634366000, 0.1108246000, 0.2713273000, 0.8426275000, 2.7528677000", \
"0.0712085000, 0.0781038000, 0.0956834000, 0.1446937000, 0.2920024000, 0.8431466000, 2.7429092000", \
"0.1289667000, 0.1404027000, 0.1656724000, 0.2143093000, 0.3476842000, 0.8662676000, 2.7465112000");
}
related_pin : "A";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016601200, 0.0055120100, 0.0183012000, 0.0607646000, 0.2017530000, 0.6698710000");
values("0.0305471000, 0.0394456000, 0.0664014000, 0.1577033000, 0.4728385000, 1.5279653000, 5.0057238000", \
"0.0306153000, 0.0394675000, 0.0665389000, 0.1575114000, 0.4725135000, 1.5285890000, 5.0131672000", \
"0.0303964000, 0.0391392000, 0.0665922000, 0.1575755000, 0.4727875000, 1.5273635000, 5.0006665000", \
"0.0310338000, 0.0399008000, 0.0669550000, 0.1576669000, 0.4731400000, 1.5279790000, 5.0034896000", \
"0.0423107000, 0.0504480000, 0.0758846000, 0.1619731000, 0.4723255000, 1.5233685000, 5.0113349000", \
"0.0713334000, 0.0804936000, 0.1044274000, 0.1803058000, 0.4775647000, 1.5246026000, 5.0024740000", \
"0.1270634000, 0.1423647000, 0.1735217000, 0.2418689000, 0.4980814000, 1.5298507000, 4.9966333000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
cell ("sky130_fd_sc_hd__buf_4") {
leakage_power () {
value : 6.0855538000;
when : "A";
}
leakage_power () {
value : 7.7954185000;
when : "!A";
}
area : 7.5072000000;
cell_footprint : "sky130_fd_sc_hd__buf";
cell_leakage_power : 6.9404860000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0024140000;
clock : "false";
direction : "input";
fall_capacitance : 0.0023180000;
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0025110000;
}
pin ("X") {
direction : "output";
function : "(A)";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018276110, 0.0066803240, 0.0244180700, 0.0892534700, 0.3262413000, 1.1924840000");
values("0.0204078000, 0.0189941000, 0.0136853000, -0.006812200, -0.088552900, -0.391634800, -1.500076000", \
"0.0203258000, 0.0188429000, 0.0136362000, -0.006905700, -0.088636000, -0.391699100, -1.500188000", \
"0.0201921000, 0.0187415000, 0.0133900000, -0.007089100, -0.088773700, -0.391799500, -1.500472500", \
"0.0199177000, 0.0184422000, 0.0130900000, -0.007559600, -0.089182700, -0.392068700, -1.500741300", \
"0.0197517000, 0.0181979000, 0.0126767000, -0.008182400, -0.089653300, -0.392320700, -1.500892700", \
"0.0220561000, 0.0203871000, 0.0138513000, -0.008373000, -0.090000400, -0.392433600, -1.500830700", \
"0.0241050000, 0.0222803000, 0.0158206000, -0.006979300, -0.089538300, -0.392000600, -1.500001800");
}
related_pin : "A";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018276110, 0.0066803240, 0.0244180700, 0.0892534700, 0.3262413000, 1.1924840000");
values("0.0185842000, 0.0204810000, 0.0272475000, 0.0505668000, 0.1331822000, 0.4343570000, 1.5380208000", \
"0.0185376000, 0.0204206000, 0.0271911000, 0.0506271000, 0.1331899000, 0.4356448000, 1.5277904000", \
"0.0183459000, 0.0202270000, 0.0269118000, 0.0502801000, 0.1329562000, 0.4338499000, 1.5339730000", \
"0.0181673000, 0.0199681000, 0.0266175000, 0.0498909000, 0.1325210000, 0.4328279000, 1.5284526000", \
"0.0175477000, 0.0193510000, 0.0259874000, 0.0486094000, 0.1317413000, 0.4332142000, 1.5373632000", \
"0.0185300000, 0.0202446000, 0.0265048000, 0.0496712000, 0.1319548000, 0.4311140000, 1.5293206000", \
"0.0202709000, 0.0217872000, 0.0278841000, 0.0504724000, 0.1333418000, 0.4294095000, 1.5322250000");
}
}
max_capacitance : 0.3534030000;
max_transition : 1.5123320000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018276100, 0.0066803200, 0.0244181000, 0.0892535000, 0.3262410000, 1.1924800000");
values("0.1902497000, 0.1971157000, 0.2157510000, 0.2610498000, 0.3727349000, 0.7289759000, 2.0173765000", \
"0.1980286000, 0.2048574000, 0.2237077000, 0.2688738000, 0.3804807000, 0.7367326000, 2.0259872000", \
"0.2219959000, 0.2287388000, 0.2475648000, 0.2926421000, 0.4042006000, 0.7604152000, 2.0475375000", \
"0.2899761000, 0.2966962000, 0.3154882000, 0.3605554000, 0.4726657000, 0.8293691000, 2.1151638000", \
"0.4775383000, 0.4848470000, 0.5048983000, 0.5517460000, 0.6652214000, 1.0191386000, 2.3072764000", \
"0.8284319000, 0.8395636000, 0.8689292000, 0.9315299000, 1.0601042000, 1.4220166000, 2.7083646000", \
"1.3986508000, 1.4164481000, 1.4623595000, 1.5578311000, 1.7305671000, 2.1130155000, 3.3968441000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018276100, 0.0066803200, 0.0244181000, 0.0892535000, 0.3262410000, 1.1924800000");
values("0.1332108000, 0.1406323000, 0.1624074000, 0.2234062000, 0.4188125000, 1.1266336000, 3.7000452000", \
"0.1411014000, 0.1484465000, 0.1706838000, 0.2316877000, 0.4273928000, 1.1309109000, 3.7041707000", \
"0.1651489000, 0.1725079000, 0.1942932000, 0.2550686000, 0.4513106000, 1.1565385000, 3.7246159000", \
"0.2332486000, 0.2404185000, 0.2621345000, 0.3228431000, 0.5187201000, 1.2339395000, 3.7888812000", \
"0.3886047000, 0.3974205000, 0.4222556000, 0.4857827000, 0.6819963000, 1.3901942000, 3.9677452000", \
"0.6596343000, 0.6729095000, 0.7073554000, 0.7845570000, 0.9861227000, 1.6831992000, 4.2502162000", \
"1.0930461000, 1.1126842000, 1.1687665000, 1.2825181000, 1.5108080000, 2.2098503000, 4.7659667000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018276100, 0.0066803200, 0.0244181000, 0.0892535000, 0.3262410000, 1.1924800000");
values("0.0336166000, 0.0377793000, 0.0505988000, 0.0872205000, 0.2109134000, 0.6870773000, 2.4531632000", \
"0.0336626000, 0.0378012000, 0.0505987000, 0.0878217000, 0.2108410000, 0.6871822000, 2.4519975000", \
"0.0338281000, 0.0377485000, 0.0502780000, 0.0877771000, 0.2108483000, 0.6854405000, 2.4472753000", \
"0.0337237000, 0.0378320000, 0.0509682000, 0.0876673000, 0.2104038000, 0.6867484000, 2.4515387000", \
"0.0404713000, 0.0449477000, 0.0562911000, 0.0914166000, 0.2123574000, 0.6846614000, 2.4477169000", \
"0.0717623000, 0.0772444000, 0.0899121000, 0.1237104000, 0.2361900000, 0.6904752000, 2.4529967000", \
"0.1331769000, 0.1408534000, 0.1600472000, 0.2014477000, 0.3052840000, 0.7171650000, 2.4613160000");
}
related_pin : "A";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018276100, 0.0066803200, 0.0244181000, 0.0892535000, 0.3262410000, 1.1924800000");
values("0.0325505000, 0.0385199000, 0.0586107000, 0.1282675000, 0.3959699000, 1.3928613000, 5.0165858000", \
"0.0327210000, 0.0388621000, 0.0586074000, 0.1283457000, 0.3960781000, 1.3944654000, 4.9917275000", \
"0.0327365000, 0.0386999000, 0.0585157000, 0.1285708000, 0.3963007000, 1.3918375000, 5.0062559000", \
"0.0328350000, 0.0389711000, 0.0588681000, 0.1288800000, 0.3960932000, 1.3939321000, 4.9977942000", \
"0.0434637000, 0.0494244000, 0.0683817000, 0.1346310000, 0.3966836000, 1.3905053000, 5.0173643000", \
"0.0727950000, 0.0792365000, 0.0978456000, 0.1570717000, 0.4035518000, 1.3848362000, 5.0030788000", \
"0.1316381000, 0.1397762000, 0.1657093000, 0.2238969000, 0.4341293000, 1.3957608000, 4.9963207000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
/* removed sky130_fd_sc_hd__buf_6 */
cell ("sky130_fd_sc_hd__buf_8") {
leakage_power () {
value : 12.511564200;
when : "A";
}
leakage_power () {
value : 11.917618900;
when : "!A";
}
area : 15.014400000;
cell_footprint : "sky130_fd_sc_hd__buf";
cell_leakage_power : 12.214590000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0068550000;
clock : "false";
direction : "input";
fall_capacitance : 0.0065550000;
max_transition : 5.0000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0071560000;
}
pin ("X") {
direction : "output";
function : "(A)";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0023207940, 0.0107721700, 0.0500000000, 0.2320794000, 1.0772170000, 5.0000000000");
values("0.0482864000, 0.0463953000, 0.0372267000, -0.008213600, -0.239222300, -1.320581000, -6.341755100", \
"0.0480217000, 0.0460699000, 0.0368949000, -0.008597200, -0.239446000, -1.320905700, -6.342317400", \
"0.0473397000, 0.0453681000, 0.0363150000, -0.009086500, -0.240116000, -1.321303900, -6.343081000", \
"0.0465945000, 0.0446748000, 0.0353789000, -0.010335200, -0.240937100, -1.322119900, -6.343265800", \
"0.0459163000, 0.0438205000, 0.0341960000, -0.011912800, -0.241822800, -1.322504100, -6.343498100", \
"0.0488926000, 0.0466074000, 0.0362025000, -0.012694200, -0.242401300, -1.322254600, -6.343042800", \
"0.0546137000, 0.0523694000, 0.0410475000, -0.008778300, -0.240710900, -1.319260500, -6.339676400");
}
related_pin : "A";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0023207940, 0.0107721700, 0.0500000000, 0.2320794000, 1.0772170000, 5.0000000000");
values("0.0360687000, 0.0387952000, 0.0508918000, 0.1026426000, 0.3327931000, 1.4076874000, 6.3843626000", \
"0.0358765000, 0.0385701000, 0.0505221000, 0.1025474000, 0.3343021000, 1.3994704000, 6.3882848000", \
"0.0352301000, 0.0378867000, 0.0499168000, 0.1018053000, 0.3336752000, 1.3984902000, 6.3724870000", \
"0.0344003000, 0.0369302000, 0.0485762000, 0.0998338000, 0.3309506000, 1.4031133000, 6.3891350000", \
"0.0335289000, 0.0359425000, 0.0471475000, 0.0984446000, 0.3304422000, 1.4007744000, 6.3768912000", \
"0.0345702000, 0.0369571000, 0.0480727000, 0.0990786000, 0.3314091000, 1.4029848000, 6.3777224000", \
"0.0392410000, 0.0413985000, 0.0518777000, 0.1020916000, 0.3336909000, 1.3981500000, 6.3737360000");
}
}
max_capacitance : 5.0000000000;
max_transition : 11.865947000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0023207900, 0.0107722000, 0.0500000000, 0.2320790000, 1.0772200000, 5.0000000000");
values("0.1628220000, 0.1672627000, 0.1833001000, 0.2319893000, 0.3873698000, 1.0622462000, 4.1864441000", \
"0.1705390000, 0.1749575000, 0.1910426000, 0.2394226000, 0.3953347000, 1.0683312000, 4.1787849000", \
"0.1938934000, 0.1983276000, 0.2145049000, 0.2627592000, 0.4185169000, 1.0931503000, 4.2095971000", \
"0.2636654000, 0.2680891000, 0.2842574000, 0.3326232000, 0.4884917000, 1.1625690000, 4.2816870000", \
"0.4463491000, 0.4514163000, 0.4694416000, 0.5209426000, 0.6791461000, 1.3483680000, 4.4615871000", \
"0.7820790000, 0.7898602000, 0.8164495000, 0.8840023000, 1.0551716000, 1.7308119000, 4.8537463000", \
"1.3514849000, 1.3629545000, 1.4059238000, 1.5092041000, 1.7269730000, 2.4047440000, 5.5160148000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0023207900, 0.0107722000, 0.0500000000, 0.2320790000, 1.0772200000, 5.0000000000");
values("0.1096476000, 0.1147600000, 0.1347187000, 0.2074092000, 0.5164609000, 1.9487896000, 8.5639877000", \
"0.1176248000, 0.1227203000, 0.1425239000, 0.2154587000, 0.5250339000, 1.9534965000, 8.5585281000", \
"0.1406120000, 0.1457382000, 0.1656604000, 0.2382823000, 0.5489065000, 1.9748974000, 8.5946397000", \
"0.2052837000, 0.2102405000, 0.2300069000, 0.3027910000, 0.6128212000, 2.0377444000, 8.6705425000", \
"0.3344441000, 0.3405518000, 0.3631274000, 0.4388807000, 0.7479198000, 2.1726525000, 8.8210799000", \
"0.5477228000, 0.5567204000, 0.5875163000, 0.6742833000, 0.9859132000, 2.4085303000, 9.0125427000", \
"0.8498863000, 0.8643130000, 0.9135410000, 1.0369544000, 1.3590513000, 2.7821037000, 9.4161168000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0023207900, 0.0107722000, 0.0500000000, 0.2320790000, 1.0772200000, 5.0000000000");
values("0.0290154000, 0.0321070000, 0.0432452000, 0.0863603000, 0.2777273000, 1.2093322000, 5.5378107000", \
"0.0292245000, 0.0320530000, 0.0432517000, 0.0865659000, 0.2779052000, 1.2106438000, 5.5362310000", \
"0.0289855000, 0.0317967000, 0.0429958000, 0.0862802000, 0.2776141000, 1.2116310000, 5.5460396000", \
"0.0290163000, 0.0318564000, 0.0431396000, 0.0862078000, 0.2777075000, 1.2113701000, 5.5476845000", \
"0.0376463000, 0.0404774000, 0.0508035000, 0.0914633000, 0.2784780000, 1.2067809000, 5.5364597000", \
"0.0675293000, 0.0710281000, 0.0830116000, 0.1209926000, 0.2966779000, 1.2100837000, 5.5415050000", \
"0.1255217000, 0.1307568000, 0.1480040000, 0.1956071000, 0.3465401000, 1.2216100000, 5.5398135000");
}
related_pin : "A";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0023207900, 0.0107722000, 0.0500000000, 0.2320790000, 1.0772200000, 5.0000000000");
values("0.0294548000, 0.0339018000, 0.0533431000, 0.1435501000, 0.5750551000, 2.5879985000, 11.861519800", \
"0.0294476000, 0.0339550000, 0.0534078000, 0.1435601000, 0.5762281000, 2.5764806000, 11.861323500", \
"0.0295582000, 0.0339631000, 0.0533755000, 0.1435769000, 0.5745656000, 2.5706717000, 11.848060300", \
"0.0305590000, 0.0349637000, 0.0542747000, 0.1438506000, 0.5725555000, 2.5777585000, 11.872257000", \
"0.0403257000, 0.0449385000, 0.0630096000, 0.1480741000, 0.5755733000, 2.5753022000, 11.853288100", \
"0.0671535000, 0.0711327000, 0.0885258000, 0.1638999000, 0.5787023000, 2.5830866000, 11.837553200", \
"0.1206640000, 0.1278172000, 0.1518516000, 0.2196710000, 0.5897643000, 2.5774209000, 11.853589200");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
/* removed sky130_fd_sc_hd__bufbuf_16 */
/* removed sky130_fd_sc_hd__bufbuf_8 */
/* removed sky130_fd_sc_hd__bufinv_16 */
/* removed sky130_fd_sc_hd__bufinv_8 */
/* removed sky130_fd_sc_hd__clkbuf_1 */
/* removed sky130_fd_sc_hd__clkbuf_16 */
/* removed sky130_fd_sc_hd__clkbuf_2 */
/* removed sky130_fd_sc_hd__clkbuf_4 */
/* removed sky130_fd_sc_hd__clkbuf_8 */
/* removed sky130_fd_sc_hd__clkdlybuf4s15_1 */
/* removed sky130_fd_sc_hd__clkdlybuf4s15_2 */
/* removed sky130_fd_sc_hd__clkdlybuf4s18_1 */
/* removed sky130_fd_sc_hd__clkdlybuf4s18_2 */
/* removed sky130_fd_sc_hd__clkdlybuf4s25_1 */
/* removed sky130_fd_sc_hd__clkdlybuf4s25_2 */
/* removed sky130_fd_sc_hd__clkdlybuf4s50_1 */
/* removed sky130_fd_sc_hd__clkdlybuf4s50_2 */
/* removed sky130_fd_sc_hd__clkinv_1 */
/* removed sky130_fd_sc_hd__clkinv_16 */
/* removed sky130_fd_sc_hd__clkinv_2 */
/* removed sky130_fd_sc_hd__clkinv_4 */
/* removed sky130_fd_sc_hd__clkinv_8 */
/* removed sky130_fd_sc_hd__clkinvlp_2 */
/* removed sky130_fd_sc_hd__clkinvlp_4 */
cell ("sky130_fd_sc_hd__conb_1") {
area : 3.7536000000;
cell_footprint : "sky130_fd_sc_hd__conb";
cell_leakage_power : 0.0030330610;
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("HI") {
direction : "output";
function : "1";
max_capacitance : 1.7899000000;
max_transition : 1.0000000000;
power_down_function : "!VPWR";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
pin ("LO") {
direction : "output";
function : "0";
max_capacitance : 1.9210000000;
max_transition : 1.0000000000;
power_down_function : "VGND";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
}
/* removed sky130_fd_sc_hd__decap_12 */
/* removed sky130_fd_sc_hd__decap_3 */
/* removed sky130_fd_sc_hd__decap_4 */
/* removed sky130_fd_sc_hd__decap_6 */
/* removed sky130_fd_sc_hd__decap_8 */
/* removed sky130_fd_sc_hd__dfbbn_1 */
cell ("sky130_fd_sc_hd__dfbbn_2") {
leakage_power () {
value : 28.489404600;
when : "SET_B&RESET_B&!CLK_N&D&!Q&Q_N";
}
leakage_power () {
value : 23.659618300;
when : "SET_B&RESET_B&CLK_N&!D&!Q&Q_N";
}
leakage_power () {
value : 23.073422300;
when : "!SET_B&RESET_B&!CLK_N&!D&Q&!Q_N";
}
leakage_power () {
value : 17.724934400;
when : "SET_B&!RESET_B&!CLK_N&!D&!Q&Q_N";
}
leakage_power () {
value : 25.713138300;
when : "SET_B&RESET_B&!CLK_N&!D&Q&!Q_N";
}
leakage_power () {
value : 24.668622700;
when : "SET_B&RESET_B&CLK_N&D&Q&!Q_N";
}
leakage_power () {
value : 22.980660900;
when : "!SET_B&RESET_B&!CLK_N&D&Q&!Q_N";
}
leakage_power () {
value : 22.162050000;
when : "SET_B&!RESET_B&!CLK_N&D&!Q&Q_N";
}
leakage_power () {
value : 21.065742900;
when : "!SET_B&RESET_B&CLK_N&!D&Q&!Q_N";
}
leakage_power () {
value : 21.617514900;
when : "!SET_B&!RESET_B&!CLK_N&!D&Q&!Q_N";
}
leakage_power () {
value : 22.029096800;
when : "!SET_B&RESET_B&CLK_N&D&Q&!Q_N";
}
leakage_power () {
value : 24.789727600;
when : "SET_B&RESET_B&CLK_N&!D&Q&!Q_N";
}
leakage_power () {
value : 19.047231300;
when : "!SET_B&!RESET_B&CLK_N&!D&Q&!Q_N";
}
leakage_power () {
value : 25.620307700;
when : "SET_B&RESET_B&!CLK_N&D&Q&!Q_N";
}
leakage_power () {
value : 21.524766000;
when : "!SET_B&!RESET_B&!CLK_N&D&Q&!Q_N";
}
leakage_power () {
value : 20.573185800;
when : "!SET_B&!RESET_B&CLK_N&D&Q&!Q_N";
}
leakage_power () {
value : 17.332880000;
when : "SET_B&!RESET_B&CLK_N&!D&!Q&Q_N";
}
leakage_power () {
value : 24.051637100;
when : "SET_B&RESET_B&!CLK_N&!D&!Q&Q_N";
}
leakage_power () {
value : 21.453820100;
when : "SET_B&!RESET_B&CLK_N&D&!Q&Q_N";
}
leakage_power () {
value : 25.291756200;
when : "SET_B&RESET_B&CLK_N&D&!Q&Q_N";
}
area : 35.033600000;
cell_footprint : "sky130_fd_sc_hd__dfbbn";
cell_leakage_power : 22.643480000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
ff ("IQ","IQ_N") {
clear : "!RESET_B";
clear_preset_var1 : "H";
clear_preset_var2 : "L";
clocked_on : "!CLK_N";
next_state : "D";
preset : "!SET_B";
}
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("CLK_N") {
capacitance : 0.0016750000;
clock : "true";
direction : "input";
fall_capacitance : 0.0015950000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0259917000, 0.0254621000, 0.0241331000, 0.0241161000, 0.0242312000, 0.0247187000, 0.0262554000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0134162000, 0.0131146000, 0.0124307000, 0.0123482000, 0.0122815000, 0.0122594000, 0.0123631000");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0017550000;
timing () {
fall_constraint ("constraint_3_0_1") {
index_1("0.0100000000, 2.5000000000, 5.0000000000");
values("0.5861758000, 4.1666666000, 8.3333333000");
}
related_output_pin : "Q";
related_pin : "CLK_N";
rise_constraint ("constraint_3_0_1") {
index_1("0.0100000000, 2.5000000000, 5.0000000000");
values("0.4428068000, 4.1666666000, 8.3333333000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "min_pulse_width";
violation_delay_degrade_pct : 10.000000000;
}
}
pin ("D") {
capacitance : 0.0014960000;
clock : "false";
direction : "input";
fall_capacitance : 0.0014350000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0042910000, 0.0041902000, 0.0040805000, 0.0039994000, 0.0039451000, 0.0039661000, 0.0041996000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0004095000, 0.0001944000, -0.000249600, -0.000331800, -0.000401600, -0.000436500, -0.000373100");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0015570000;
timing () {
fall_constraint ("vio_3_3_1") {
index_1("0.0100000000, 2.5000000000, 5.0000000000");
index_2("0.0100000000, 2.5000000000, 5.0000000000");
values("0.3425762000, 1.3657207000, 2.0234763000", \
"-0.122096700, 0.8772442000, 1.5075338000", \
"-0.344061200, 0.6589417000, 1.2874003000");
}
related_pin : "CLK_N";
rise_constraint ("vio_3_3_1") {
index_1("0.0100000000, 2.5000000000, 5.0000000000");
index_2("0.0100000000, 2.5000000000, 5.0000000000");
values("0.1631328000, 0.8200664000, 1.1756979000", \
"-0.751979500, -0.085890600, 0.2697409000", \
"-1.202825800, -0.545892200, -0.190260700");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "setup_falling";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
fall_constraint ("vio_3_3_1") {
index_1("0.0100000000, 2.5000000000, 5.0000000000");
index_2("0.0100000000, 2.5000000000, 5.0000000000");
values("-0.300124000, -1.310451100, -1.955389400", \
"0.1627178000, -0.827467800, -1.452264400", \
"0.4029929000, -0.598179000, -1.224806700");
}
related_pin : "CLK_N";
rise_constraint ("vio_3_3_1") {
index_1("0.0100000000, 2.5000000000, 5.0000000000");
index_2("0.0100000000, 2.5000000000, 5.0000000000");
values("0.0770732000, -0.567043000, -0.900701800", \
"0.8841533000, 0.2675029000, -0.066155900", \
"1.2892233000, 0.6945456000, 0.3663799000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "hold_falling";
violation_delay_degrade_pct : 10.000000000;
}
}
pin ("Q") {
direction : "output";
function : "IQ";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0016585430, 0.0055015320, 0.0182490600, 0.0605337000, 0.2007955000, 0.6660561000");
values("-0.010977700, -0.006730100, 0.0034196000, 0.0145234000, -0.011670300, -0.175877700, -0.765598100", \
"-0.010704700, -0.006439700, 0.0037514000, 0.0149169000, -0.011219100, -0.175319700, -0.765035400", \
"-0.010046000, -0.005789900, 0.0043750000, 0.0155252000, -0.010647900, -0.174797900, -0.764520700", \
"-0.010045500, -0.005802500, 0.0043422000, 0.0154448000, -0.010757100, -0.174973400, -0.764712200", \
"-0.010123500, -0.005891900, 0.0042081000, 0.0152494000, -0.010982500, -0.175199200, -0.764939300", \
"-0.010400500, -0.006180000, 0.0039077000, 0.0148935000, -0.011402400, -0.175694500, -0.765436800", \
"-0.011239400, -0.006962000, 0.0032666000, 0.0145477000, -0.011820500, -0.175866500, -0.765598000");
}
related_pin : "CLK_N";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0016585430, 0.0055015320, 0.0182490600, 0.0605337000, 0.2007955000, 0.6660561000");
values("-0.012196100, -0.010314300, -0.004289300, 0.0144339000, 0.0703861000, 0.2503472000, 0.8407387000", \
"-0.011934000, -0.010055500, -0.004053000, 0.0145970000, 0.0706032000, 0.2491719000, 0.8401675000", \
"-0.011263500, -0.009369600, -0.003334600, 0.0154164000, 0.0715377000, 0.2506257000, 0.8409511000", \
"-0.011266700, -0.009389200, -0.003398200, 0.0153305000, 0.0714083000, 0.2512496000, 0.8415590000", \
"-0.011345300, -0.009487500, -0.003536100, 0.0150214000, 0.0710794000, 0.2508146000, 0.8405219000", \
"-0.011626200, -0.009780700, -0.003875100, 0.0146202000, 0.0704355000, 0.2492146000, 0.8417128000", \
"-0.012461300, -0.010536200, -0.004410800, 0.0141305000, 0.0700749000, 0.2490364000, 0.8398766000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0016585430, 0.0055015320, 0.0182490600, 0.0605337000, 0.2007955000, 0.6660561000");
values("-0.002616800, 0.0021292000, 0.0135724000, 0.0270320000, 0.0042472000, -0.158295000, -0.747450400", \
"-0.002572900, 0.0021639000, 0.0135909000, 0.0270379000, 0.0042645000, -0.158319700, -0.747480600", \
"-0.002530000, 0.0022058000, 0.0136103000, 0.0270168000, 0.0041839000, -0.158390600, -0.747563200", \
"-0.002501800, 0.0022251000, 0.0136217000, 0.0270090000, 0.0041906000, -0.158429700, -0.747555200", \
"-0.002509600, 0.0021975000, 0.0135425000, 0.0268260000, 0.0038864000, -0.158746700, -0.747919700", \
"-0.002605500, 0.0020846000, 0.0133842000, 0.0265880000, 0.0035333000, -0.159178200, -0.748391500", \
"-0.002908900, 0.0018817000, 0.0134391000, 0.0271350000, 0.0031603000, -0.159598300, -0.748806100");
}
related_pin : "RESET_B";
rise_power ("scalar") {
values("0.0000000000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0016585430, 0.0055015320, 0.0182490600, 0.0605337000, 0.2007955000, 0.6660561000");
values("0.0035331000, 0.0076009000, 0.0172698000, 0.0275582000, 0.0016131000, -0.162642100, -0.752420900", \
"0.0036549000, 0.0077280000, 0.0174138000, 0.0277044000, 0.0018312000, -0.162439100, -0.752223600", \
"0.0038988000, 0.0079299000, 0.0175030000, 0.0275876000, 0.0014779000, -0.162881300, -0.752703800", \
"0.0039394000, 0.0079553000, 0.0175056000, 0.0275513000, 0.0014161000, -0.163011200, -0.752843100", \
"0.0039626000, 0.0079448000, 0.0173855000, 0.0272350000, 0.0007897000, -0.163725700, -0.753606500", \
"0.0039943000, 0.0079482000, 0.0173254000, 0.0270461000, 0.0002397000, -0.164485400, -0.754406400", \
"0.0040399000, 0.0080620000, 0.0176028000, 0.0276110000, 3.135000e-05, -0.164877900, -0.754802300");
}
related_pin : "SET_B";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0016585430, 0.0055015320, 0.0182490600, 0.0605337000, 0.2007955000, 0.6660561000");
values("-0.000773800, 0.0013372000, 0.0079118000, 0.0279404000, 0.0857450000, 0.2651263000, 0.8586610000", \
"-0.000775200, 0.0013683000, 0.0080223000, 0.0281995000, 0.0859936000, 0.2662867000, 0.8587075000", \
"-0.000916100, 0.0012145000, 0.0078524000, 0.0279688000, 0.0857093000, 0.2652515000, 0.8588137000", \
"-0.000878800, 0.0012385000, 0.0078232000, 0.0279152000, 0.0858712000, 0.2661350000, 0.8563965000", \
"-0.000853100, 0.0012483000, 0.0078017000, 0.0277951000, 0.0855347000, 0.2660442000, 0.8571810000", \
"-0.000869200, 0.0011988000, 0.0076451000, 0.0274495000, 0.0848750000, 0.2641693000, 0.8570371000", \
"-0.000936600, 0.0011883000, 0.0078155000, 0.0271760000, 0.0850733000, 0.2651089000, 0.8561585000");
}
}
max_capacitance : 0.1977640000;
max_transition : 1.4963000000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016585400, 0.0055015300, 0.0182491000, 0.0605337000, 0.2007960000, 0.6660560000");
values("1.1567622000, 1.1672893000, 1.1931047000, 1.2495663000, 1.3882819000, 1.8100338000, 3.2090675000", \
"1.1648765000, 1.1754168000, 1.2010333000, 1.2575980000, 1.3959283000, 1.8185349000, 3.2120446000", \
"1.1879375000, 1.1985110000, 1.2240305000, 1.2805791000, 1.4192738000, 1.8416138000, 3.2377130000", \
"1.2555700000, 1.2661031000, 1.2916538000, 1.3481686000, 1.4867443000, 1.9089688000, 3.3012868000", \
"1.4467734000, 1.4574130000, 1.4826051000, 1.5391235000, 1.6780641000, 2.1017339000, 3.4969900000", \
"1.8350661000, 1.8458347000, 1.8712474000, 1.9277930000, 2.0664417000, 2.4886972000, 3.8833305000", \
"2.4780644000, 2.4887017000, 2.5142768000, 2.5707574000, 2.7093610000, 3.1330023000, 4.5226707000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016585400, 0.0055015300, 0.0182491000, 0.0605337000, 0.2007960000, 0.6660560000");
values("0.9420029000, 0.9545253000, 0.9850369000, 1.0606341000, 1.2857951000, 2.0241038000, 4.4743884000", \
"0.9498696000, 0.9623907000, 0.9930472000, 1.0683346000, 1.2934211000, 2.0309177000, 4.4897748000", \
"0.9739186000, 0.9864121000, 1.0169849000, 1.0923703000, 1.3171184000, 2.0555284000, 4.5080544000", \
"1.0419372000, 1.0543049000, 1.0848800000, 1.1607666000, 1.3858127000, 2.1244069000, 4.5709583000", \
"1.2333630000, 1.2458706000, 1.2762341000, 1.3520386000, 1.5772641000, 2.3156879000, 4.7647832000", \
"1.6230645000, 1.6354859000, 1.6662191000, 1.7416723000, 1.9663404000, 2.7047709000, 5.1613802000", \
"2.2638496000, 2.2761220000, 2.3068478000, 2.3823894000, 2.6073004000, 3.3462106000, 5.7941238000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016585400, 0.0055015300, 0.0182491000, 0.0605337000, 0.2007960000, 0.6660560000");
values("0.0369773000, 0.0435893000, 0.0616740000, 0.1120194000, 0.2740784000, 0.8414621000, 2.7474152000", \
"0.0367994000, 0.0436007000, 0.0618620000, 0.1118678000, 0.2733627000, 0.8434868000, 2.7484928000", \
"0.0369219000, 0.0435706000, 0.0620363000, 0.1121269000, 0.2739685000, 0.8438706000, 2.7461708000", \
"0.0366749000, 0.0437252000, 0.0617618000, 0.1118524000, 0.2736208000, 0.8417293000, 2.7394855000", \
"0.0369287000, 0.0432879000, 0.0618929000, 0.1119070000, 0.2736911000, 0.8441898000, 2.7462290000", \
"0.0367822000, 0.0433393000, 0.0621494000, 0.1117569000, 0.2734961000, 0.8420912000, 2.7609885000", \
"0.0368561000, 0.0435543000, 0.0618843000, 0.1118721000, 0.2734058000, 0.8438424000, 2.7464560000");
}
related_pin : "CLK_N";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016585400, 0.0055015300, 0.0182491000, 0.0605337000, 0.2007960000, 0.6660560000");
values("0.0417490000, 0.0503121000, 0.0762705000, 0.1631547000, 0.4724865000, 1.5162290000, 4.9840816000", \
"0.0419406000, 0.0510334000, 0.0766199000, 0.1629921000, 0.4716517000, 1.5180505000, 4.9717594000", \
"0.0418625000, 0.0509914000, 0.0765264000, 0.1629386000, 0.4726585000, 1.5181073000, 4.9814686000", \
"0.0415931000, 0.0502758000, 0.0760184000, 0.1628272000, 0.4726829000, 1.5175695000, 4.9885385000", \
"0.0417879000, 0.0503613000, 0.0763449000, 0.1627455000, 0.4726199000, 1.5149151000, 4.9859867000", \
"0.0413314000, 0.0507682000, 0.0764867000, 0.1627456000, 0.4725325000, 1.5139455000, 4.9839355000", \
"0.0415057000, 0.0502122000, 0.0763895000, 0.1628601000, 0.4720993000, 1.5134557000, 4.9749689000");
}
timing_sense : "non_unate";
timing_type : "falling_edge";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016585400, 0.0055015300, 0.0182491000, 0.0605337000, 0.2007960000, 0.6660560000");
values("0.7069342000, 0.7177874000, 0.7437462000, 0.8008359000, 0.9402161000, 1.3621071000, 2.7546125000", \
"0.7150955000, 0.7259282000, 0.7517892000, 0.8090422000, 0.9484230000, 1.3705098000, 2.7644129000", \
"0.7376226000, 0.7484569000, 0.7745228000, 0.8314526000, 0.9708649000, 1.3927630000, 2.7863154000", \
"0.8078401000, 0.8186846000, 0.8445520000, 0.9018075000, 1.0412051000, 1.4632274000, 2.8576528000", \
"1.0006420000, 1.0114871000, 1.0374611000, 1.0944604000, 1.2339374000, 1.6559306000, 3.0500831000", \
"1.4011173000, 1.4119979000, 1.4377421000, 1.4949012000, 1.6345167000, 2.0566002000, 3.4511216000", \
"2.0888471000, 2.0997064000, 2.1258464000, 2.1832127000, 2.3229056000, 2.7448523000, 4.1363069000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016585400, 0.0055015300, 0.0182491000, 0.0605337000, 0.2007960000, 0.6660560000");
values("0.0384606000, 0.0446490000, 0.0633747000, 0.1136721000, 0.2746481000, 0.8383512000, 2.7469611000", \
"0.0377476000, 0.0445891000, 0.0633231000, 0.1135207000, 0.2750207000, 0.8396188000, 2.7513254000", \
"0.0383754000, 0.0444509000, 0.0627954000, 0.1135618000, 0.2751323000, 0.8412187000, 2.7484184000", \
"0.0377646000, 0.0445789000, 0.0635914000, 0.1134094000, 0.2744204000, 0.8394216000, 2.7580132000", \
"0.0382512000, 0.0451029000, 0.0630932000, 0.1132555000, 0.2752948000, 0.8392967000, 2.7414877000", \
"0.0380611000, 0.0446399000, 0.0635520000, 0.1130528000, 0.2751029000, 0.8396933000, 2.7588891000", \
"0.0384726000, 0.0452232000, 0.0633896000, 0.1134772000, 0.2749013000, 0.8399795000, 2.7403686000");
}
related_pin : "RESET_B";
timing_sense : "positive_unate";
timing_type : "clear";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016585400, 0.0055015300, 0.0182491000, 0.0605337000, 0.2007960000, 0.6660560000");
values("0.5196561000, 0.5304624000, 0.5564713000, 0.6135696000, 0.7529531000, 1.1751233000, 2.5682259000", \
"0.5278942000, 0.5386832000, 0.5644033000, 0.6215746000, 0.7611969000, 1.1834819000, 2.5750055000", \
"0.5518495000, 0.5626981000, 0.5886582000, 0.6457401000, 0.7851534000, 1.2072884000, 2.6013862000", \
"0.6087389000, 0.6195600000, 0.6454006000, 0.7026996000, 0.8420801000, 1.2642654000, 2.6586540000", \
"0.7650790000, 0.7759007000, 0.8019225000, 0.8590425000, 0.9984226000, 1.4204318000, 2.8134111000", \
"1.1375646000, 1.1489247000, 1.1755934000, 1.2336241000, 1.3737581000, 1.7961637000, 3.1885021000", \
"1.8266321000, 1.8389175000, 1.8673452000, 1.9277260000, 2.0700341000, 2.4924516000, 3.8859032000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016585400, 0.0055015300, 0.0182491000, 0.0605337000, 0.2007960000, 0.6660560000");
values("0.8749166000, 0.8887408000, 0.9221103000, 0.9998492000, 1.2257274000, 1.9636763000, 4.4121489000", \
"0.8833117000, 0.8972733000, 0.9300530000, 1.0080591000, 1.2326725000, 1.9706579000, 4.4280788000", \
"0.9083514000, 0.9223816000, 0.9553114000, 1.0329368000, 1.2587384000, 1.9967563000, 4.4452348000", \
"0.9770402000, 0.9908546000, 1.0240987000, 1.1018140000, 1.3267027000, 2.0665512000, 4.5107313000", \
"1.1890676000, 1.2030413000, 1.2362117000, 1.3139121000, 1.5391147000, 2.2765969000, 4.7321698000", \
"1.7920413000, 1.8063254000, 1.8390212000, 1.9168156000, 2.1423261000, 2.8805569000, 5.3389897000", \
"3.2383438000, 3.2547653000, 3.2918865000, 3.3733307000, 3.5979475000, 4.3356434000, 6.7834947000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016585400, 0.0055015300, 0.0182491000, 0.0605337000, 0.2007960000, 0.6660560000");
values("0.0384043000, 0.0452892000, 0.0632461000, 0.1135268000, 0.2750359000, 0.8409132000, 2.7461797000", \
"0.0378765000, 0.0445604000, 0.0638910000, 0.1133170000, 0.2747252000, 0.8385669000, 2.7519635000", \
"0.0384364000, 0.0445815000, 0.0633033000, 0.1135224000, 0.2751216000, 0.8395711000, 2.7563754000", \
"0.0377558000, 0.0444868000, 0.0633023000, 0.1133291000, 0.2749611000, 0.8392244000, 2.7582239000", \
"0.0384686000, 0.0453368000, 0.0633001000, 0.1135769000, 0.2748499000, 0.8384998000, 2.7450962000", \
"0.0401524000, 0.0467783000, 0.0648870000, 0.1151314000, 0.2750823000, 0.8394197000, 2.7471256000", \
"0.0462969000, 0.0530694000, 0.0713693000, 0.1204778000, 0.2788220000, 0.8410245000, 2.7446332000");
}
related_pin : "SET_B";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016585400, 0.0055015300, 0.0182491000, 0.0605337000, 0.2007960000, 0.6660560000");
values("0.0488758000, 0.0576217000, 0.0831146000, 0.1668437000, 0.4730238000, 1.5162451000, 4.9876974000", \
"0.0491466000, 0.0574919000, 0.0828447000, 0.1669057000, 0.4724922000, 1.5155182000, 4.9863940000", \
"0.0488109000, 0.0576755000, 0.0829931000, 0.1669055000, 0.4725903000, 1.5159130000, 4.9878985000", \
"0.0488123000, 0.0574734000, 0.0828117000, 0.1666495000, 0.4733183000, 1.5137613000, 4.9828488000", \
"0.0490622000, 0.0574170000, 0.0833169000, 0.1668557000, 0.4732982000, 1.5166975000, 4.9781264000", \
"0.0485544000, 0.0572859000, 0.0825124000, 0.1667268000, 0.4734881000, 1.5140357000, 4.9966187000", \
"0.0599868000, 0.0684926000, 0.0938073000, 0.1724546000, 0.4746866000, 1.5163535000, 4.9890372000");
}
timing_sense : "negative_unate";
timing_type : "preset";
}
}
pin ("Q_N") {
direction : "output";
function : "IQ_N";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0016393130, 0.0053746950, 0.0176216200, 0.0577746900, 0.1894216000, 0.6210427000");
values("-0.010930400, -0.006670100, 0.0033699000, 0.0144943000, -0.009700500, -0.162816600, -0.709382000", \
"-0.010667600, -0.006412400, 0.0036191000, 0.0146662000, -0.009698000, -0.162640400, -0.709207200", \
"-0.009998200, -0.005731800, 0.0043295000, 0.0153898000, -0.008794200, -0.161805400, -0.708334300", \
"-0.010001500, -0.005751300, 0.0042757000, 0.0153052000, -0.008940800, -0.161997000, -0.708471400", \
"-0.010076600, -0.005843800, 0.0041318000, 0.0150190000, -0.009242900, -0.162400400, -0.708991800", \
"-0.010361000, -0.006140100, 0.0037838000, 0.0146153000, -0.009809100, -0.162960400, -0.709599700", \
"-0.011210700, -0.006961300, 0.0030808000, 0.0140717000, -0.010324300, -0.163303000, -0.709911600");
}
related_pin : "CLK_N";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0016393130, 0.0053746950, 0.0176216200, 0.0577746900, 0.1894216000, 0.6210427000");
values("-0.012122100, -0.010108100, -0.003863300, 0.0145013000, 0.0683138000, 0.2381345000, 0.7862154000", \
"-0.011849400, -0.009816000, -0.003522300, 0.0149222000, 0.0689936000, 0.2379223000, 0.7867122000", \
"-0.011191100, -0.009167000, -0.002894900, 0.0154900000, 0.0695906000, 0.2387869000, 0.7853541000", \
"-0.011190300, -0.009173300, -0.002927600, 0.0154356000, 0.0693003000, 0.2384459000, 0.7877153000", \
"-0.011268000, -0.009265100, -0.003074000, 0.0152215000, 0.0690185000, 0.2383787000, 0.7862856000", \
"-0.011547900, -0.009555600, -0.003381100, 0.0148794000, 0.0686310000, 0.2374224000, 0.7867858000", \
"-0.012388600, -0.010353400, -0.004048600, 0.0145159000, 0.0683089000, 0.2368172000, 0.7864750000");
}
}
internal_power () {
fall_power ("scalar") {
values("0.0000000000");
}
related_pin : "RESET_B";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0016393130, 0.0053746950, 0.0176216200, 0.0577746900, 0.1894216000, 0.6210427000");
values("-0.003773500, -0.001294700, 0.0061355000, 0.0269469000, 0.0845536000, 0.2534382000, 0.8030396000", \
"-0.003726500, -0.001251600, 0.0061805000, 0.0269559000, 0.0845541000, 0.2533880000, 0.8030844000", \
"-0.003685700, -0.001217000, 0.0061841000, 0.0269449000, 0.0845108000, 0.2534964000, 0.8023791000", \
"-0.003657300, -0.001192400, 0.0062103000, 0.0269208000, 0.0845421000, 0.2532831000, 0.8052127000", \
"-0.003666700, -0.001221000, 0.0061318000, 0.0267440000, 0.0842953000, 0.2542017000, 0.8020116000", \
"-0.003759300, -0.001333000, 0.0059827000, 0.0265063000, 0.0837354000, 0.2529351000, 0.8001329000", \
"-0.004048400, -0.001485100, 0.0061764000, 0.0271108000, 0.0837526000, 0.2533561000, 0.7998925000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0016393130, 0.0053746950, 0.0176216200, 0.0577746900, 0.1894216000, 0.6210427000");
values("0.0005365000, 0.0051107000, 0.0158998000, 0.0282885000, 0.0057465000, -0.146062200, -0.692021500", \
"0.0005192000, 0.0050840000, 0.0158807000, 0.0281947000, 0.0056288000, -0.146192700, -0.692176300", \
"0.0003740000, 0.0049195000, 0.0156718000, 0.0279784000, 0.0053705000, -0.146446700, -0.692448800", \
"0.0004222000, 0.0049733000, 0.0157199000, 0.0279305000, 0.0053237000, -0.146585600, -0.692562800", \
"0.0004449000, 0.0049664000, 0.0156698000, 0.0277704000, 0.0050183000, -0.146887700, -0.692878500", \
"0.0004345000, 0.0049285000, 0.0155307000, 0.0274409000, 0.0045387000, -0.147547200, -0.693464700", \
"0.0003364000, 0.0048112000, 0.0153419000, 0.0271311000, 0.0039094000, -0.148000300, -0.693806800");
}
related_pin : "SET_B";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0016393130, 0.0053746950, 0.0176216200, 0.0577746900, 0.1894216000, 0.6210427000");
values("0.0023629000, 0.0041607000, 0.0098152000, 0.0274700000, 0.0819201000, 0.2489896000, 0.7969487000", \
"0.0024676000, 0.0042220000, 0.0097843000, 0.0272479000, 0.0815358000, 0.2484435000, 0.7984888000", \
"0.0027286000, 0.0044849000, 0.0100406000, 0.0274843000, 0.0817730000, 0.2485730000, 0.7969195000", \
"0.0027644000, 0.0044986000, 0.0100138000, 0.0273539000, 0.0814348000, 0.2486377000, 0.7982650000", \
"0.0027970000, 0.0045021000, 0.0099422000, 0.0271252000, 0.0810798000, 0.2481445000, 0.7968746000", \
"0.0028405000, 0.0045486000, 0.0099741000, 0.0270373000, 0.0803605000, 0.2474197000, 0.7961570000", \
"0.0028932000, 0.0046856000, 0.0103163000, 0.0275673000, 0.0804708000, 0.2479767000, 0.7947015000");
}
}
max_capacitance : 0.1849460000;
max_transition : 1.5052510000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016393100, 0.0053746900, 0.0176216000, 0.0577747000, 0.1894220000, 0.6210430000");
values("0.7365878000, 0.7540736000, 0.7946566000, 0.8786136000, 1.0548580000, 1.4870294000, 2.8243305000", \
"0.7448024000, 0.7621273000, 0.8026913000, 0.8866414000, 1.0628912000, 1.4949281000, 2.8377642000", \
"0.7685662000, 0.7860428000, 0.8265679000, 0.9104277000, 1.0866595000, 1.5187851000, 2.8605491000", \
"0.8368330000, 0.8542167000, 0.8947434000, 0.9788099000, 1.1549773000, 1.5871109000, 2.9290590000", \
"1.0283577000, 1.0456371000, 1.0861276000, 1.1700961000, 1.3463030000, 1.7784870000, 3.1150627000", \
"1.4178095000, 1.4353052000, 1.4757416000, 1.5596722000, 1.7358921000, 2.1680224000, 3.5045000000", \
"2.0581639000, 2.0755939000, 2.1161364000, 2.2001945000, 2.3764308000, 2.8086293000, 4.1447339000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016393100, 0.0053746900, 0.0176216000, 0.0577747000, 0.1894220000, 0.6210430000");
values("0.9469660000, 0.9628514000, 1.0032448000, 1.0969055000, 1.3412879000, 2.0884918000, 4.5273882000", \
"0.9549533000, 0.9711140000, 1.0114705000, 1.1050506000, 1.3494241000, 2.0983526000, 4.5458002000", \
"0.9777656000, 0.9939217000, 1.0342834000, 1.1278632000, 1.3722407000, 2.1223032000, 4.5676201000", \
"1.0462810000, 1.0625204000, 1.1028425000, 1.1965136000, 1.4408131000, 2.1891193000, 4.6285895000", \
"1.2365053000, 1.2527560000, 1.2929455000, 1.3864829000, 1.6308693000, 2.3795226000, 4.8174926000", \
"1.6255098000, 1.6417839000, 1.6821043000, 1.7758003000, 2.0201214000, 2.7690770000, 5.2096225000", \
"2.2667155000, 2.2825264000, 2.3230027000, 2.4166409000, 2.6610423000, 3.4089414000, 5.8689280000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016393100, 0.0053746900, 0.0176216000, 0.0577747000, 0.1894220000, 0.6210430000");
values("0.0646453000, 0.0743382000, 0.0997127000, 0.1594426000, 0.3202749000, 0.8407581000, 2.6521594000", \
"0.0644361000, 0.0740692000, 0.0982530000, 0.1593082000, 0.3203816000, 0.8410506000, 2.6590024000", \
"0.0641336000, 0.0739826000, 0.0996806000, 0.1594552000, 0.3202591000, 0.8400997000, 2.6445031000", \
"0.0645605000, 0.0739896000, 0.0997031000, 0.1598746000, 0.3204197000, 0.8403767000, 2.6469760000", \
"0.0643278000, 0.0740227000, 0.1000017000, 0.1597599000, 0.3205169000, 0.8395732000, 2.6655465000", \
"0.0645045000, 0.0743381000, 0.0985597000, 0.1595900000, 0.3203527000, 0.8396532000, 2.6618274000", \
"0.0647569000, 0.0741816000, 0.0999042000, 0.1600788000, 0.3205737000, 0.8389996000, 2.6453286000");
}
related_pin : "CLK_N";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016393100, 0.0053746900, 0.0176216000, 0.0577747000, 0.1894220000, 0.6210430000");
values("0.0554750000, 0.0675702000, 0.0992694000, 0.1918175000, 0.4968050000, 1.5375985000, 4.9745266000", \
"0.0558444000, 0.0667483000, 0.0989198000, 0.1915271000, 0.4966899000, 1.5378111000, 4.9761533000", \
"0.0558271000, 0.0667471000, 0.0989231000, 0.1914356000, 0.4968799000, 1.5378391000, 4.9704636000", \
"0.0556416000, 0.0670556000, 0.0992200000, 0.1916570000, 0.4976750000, 1.5359870000, 4.9736197000", \
"0.0553946000, 0.0670958000, 0.0991032000, 0.1916606000, 0.4969482000, 1.5380445000, 4.9779856000", \
"0.0557870000, 0.0672587000, 0.0992418000, 0.1916452000, 0.4968903000, 1.5430143000, 4.9752971000", \
"0.0556092000, 0.0677591000, 0.0995489000, 0.1919331000, 0.4964741000, 1.5316282000, 4.9794986000");
}
timing_sense : "non_unate";
timing_type : "falling_edge";
}
timing () {
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016393100, 0.0053746900, 0.0176216000, 0.0577747000, 0.1894220000, 0.6210430000");
values("0.4905097000, 0.5076732000, 0.5497573000, 0.6468088000, 0.9006669000, 1.6519455000, 4.0934078000", \
"0.4985309000, 0.5156529000, 0.5579880000, 0.6547732000, 0.9087190000, 1.6599666000, 4.0995118000", \
"0.5225333000, 0.5396482000, 0.5817561000, 0.6787845000, 0.9326604000, 1.6839550000, 4.1290344000", \
"0.5910999000, 0.6082057000, 0.6504634000, 0.7473732000, 1.0012933000, 1.7523718000, 4.1956527000", \
"0.7832495000, 0.8003707000, 0.8426708000, 0.9396708000, 1.1936071000, 1.9446933000, 4.3886663000", \
"1.1833298000, 1.2007274000, 1.2435143000, 1.3409961000, 1.5952250000, 2.3461120000, 4.7872342000", \
"1.8648649000, 1.8836619000, 1.9285646000, 2.0284798000, 2.2840094000, 3.0341205000, 5.4709846000");
}
related_pin : "RESET_B";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016393100, 0.0053746900, 0.0176216000, 0.0577747000, 0.1894220000, 0.6210430000");
values("0.0584505000, 0.0702883000, 0.1039521000, 0.1990033000, 0.5096324000, 1.5321742000, 4.9750302000", \
"0.0583701000, 0.0701827000, 0.1038991000, 0.1988135000, 0.5101675000, 1.5337709000, 4.9752553000", \
"0.0583588000, 0.0703221000, 0.1039553000, 0.1990087000, 0.5102016000, 1.5328094000, 4.9691318000", \
"0.0582632000, 0.0705925000, 0.1036317000, 0.1991921000, 0.5095079000, 1.5344637000, 4.9702278000", \
"0.0583228000, 0.0706622000, 0.1036702000, 0.1992467000, 0.5091853000, 1.5347597000, 4.9713123000", \
"0.0600748000, 0.0717228000, 0.1051373000, 0.2002525000, 0.5091613000, 1.5335961000, 4.9742654000", \
"0.0670281000, 0.0786889000, 0.1121031000, 0.2051933000, 0.5105222000, 1.5354603000, 4.9717888000");
}
timing_sense : "negative_unate";
timing_type : "preset";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016393100, 0.0053746900, 0.0176216000, 0.0577747000, 0.1894220000, 0.6210430000");
values("0.6170892000, 0.6407669000, 0.6947301000, 0.8046127000, 1.0168760000, 1.4801652000, 2.8256659000", \
"0.6250447000, 0.6487198000, 0.7026507000, 0.8128502000, 1.0247972000, 1.4880891000, 2.8354563000", \
"0.6491092000, 0.6726822000, 0.7265955000, 0.8364798000, 1.0487505000, 1.5119048000, 2.8592060000", \
"0.7222495000, 0.7458253000, 0.7997202000, 0.9097351000, 1.1216804000, 1.5850038000, 2.9296872000", \
"0.9320676000, 0.9555037000, 1.0094745000, 1.1194533000, 1.3313940000, 1.7947273000, 3.1399137000", \
"1.5369902000, 1.5599553000, 1.6130128000, 1.7216250000, 1.9326798000, 2.3959137000, 3.7412122000", \
"2.9076439000, 2.9395872000, 3.0136793000, 3.1567831000, 3.4098329000, 3.9020418000, 5.2525593000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016393100, 0.0053746900, 0.0176216000, 0.0577747000, 0.1894220000, 0.6210430000");
values("0.3034340000, 0.3206866000, 0.3627477000, 0.4598833000, 0.7134463000, 1.4643897000, 3.9051438000", \
"0.3124663000, 0.3295831000, 0.3717761000, 0.4687764000, 0.7224467000, 1.4734575000, 3.9090291000", \
"0.3351859000, 0.3522932000, 0.3944544000, 0.4914879000, 0.7451856000, 1.4963187000, 3.9415977000", \
"0.3920802000, 0.4091943000, 0.4514336000, 0.5483561000, 0.8021434000, 1.5529125000, 3.9970902000", \
"0.5484139000, 0.5657104000, 0.6080887000, 0.7050116000, 0.9588473000, 1.7096885000, 4.1466373000", \
"0.8913444000, 0.9130404000, 0.9648292000, 1.0741679000, 1.3350845000, 2.0846609000, 4.5271089000", \
"1.4954248000, 1.5285510000, 1.6051283000, 1.7535516000, 2.0395547000, 2.7936644000, 5.2339924000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016393100, 0.0053746900, 0.0176216000, 0.0577747000, 0.1894220000, 0.6210430000");
values("0.0970080000, 0.1085022000, 0.1373887000, 0.2075209000, 0.3709788000, 0.8753459000, 2.6629423000", \
"0.0968954000, 0.1085405000, 0.1383220000, 0.2077154000, 0.3707601000, 0.8741672000, 2.6575337000", \
"0.0966542000, 0.1082952000, 0.1372673000, 0.2074858000, 0.3705177000, 0.8753868000, 2.6670941000", \
"0.0966645000, 0.1082174000, 0.1379882000, 0.2074888000, 0.3708642000, 0.8750060000, 2.6601905000", \
"0.0970290000, 0.1082231000, 0.1380652000, 0.2075347000, 0.3708781000, 0.8751934000, 2.6597697000", \
"0.0963805000, 0.1069195000, 0.1360394000, 0.2067320000, 0.3709704000, 0.8737074000, 2.6614924000", \
"0.1468436000, 0.1648822000, 0.2008697000, 0.2764662000, 0.4332769000, 0.9058798000, 2.6683600000");
}
related_pin : "SET_B";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016393100, 0.0053746900, 0.0176216000, 0.0577747000, 0.1894220000, 0.6210430000");
values("0.0581115000, 0.0703973000, 0.1041161000, 0.1989259000, 0.5102180000, 1.5345716000, 4.9680713000", \
"0.0579690000, 0.0702703000, 0.1038669000, 0.1988396000, 0.5098318000, 1.5346696000, 4.9715099000", \
"0.0579298000, 0.0702712000, 0.1038397000, 0.1988516000, 0.5096042000, 1.5355470000, 4.9674322000", \
"0.0577814000, 0.0703843000, 0.1037314000, 0.1990912000, 0.5099110000, 1.5349966000, 4.9751705000", \
"0.0592806000, 0.0712332000, 0.1047771000, 0.1991715000, 0.5090026000, 1.5339937000, 4.9705937000", \
"0.0786624000, 0.0916698000, 0.1260220000, 0.2194294000, 0.5169074000, 1.5343047000, 4.9747375000", \
"0.1315575000, 0.1496590000, 0.1932278000, 0.2820504000, 0.5435530000, 1.5389854000, 4.9739683000");
}
timing_sense : "positive_unate";
timing_type : "clear";
}
}
pin ("RESET_B") {
capacitance : 0.0015480000;
clock : "false";
direction : "input";
fall_capacitance : 0.0015220000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0097582000, 0.0096623000, 0.0095689000, 0.0094978000, 0.0094745000, 0.0095856000, 0.0100754000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0066812000, 0.0065662000, 0.0063922000, 0.0063147000, 0.0062462000, 0.0062030000, 0.0062310000");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0015740000;
timing () {
related_pin : "CLK_N";
rise_constraint ("vio_3_3_1") {
index_1("0.0100000000, 2.5000000000, 5.0000000000");
index_2("0.0100000000, 2.5000000000, 5.0000000000");
values("0.1191875000, 0.8145732000, 1.1885153000", \
"-0.821559600, -0.122511700, 0.2514303000", \
"-1.298040700, -0.608148100, -0.228712900");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "recovery_falling";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
related_pin : "CLK_N";
rise_constraint ("vio_3_3_1") {
index_1("0.0100000000, 2.5000000000, 5.0000000000");
index_2("0.0100000000, 2.5000000000, 5.0000000000");
values("0.1887676000, -0.488307600, -0.831121700", \
"1.0489482000, 0.3682110000, 0.0199036000", \
"1.5144431000, 0.8282125000, 0.4835674000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "removal_falling";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
fall_constraint ("constraint_3_0_1") {
index_1("0.0100000000, 2.5000000000, 5.0000000000");
values("0.5103715000, 4.1666666000, 8.3333333000");
}
related_output_pin : "Q";
related_pin : "RESET_B";
sim_opt : "runlvl=5 accurate=1";
timing_type : "min_pulse_width";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
related_pin : "SET_B";
rise_constraint ("vio_3_3_1") {
index_1("0.0100000000, 2.5000000000, 5.0000000000");
index_2("0.0100000000, 2.5000000000, 5.0000000000");
values("-0.236037100, 0.0766582000, 0.1924215000", \
"-0.938747000, -0.538161100, -0.407749300", \
"-1.327337500, -0.877313200, -0.721266600");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "non_seq_setup_rising";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
related_pin : "SET_B";
rise_constraint ("vio_3_3_1") {
index_1("0.0100000000, 2.5000000000, 5.0000000000");
index_2("0.0100000000, 2.5000000000, 5.0000000000");
values("0.3590557000, 0.3942608000, 0.6904847000", \
"1.1478252000, 0.8497783000, 0.8493714000", \
"1.6389548000, 1.2237204000, 1.1573956000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "non_seq_hold_rising";
violation_delay_degrade_pct : 10.000000000;
}
}
pin ("SET_B") {
capacitance : 0.0031850000;
clock : "false";
direction : "input";
fall_capacitance : 0.0031710000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0033749000, 0.0034047000, 0.0036756000, 0.0035780000, 0.0034899000, 0.0034286000, 0.0034431000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.003162300, -0.003408600, -0.003937500, -0.004035000, -0.004144300, -0.004286800, -0.004522900");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0031990000;
timing () {
related_pin : "CLK_N";
rise_constraint ("vio_3_3_1") {
index_1("0.0100000000, 2.5000000000, 5.0000000000");
index_2("0.0100000000, 2.5000000000, 5.0000000000");
values("-0.128004900, 0.4703350000, 1.4302145000", \
"-0.916774400, -0.391676800, 0.2221335000", \
"-1.310858000, -0.796746700, -0.366042000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "recovery_falling";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
related_pin : "CLK_N";
rise_constraint ("vio_3_3_1") {
index_1("0.0100000000, 2.5000000000, 5.0000000000");
index_2("0.0100000000, 2.5000000000, 5.0000000000");
values("0.1411602000, -0.363795900, -0.571112000", \
"0.9280986000, 0.4268047000, 0.2139954000", \
"1.3130271000, 0.8172262000, 0.6099102000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "removal_falling";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
related_pin : "RESET_B";
rise_constraint ("vio_3_3_1") {
index_1("0.0100000000, 2.5000000000, 5.0000000000");
index_2("0.0100000000, 2.5000000000, 5.0000000000");
values("0.3590557000, 1.1478252000, 1.6389548000", \
"0.3942608000, 0.8497783000, 1.2237204000", \
"0.6904847000, 0.8493714000, 1.1573956000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "non_seq_setup_rising";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
fall_constraint ("constraint_3_0_1") {
index_1("0.0100000000, 2.5000000000, 5.0000000000");
values("0.6471487000, 4.1666666000, 8.3333333000");
}
related_output_pin : "Q";
related_pin : "SET_B";
sim_opt : "runlvl=5 accurate=1";
timing_type : "min_pulse_width";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
related_pin : "RESET_B";
rise_constraint ("vio_3_3_1") {
index_1("0.0100000000, 2.5000000000, 5.0000000000");
index_2("0.0100000000, 2.5000000000, 5.0000000000");
values("-0.236037100, -0.938747000, -1.327337500", \
"0.0766582000, -0.538161100, -0.877313200", \
"0.1924215000, -0.407749300, -0.721266600");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "non_seq_hold_rising";
violation_delay_degrade_pct : 10.000000000;
}
}
}
/* removed sky130_fd_sc_hd__dfbbp_1 */
/* removed sky130_fd_sc_hd__dfrbp_1 */
cell ("sky130_fd_sc_hd__dfrbp_2") {
leakage_power () {
value : 24.258819000;
when : "RESET_B&CLK&D&!Q&Q_N";
}
leakage_power () {
value : 19.736592800;
when : "RESET_B&!CLK&!D&!Q&Q_N";
}
leakage_power () {
value : 18.240383400;
when : "!RESET_B&CLK&!D&!Q&Q_N";
}
leakage_power () {
value : 22.713667400;
when : "RESET_B&CLK&!D&Q&!Q_N";
}
leakage_power () {
value : 20.231580000;
when : "RESET_B&!CLK&D&Q&!Q_N";
}
leakage_power () {
value : 23.039493900;
when : "!RESET_B&CLK&D&!Q&Q_N";
}
leakage_power () {
value : 20.891645700;
when : "RESET_B&!CLK&D&!Q&Q_N";
}
leakage_power () {
value : 19.459738700;
when : "RESET_B&CLK&!D&!Q&Q_N";
}
leakage_power () {
value : 19.139205800;
when : "!RESET_B&!CLK&!D&!Q&Q_N";
}
leakage_power () {
value : 22.455017400;
when : "RESET_B&CLK&D&Q&!Q_N";
}
leakage_power () {
value : 24.151894700;
when : "RESET_B&!CLK&!D&Q&!Q_N";
}
leakage_power () {
value : 21.385597300;
when : "!RESET_B&!CLK&D&!Q&Q_N";
}
area : 30.028800000;
cell_footprint : "sky130_fd_sc_hd__dfrbp";
cell_leakage_power : 21.308640000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
ff ("IQ","IQ_N") {
clear : "!RESET_B";
clocked_on : "CLK";
next_state : "D";
}
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("CLK") {
capacitance : 0.0017330000;
clock : "true";
direction : "input";
fall_capacitance : 0.0016550000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0193350000, 0.0190173000, 0.0182764000, 0.0182382000, 0.0182848000, 0.0185703000, 0.0195291000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0136317000, 0.0133392000, 0.0126704000, 0.0125852000, 0.0125008000, 0.0124180000, 0.0123400000");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0018110000;
timing () {
fall_constraint ("constraint_3_0_1") {
index_1("0.0100000000, 2.5000000000, 5.0000000000");
values("0.4642298000, 4.1666666000, 8.3333333000");
}
related_output_pin : "Q";
related_pin : "CLK";
rise_constraint ("constraint_3_0_1") {
index_1("0.0100000000, 2.5000000000, 5.0000000000");
values("0.3702984000, 4.1666666000, 8.3333333000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "min_pulse_width";
violation_delay_degrade_pct : 10.000000000;
}
}
pin ("D") {
capacitance : 0.0018710000;
clock : "false";
direction : "input";
fall_capacitance : 0.0018890000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0050531000, 0.0048777000, 0.0045469000, 0.0044783000, 0.0044487000, 0.0045290000, 0.0049186000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.000500000, -0.000647600, -0.000910600, -0.000985500, -0.001043900, -0.001055800, -0.000936700");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0018530000;
timing () {
fall_constraint ("vio_3_3_1") {
index_1("0.0100000000, 2.5000000000, 5.0000000000");
index_2("0.0100000000, 2.5000000000, 5.0000000000");
values("0.2656719000, 1.3071269000, 1.9850242000", \
"-0.394923800, 0.5970928000, 1.2676657000", \
"-0.737737900, 0.2286439000, 0.8918926000");
}
related_pin : "CLK";
rise_constraint ("vio_3_3_1") {
index_1("0.0100000000, 2.5000000000, 5.0000000000");
index_2("0.0100000000, 2.5000000000, 5.0000000000");
values("0.1283428000, 0.7578105000, 1.0768210000", \
"-0.307033200, 0.2565166000, 0.5334127000", \
"-0.521673500, 0.0308900000, 0.2949688000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "setup_rising";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
fall_constraint ("vio_3_3_1") {
index_1("0.0100000000, 2.5000000000, 5.0000000000");
index_2("0.0100000000, 2.5000000000, 5.0000000000");
values("-0.089552700, -1.013820300, -1.556219400", \
"0.5179424000, -0.411818400, -1.018304400", \
"0.8442770000, -0.078159500, -0.690138700");
}
related_pin : "CLK";
rise_constraint ("vio_3_3_1") {
index_1("0.0100000000, 2.5000000000, 5.0000000000");
index_2("0.0100000000, 2.5000000000, 5.0000000000");
values("-0.074904300, -0.643947200, -0.898870800", \
"0.3348369000, -0.206740200, -0.465325800", \
"0.5421530000, 0.0024069000, -0.252516600");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "hold_rising";
violation_delay_degrade_pct : 10.000000000;
}
}
pin ("Q") {
direction : "output";
function : "IQ";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0016079810, 0.0051712070, 0.0166304100, 0.0534827700, 0.1719986000, 0.5531410000");
values("-0.004880700, -0.001031400, 0.0077509000, 0.0167802000, -0.006315100, -0.143976000, -0.626559500", \
"-0.004736200, -0.000886900, 0.0078809000, 0.0169063000, -0.006192500, -0.143893100, -0.626372600", \
"-0.004408300, -0.000569500, 0.0082015000, 0.0171249000, -0.005980000, -0.143808100, -0.626276500", \
"-0.004383900, -0.000570000, 0.0081268000, 0.0169405000, -0.006211100, -0.144082400, -0.626565800", \
"-0.004363000, -0.000566100, 0.0080612000, 0.0167710000, -0.006547300, -0.144498500, -0.627007900", \
"-0.004347600, -0.000555800, 0.0080932000, 0.0168235000, -0.006481900, -0.144423100, -0.626948100", \
"-0.004369100, -0.000506300, 0.0083060000, 0.0173528000, -0.005768200, -0.143853600, -0.626316600");
}
related_pin : "CLK";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0016079810, 0.0051712070, 0.0166304100, 0.0534827700, 0.1719986000, 0.5531410000");
values("-0.006099900, -0.004511500, 0.0005973000, 0.0160964000, 0.0640124000, 0.2147042000, 0.6983162000", \
"-0.005965500, -0.004367800, 0.0006399000, 0.0162083000, 0.0641300000, 0.2159462000, 0.6989231000", \
"-0.005638200, -0.004048000, 0.0009403000, 0.0164862000, 0.0643302000, 0.2149723000, 0.6965811000", \
"-0.005611800, -0.004048100, 0.0008767000, 0.0163059000, 0.0641867000, 0.2145527000, 0.6997762000", \
"-0.005594900, -0.004060100, 0.0007869000, 0.0160865000, 0.0638474000, 0.2143272000, 0.6976069000", \
"-0.005586800, -0.004064200, 0.0007604000, 0.0159798000, 0.0635419000, 0.2137709000, 0.6978613000", \
"-0.005613300, -0.004025100, 0.0009445000, 0.0164743000, 0.0643823000, 0.2152110000, 0.6982719000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0016079810, 0.0051712070, 0.0166304100, 0.0534827700, 0.1719986000, 0.5531410000");
values("-0.000149300, 0.0032466000, 0.0108976000, 0.0179137000, -0.007134200, -0.146101500, -0.629022400", \
"-0.000108100, 0.0032903000, 0.0109046000, 0.0179449000, -0.007124900, -0.146100400, -0.629001400", \
"-5.64500e-05, 0.0033355000, 0.0109355000, 0.0179224000, -0.007215200, -0.146196200, -0.629122900", \
"-2.27000e-05, 0.0033673000, 0.0109825000, 0.0179417000, -0.007178400, -0.146176300, -0.629076400", \
"-5.80000e-06, 0.0033732000, 0.0109562000, 0.0178412000, -0.007368400, -0.146371500, -0.629241800", \
"-2.98500e-05, 0.0033275000, 0.0108240000, 0.0175309000, -0.007897200, -0.146883800, -0.629741200", \
"-0.000135100, 0.0032373000, 0.0107272000, 0.0173315000, -0.008420900, -0.147371900, -0.630098400");
}
related_pin : "RESET_B";
rise_power ("scalar") {
values("0.0000000000");
}
}
max_capacitance : 0.1629740000;
max_transition : 1.4956210000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016079800, 0.0051712100, 0.0166304000, 0.0534828000, 0.1719990000, 0.5531410000");
values("0.7877980000, 0.8056143000, 0.8465146000, 0.9291802000, 1.0989532000, 1.4853470000, 2.6249571000", \
"0.7959357000, 0.8138287000, 0.8544933000, 0.9372902000, 1.1071154000, 1.4934528000, 2.6363260000", \
"0.8197196000, 0.8375690000, 0.8783022000, 0.9611332000, 1.1309806000, 1.5173086000, 2.6569034000", \
"0.8861988000, 0.9040155000, 0.9449396000, 1.0278284000, 1.1973601000, 1.5837287000, 2.7267757000", \
"1.0522402000, 1.0700535000, 1.1107945000, 1.1936874000, 1.3634702000, 1.7498347000, 2.8915608000", \
"1.3709242000, 1.3887926000, 1.4296415000, 1.5122570000, 1.6820370000, 2.0684241000, 3.2124130000", \
"1.9293205000, 1.9472035000, 1.9880961000, 2.0710071000, 2.2405935000, 2.6269809000, 3.7692867000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016079800, 0.0051712100, 0.0166304000, 0.0534828000, 0.1719990000, 0.5531410000");
values("0.6687489000, 0.6873104000, 0.7307265000, 0.8320821000, 1.0909226000, 1.8468099000, 4.2597841000", \
"0.6770849000, 0.6951799000, 0.7391219000, 0.8400136000, 1.0988627000, 1.8552180000, 4.2645074000", \
"0.7008381000, 0.7189291000, 0.7628441000, 0.8637489000, 1.1226130000, 1.8788873000, 4.2967541000", \
"0.7684339000, 0.7862986000, 0.8303206000, 0.9313017000, 1.1901214000, 1.9450115000, 4.3643147000", \
"0.9372289000, 0.9552520000, 0.9991366000, 1.1000648000, 1.3589786000, 2.1168680000, 4.5303197000", \
"1.2688102000, 1.2862839000, 1.3307290000, 1.4314718000, 1.6902767000, 2.4453350000, 4.8511009000", \
"1.8631556000, 1.8812865000, 1.9253037000, 2.0261990000, 2.2851478000, 3.0418459000, 5.4505751000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016079800, 0.0051712100, 0.0166304000, 0.0534828000, 0.1719990000, 0.5531410000");
values("0.0679508000, 0.0777237000, 0.1022180000, 0.1601018000, 0.3083875000, 0.7504366000, 2.2874145000", \
"0.0679693000, 0.0779927000, 0.1018614000, 0.1601885000, 0.3087356000, 0.7504935000, 2.2834137000", \
"0.0679689000, 0.0781057000, 0.1018880000, 0.1613188000, 0.3084249000, 0.7500432000, 2.2834818000", \
"0.0679485000, 0.0777184000, 0.1021961000, 0.1612780000, 0.3086618000, 0.7498218000, 2.2824223000", \
"0.0680477000, 0.0777415000, 0.1019538000, 0.1612589000, 0.3087142000, 0.7504405000, 2.2846205000", \
"0.0679291000, 0.0781898000, 0.1020748000, 0.1610954000, 0.3086879000, 0.7491642000, 2.2917814000", \
"0.0679740000, 0.0778176000, 0.1024355000, 0.1613875000, 0.3080938000, 0.7507936000, 2.2865774000");
}
related_pin : "CLK";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016079800, 0.0051712100, 0.0166304000, 0.0534828000, 0.1719990000, 0.5531410000");
values("0.0620834000, 0.0750834000, 0.1106151000, 0.2119136000, 0.5313382000, 1.5755486000, 4.9950692000", \
"0.0622989000, 0.0751693000, 0.1106945000, 0.2118700000, 0.5296961000, 1.5773869000, 4.9986362000", \
"0.0622707000, 0.0750017000, 0.1106452000, 0.2119149000, 0.5294042000, 1.5747401000, 4.9814650000", \
"0.0623210000, 0.0751317000, 0.1106554000, 0.2118702000, 0.5305440000, 1.5768852000, 4.9997677000", \
"0.0624629000, 0.0751155000, 0.1107150000, 0.2117992000, 0.5300396000, 1.5740809000, 4.9926663000", \
"0.0624338000, 0.0752209000, 0.1107174000, 0.2117816000, 0.5291761000, 1.5763286000, 4.9953397000", \
"0.0624683000, 0.0753429000, 0.1107808000, 0.2122084000, 0.5294448000, 1.5743413000, 4.9975775000");
}
timing_sense : "non_unate";
timing_type : "rising_edge";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016079800, 0.0051712100, 0.0166304000, 0.0534828000, 0.1719990000, 0.5531410000");
values("0.4680826000, 0.4868394000, 0.5307869000, 0.6219449000, 0.7943676000, 1.1617662000, 2.2986599000", \
"0.4755293000, 0.4942938000, 0.5382663000, 0.6294463000, 0.8019219000, 1.1693341000, 2.3046582000", \
"0.4992717000, 0.5180184000, 0.5619143000, 0.6532279000, 0.8257372000, 1.1930839000, 2.3321700000", \
"0.5710826000, 0.5897990000, 0.6339405000, 0.7250166000, 0.8976329000, 1.2651218000, 2.4010369000", \
"0.7798406000, 0.7985113000, 0.8425509000, 0.9334336000, 1.1058957000, 1.4734282000, 2.6089761000", \
"1.3572279000, 1.3783471000, 1.4262689000, 1.5216413000, 1.6947382000, 2.0621433000, 3.2034142000", \
"2.5137297000, 2.5476501000, 2.6240596000, 2.7702605000, 2.9762195000, 3.3471144000, 4.4824491000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016079800, 0.0051712100, 0.0166304000, 0.0534828000, 0.1719990000, 0.5531410000");
values("0.0730034000, 0.0838618000, 0.1106981000, 0.1763223000, 0.2994428000, 0.7283891000, 2.2809439000", \
"0.0727388000, 0.0841216000, 0.1106444000, 0.1764330000, 0.2991549000, 0.7282647000, 2.2811906000", \
"0.0727316000, 0.0839312000, 0.1107271000, 0.1763548000, 0.2993394000, 0.7284762000, 2.2838260000", \
"0.0727282000, 0.0837399000, 0.1115997000, 0.1764311000, 0.2995281000, 0.7279172000, 2.2801292000", \
"0.0728398000, 0.0838015000, 0.1114231000, 0.1764651000, 0.2995218000, 0.7273311000, 2.2825772000", \
"0.0871175000, 0.0985126000, 0.1236297000, 0.1845764000, 0.3005015000, 0.7271878000, 2.2884239000", \
"0.1567412000, 0.1741405000, 0.2123354000, 0.2789186000, 0.3401699000, 0.7348656000, 2.2797519000");
}
related_pin : "RESET_B";
timing_sense : "positive_unate";
timing_type : "clear";
}
}
pin ("Q_N") {
direction : "output";
function : "IQ_N";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0016342390, 0.0053414770, 0.0174585000, 0.0570627400, 0.1865084000, 0.6095986000");
values("-0.004968300, -0.001195300, 0.0074720000, 0.0163213000, -0.009722600, -0.161632000, -0.698065100", \
"-0.004835200, -0.001082800, 0.0076080000, 0.0162281000, -0.009935700, -0.162028800, -0.698347800", \
"-0.004506800, -0.000766500, 0.0079091000, 0.0165140000, -0.009681800, -0.161847500, -0.698111400", \
"-0.004478400, -0.000761400, 0.0078514000, 0.0163267000, -0.009999400, -0.162113800, -0.698529900", \
"-0.004461200, -0.000768800, 0.0077667000, 0.0161108000, -0.010336300, -0.162497200, -0.698872600", \
"-0.004451900, -0.000777800, 0.0077190000, 0.0160104000, -0.010538900, -0.162770900, -0.699153500", \
"-0.004481700, -0.000743500, 0.0079099000, 0.0164903000, -0.010018400, -0.162327100, -0.698686500");
}
related_pin : "CLK";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0016342390, 0.0053414770, 0.0174585000, 0.0570627400, 0.1865084000, 0.6095986000");
values("-0.006164600, -0.004633100, 0.0004411000, 0.0168008000, 0.0676474000, 0.2328788000, 0.7689941000", \
"-0.006019000, -0.004490600, 0.0005768000, 0.0169435000, 0.0677264000, 0.2329418000, 0.7690479000", \
"-0.005689400, -0.004168800, 0.0008822000, 0.0171430000, 0.0681240000, 0.2321637000, 0.7715150000", \
"-0.005664100, -0.004173700, 0.0008123000, 0.0170122000, 0.0677658000, 0.2327570000, 0.7687532000", \
"-0.005642500, -0.004166100, 0.0007669000, 0.0167876000, 0.0677084000, 0.2328701000, 0.7707918000", \
"-0.005627800, -0.004153800, 0.0007672000, 0.0168438000, 0.0675038000, 0.2326149000, 0.7692760000", \
"-0.005631600, -0.004035600, 0.0011174000, 0.0174083000, 0.0684548000, 0.2321795000, 0.7694187000");
}
}
internal_power () {
fall_power ("scalar") {
values("0.0000000000");
}
related_pin : "RESET_B";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0016342390, 0.0053414770, 0.0174585000, 0.0570627400, 0.1865084000, 0.6095986000");
values("-0.001414500, -0.000306000, 0.0036894000, 0.0179156000, 0.0668937000, 0.2311171000, 0.7676969000", \
"-0.001372400, -0.000270400, 0.0037070000, 0.0179423000, 0.0668163000, 0.2298053000, 0.7682102000", \
"-0.001323200, -0.000227800, 0.0037391000, 0.0179254000, 0.0667626000, 0.2312871000, 0.7662376000", \
"-0.001287800, -0.000195100, 0.0037624000, 0.0179548000, 0.0667537000, 0.2303760000, 0.7662714000", \
"-0.001271700, -0.000193500, 0.0037209000, 0.0178525000, 0.0665980000, 0.2297965000, 0.7680474000", \
"-0.001301700, -0.000256100, 0.0035730000, 0.0175394000, 0.0663124000, 0.2289709000, 0.7657436000", \
"-0.001410400, -0.000331100, 0.0034857000, 0.0173614000, 0.0661929000, 0.2293996000, 0.7650337000");
}
}
max_capacitance : 0.1812840000;
max_transition : 1.5010700000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016342400, 0.0053414800, 0.0174585000, 0.0570627000, 0.1865080000, 0.6095990000");
values("0.9161645000, 0.9267851000, 0.9537747000, 1.0099891000, 1.1426403000, 1.5229739000, 2.7493749000", \
"0.9244391000, 0.9354868000, 0.9617079000, 1.0185689000, 1.1508685000, 1.5308820000, 2.7613911000", \
"0.9482417000, 0.9587690000, 0.9857639000, 1.0420410000, 1.1747812000, 1.5548144000, 2.7834031000", \
"1.0157951000, 1.0267903000, 1.0527897000, 1.1097089000, 1.2421063000, 1.6225313000, 2.8500362000", \
"1.1846888000, 1.1956821000, 1.2220687000, 1.2785264000, 1.4110273000, 1.7909522000, 3.0254008000", \
"1.5158645000, 1.5268722000, 1.5530705000, 1.6096150000, 1.7423124000, 2.1223485000, 3.3606864000", \
"2.1108102000, 2.1219135000, 2.1480502000, 2.2047089000, 2.3371627000, 2.7164748000, 3.9495447000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016342400, 0.0053414800, 0.0174585000, 0.0570627000, 0.1865080000, 0.6095990000");
values("1.0316483000, 1.0447069000, 1.0767977000, 1.1559652000, 1.3876479000, 2.1358408000, 4.5919744000", \
"1.0398616000, 1.0529027000, 1.0849324000, 1.1638461000, 1.3956819000, 2.1443580000, 4.5989599000", \
"1.0638371000, 1.0768527000, 1.1089747000, 1.1880964000, 1.4193205000, 2.1681601000, 4.6175450000", \
"1.1303849000, 1.1429179000, 1.1749492000, 1.2543687000, 1.4866618000, 2.2354902000, 4.6847632000", \
"1.2962069000, 1.3091290000, 1.3414736000, 1.4203368000, 1.6521006000, 2.4008255000, 4.8499960000", \
"1.6147897000, 1.6278402000, 1.6598570000, 1.7392437000, 1.9713498000, 2.7193177000, 5.1649778000", \
"2.1733224000, 2.1862958000, 2.2184414000, 2.2976441000, 2.5299975000, 3.2786400000, 5.7198757000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016342400, 0.0053414800, 0.0174585000, 0.0570627000, 0.1865080000, 0.6095990000");
values("0.0430831000, 0.0496188000, 0.0679296000, 0.1162008000, 0.2598887000, 0.7621672000, 2.4432003000", \
"0.0430589000, 0.0499083000, 0.0673774000, 0.1162004000, 0.2607648000, 0.7588483000, 2.4326845000", \
"0.0429368000, 0.0501277000, 0.0684105000, 0.1157811000, 0.2600410000, 0.7622316000, 2.4317268000", \
"0.0431047000, 0.0495870000, 0.0683532000, 0.1160233000, 0.2606204000, 0.7623106000, 2.4463240000", \
"0.0432707000, 0.0502317000, 0.0679059000, 0.1163329000, 0.2606054000, 0.7603764000, 2.4307554000", \
"0.0432427000, 0.0496094000, 0.0674404000, 0.1160843000, 0.2602160000, 0.7624405000, 2.4418969000", \
"0.0429757000, 0.0498053000, 0.0674811000, 0.1160029000, 0.2607759000, 0.7598641000, 2.4261630000");
}
related_pin : "CLK";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016342400, 0.0053414800, 0.0174585000, 0.0570627000, 0.1865080000, 0.6095990000");
values("0.0474847000, 0.0566214000, 0.0841613000, 0.1734999000, 0.4879352000, 1.5403111000, 4.9842863000", \
"0.0474096000, 0.0565641000, 0.0841081000, 0.1733703000, 0.4878148000, 1.5394817000, 4.9820055000", \
"0.0472523000, 0.0563670000, 0.0840671000, 0.1735803000, 0.4869891000, 1.5346903000, 4.9760689000", \
"0.0471858000, 0.0571415000, 0.0841390000, 0.1734168000, 0.4889548000, 1.5382960000, 4.9694289000", \
"0.0471545000, 0.0568717000, 0.0841498000, 0.1732679000, 0.4872164000, 1.5352539000, 4.9770952000", \
"0.0474005000, 0.0567662000, 0.0841303000, 0.1736107000, 0.4875201000, 1.5368603000, 4.9723616000", \
"0.0474841000, 0.0565594000, 0.0840613000, 0.1734377000, 0.4880701000, 1.5374612000, 4.9762758000");
}
timing_sense : "non_unate";
timing_type : "rising_edge";
}
timing () {
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016342400, 0.0053414800, 0.0174585000, 0.0570627000, 0.1865080000, 0.6095990000");
values("0.7312693000, 0.7442925000, 0.7766382000, 0.8556710000, 1.0867170000, 1.8370715000, 4.2882351000", \
"0.7385665000, 0.7519560000, 0.7842779000, 0.8631169000, 1.0942330000, 1.8470739000, 4.2865529000", \
"0.7623833000, 0.7754984000, 0.8078225000, 0.8867205000, 1.1181771000, 1.8703272000, 4.3111812000", \
"0.8343940000, 0.8473963000, 0.8798545000, 0.9587200000, 1.1900534000, 1.9420985000, 4.3872204000", \
"1.0426253000, 1.0555742000, 1.0878821000, 1.1668648000, 1.3983832000, 2.1476616000, 4.5908561000", \
"1.6317508000, 1.6450251000, 1.6774422000, 1.7564221000, 1.9878594000, 2.7363387000, 5.1860964000", \
"2.9095804000, 2.9239786000, 2.9586382000, 3.0392933000, 3.2709941000, 4.0191934000, 6.4725882000");
}
related_pin : "RESET_B";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016342400, 0.0053414800, 0.0174585000, 0.0570627000, 0.1865080000, 0.6095990000");
values("0.0487609000, 0.0573334000, 0.0844431000, 0.1726329000, 0.4873352000, 1.5436562000, 4.9762727000", \
"0.0486412000, 0.0574343000, 0.0845136000, 0.1726688000, 0.4874829000, 1.5426981000, 4.9735926000", \
"0.0487762000, 0.0572779000, 0.0844241000, 0.1729889000, 0.4867374000, 1.5435448000, 4.9677253000", \
"0.0489782000, 0.0574137000, 0.0846667000, 0.1725988000, 0.4861738000, 1.5409654000, 4.9725255000", \
"0.0489286000, 0.0574534000, 0.0844437000, 0.1728852000, 0.4872290000, 1.5412599000, 4.9726450000", \
"0.0492150000, 0.0576822000, 0.0848158000, 0.1729087000, 0.4862021000, 1.5384943000, 4.9728883000", \
"0.0589549000, 0.0668474000, 0.0917216000, 0.1756470000, 0.4878342000, 1.5357646000, 4.9649764000");
}
timing_sense : "negative_unate";
timing_type : "preset";
}
}
pin ("RESET_B") {
capacitance : 0.0033250000;
clock : "false";
direction : "input";
fall_capacitance : 0.0033880000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0037410000, 0.0036479000, 0.0035421000, 0.0034620000, 0.0033928000, 0.0033541000, 0.0034018000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.003551500, -0.003806300, -0.004372300, -0.004463600, -0.004568700, -0.004712900, -0.004967600");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0032620000;
timing () {
related_pin : "CLK";
rise_constraint ("vio_3_3_1") {
index_1("0.0100000000, 2.5000000000, 5.0000000000");
index_2("0.0100000000, 2.5000000000, 5.0000000000");
values("-0.464918900, 0.6168193000, 1.8055807000", \
"-1.286647400, -0.378859400, 0.5938376000", \
"-1.750311200, -0.906610000, -0.014479500");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "recovery_rising";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
related_pin : "CLK";
rise_constraint ("vio_3_3_1") {
index_1("0.0100000000, 2.5000000000, 5.0000000000");
index_2("0.0100000000, 2.5000000000, 5.0000000000");
values("0.6208965000, 1.3866924000, 1.9868714000", \
"1.3730449000, 2.1095439000, 2.6840881000", \
"1.8165671000, 2.5310934000, 3.0909893000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "removal_rising";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
fall_constraint ("constraint_3_0_1") {
index_1("0.0100000000, 2.5000000000, 5.0000000000");
values("0.5614570000, 4.1666666000, 8.3333333000");
}
related_output_pin : "Q";
related_pin : "RESET_B";
sim_opt : "runlvl=5 accurate=1";
timing_type : "min_pulse_width";
violation_delay_degrade_pct : 10.000000000;
}
}
}
/* removed sky130_fd_sc_hd__dfrtn_1 */
/* removed sky130_fd_sc_hd__dfrtp_1 */
/* removed sky130_fd_sc_hd__dfrtp_2 */
cell ("sky130_fd_sc_hd__dfrtp_4") {
leakage_power () {
value : 25.338030300;
when : "RESET_B&CLK&D&!Q";
}
leakage_power () {
value : 20.818571800;
when : "RESET_B&!CLK&!D&!Q";
}
leakage_power () {
value : 19.319452700;
when : "!RESET_B&CLK&!D&!Q";
}
leakage_power () {
value : 19.571988500;
when : "RESET_B&CLK&!D&Q";
}
leakage_power () {
value : 17.089909900;
when : "RESET_B&!CLK&D&Q";
}
leakage_power () {
value : 24.118570300;
when : "!RESET_B&CLK&D&!Q";
}
leakage_power () {
value : 21.973368900;
when : "RESET_B&!CLK&D&!Q";
}
leakage_power () {
value : 20.538944800;
when : "RESET_B&CLK&!D&!Q";
}
leakage_power () {
value : 20.221060400;
when : "!RESET_B&!CLK&!D&!Q";
}
leakage_power () {
value : 19.313336700;
when : "RESET_B&CLK&D&Q";
}
leakage_power () {
value : 21.014225000;
when : "RESET_B&!CLK&!D&Q";
}
leakage_power () {
value : 22.467199700;
when : "!RESET_B&!CLK&D&!Q";
}
area : 28.777600000;
cell_footprint : "sky130_fd_sc_hd__dfrtp";
cell_leakage_power : 20.982050000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
ff ("IQ","IQ_N") {
clear : "!RESET_B";
clocked_on : "CLK";
next_state : "D";
}
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("CLK") {
capacitance : 0.0017100000;
clock : "true";
direction : "input";
fall_capacitance : 0.0016200000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0193209000, 0.0189999000, 0.0182501000, 0.0182096000, 0.0182500000, 0.0185184000, 0.0194290000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0136377000, 0.0133465000, 0.0126789000, 0.0125951000, 0.0125117000, 0.0124296000, 0.0123511000");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0017990000;
timing () {
fall_constraint ("constraint_3_0_1") {
index_1("0.0100000000, 2.5000000000, 5.0000000000");
values("0.4625819000, 4.1666666000, 8.3333333000");
}
related_output_pin : "Q";
related_pin : "CLK";
rise_constraint ("constraint_3_0_1") {
index_1("0.0100000000, 2.5000000000, 5.0000000000");
values("0.4065526000, 4.1666666000, 8.3333333000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "min_pulse_width";
violation_delay_degrade_pct : 10.000000000;
}
}
pin ("D") {
capacitance : 0.0018460000;
clock : "false";
direction : "input";
fall_capacitance : 0.0018380000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0050529000, 0.0048787000, 0.0045487000, 0.0044811000, 0.0044517000, 0.0045303000, 0.0049126000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.000499900, -0.000647000, -0.000911000, -0.000984600, -0.001041700, -0.001052600, -0.000932800");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0018530000;
timing () {
fall_constraint ("vio_3_3_1") {
index_1("0.0100000000, 2.5000000000, 5.0000000000");
index_2("0.0100000000, 2.5000000000, 5.0000000000");
values("0.2656719000, 1.3052959000, 1.9868552000", \
"-0.394923800, 0.5970928000, 1.2694968000", \
"-0.739569000, 0.2268128000, 0.8918926000");
}
related_pin : "CLK";
rise_constraint ("vio_3_3_1") {
index_1("0.0100000000, 2.5000000000, 5.0000000000");
index_2("0.0100000000, 2.5000000000, 5.0000000000");
values("0.1320049000, 0.7651348000, 1.0841452000", \
"-0.305202200, 0.2583477000, 0.5370749000", \
"-0.519842500, 0.0308900000, 0.2967998000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "setup_rising";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
fall_constraint ("vio_3_3_1") {
index_1("0.0100000000, 2.5000000000, 5.0000000000");
index_2("0.0100000000, 2.5000000000, 5.0000000000");
values("-0.084059600, -0.997340800, -1.517767200", \
"0.5197734000, -0.406325200, -1.009149100", \
"0.8479392000, -0.072666300, -0.680983400");
}
related_pin : "CLK";
rise_constraint ("vio_3_3_1") {
index_1("0.0100000000, 2.5000000000, 5.0000000000");
index_2("0.0100000000, 2.5000000000, 5.0000000000");
values("-0.074904300, -0.643947200, -0.898870800", \
"0.3348369000, -0.206740200, -0.461663700", \
"0.5403219000, 0.0024069000, -0.252516600");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "hold_rising";
violation_delay_degrade_pct : 10.000000000;
}
}
pin ("Q") {
direction : "output";
function : "IQ";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018260490, 0.0066689080, 0.0243555000, 0.0889486600, 0.3248491000, 1.1863810000");
values("0.0224049000, 0.0207296000, 0.0151595000, -0.005082500, -0.084481200, -0.384966700, -1.487363800", \
"0.0226563000, 0.0209850000, 0.0153649000, -0.004820300, -0.084244200, -0.384685900, -1.487110500", \
"0.0231471000, 0.0215070000, 0.0159358000, -0.004304400, -0.083701400, -0.384173700, -1.486588500", \
"0.0227988000, 0.0211248000, 0.0155680000, -0.004616700, -0.084037100, -0.384530400, -1.486941200", \
"0.0224833000, 0.0208474000, 0.0152402000, -0.004943800, -0.084364100, -0.384820900, -1.487260200", \
"0.0226247000, 0.0209412000, 0.0153145000, -0.004856400, -0.084262700, -0.384741700, -1.487168800", \
"0.0282067000, 0.0265236000, 0.0204176000, -0.001597100, -0.082941900, -0.384075400, -1.486487000");
}
related_pin : "CLK";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018260490, 0.0066689080, 0.0243555000, 0.0889486600, 0.3248491000, 1.1863810000");
values("0.0274608000, 0.0292080000, 0.0359976000, 0.0597856000, 0.1425407000, 0.4419331000, 1.5352179000", \
"0.0276025000, 0.0293956000, 0.0358668000, 0.0595700000, 0.1427073000, 0.4445970000, 1.5313663000", \
"0.0282230000, 0.0299257000, 0.0363630000, 0.0602073000, 0.1434205000, 0.4425414000, 1.5361256000", \
"0.0278796000, 0.0295171000, 0.0360197000, 0.0599062000, 0.1430420000, 0.4421342000, 1.5365400000", \
"0.0274502000, 0.0291264000, 0.0356788000, 0.0594750000, 0.1424822000, 0.4424891000, 1.5388579000", \
"0.0272610000, 0.0290471000, 0.0355584000, 0.0592511000, 0.1424741000, 0.4412145000, 1.5345452000", \
"0.0296592000, 0.0313844000, 0.0377447000, 0.0609713000, 0.1440028000, 0.4445460000, 1.5367687000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018260490, 0.0066689080, 0.0243555000, 0.0889486600, 0.3248491000, 1.1863810000");
values("0.0212403000, 0.0197059000, 0.0152169000, -0.002265100, -0.081999800, -0.382728000, -1.485221700", \
"0.0211904000, 0.0196690000, 0.0152738000, -0.002326400, -0.082016700, -0.382770300, -1.485129500", \
"0.0212263000, 0.0197047000, 0.0152478000, -0.002338600, -0.082060000, -0.382776000, -1.485183100", \
"0.0212690000, 0.0197902000, 0.0153986000, -0.002190800, -0.081943900, -0.382670200, -1.485038300", \
"0.0211337000, 0.0196455000, 0.0153960000, -0.002378800, -0.082183100, -0.382871200, -1.485208900", \
"0.0211755000, 0.0196303000, 0.0152445000, -0.002725900, -0.082860900, -0.383453600, -1.485533200", \
"0.0305262000, 0.0285604000, 0.0219021000, -0.001107600, -0.083616700, -0.384204100, -1.486063600");
}
related_pin : "RESET_B";
rise_power ("scalar") {
values("0.0000000000");
}
}
max_capacitance : 0.3488260000;
max_transition : 1.5014030000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018260500, 0.0066689100, 0.0243555000, 0.0889487000, 0.3248490000, 1.1863800000");
values("0.9056373000, 0.9191919000, 0.9560784000, 1.0383978000, 1.2106646000, 1.6303918000, 3.0022542000", \
"0.9137782000, 0.9272897000, 0.9641039000, 1.0465158000, 1.2182964000, 1.6385353000, 3.0081351000", \
"0.9375013000, 0.9511791000, 0.9880087000, 1.0702976000, 1.2424831000, 1.6622622000, 3.0313598000", \
"1.0040359000, 1.0175929000, 1.0544032000, 1.1367075000, 1.3087802000, 1.7288561000, 3.1006178000", \
"1.1700415000, 1.1836097000, 1.2204905000, 1.3028028000, 1.4746629000, 1.8948603000, 3.2631169000", \
"1.4887334000, 1.5021658000, 1.5390457000, 1.6213809000, 1.7933369000, 2.2132429000, 3.5844387000", \
"2.0470809000, 2.0605799000, 2.0974851000, 2.1797804000, 2.3518165000, 2.7717912000, 4.1398103000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018260500, 0.0066689100, 0.0243555000, 0.0889487000, 0.3248490000, 1.1863800000");
values("0.7304750000, 0.7431429000, 0.7794293000, 0.8682541000, 1.0911504000, 1.7987904000, 4.3569259000", \
"0.7382807000, 0.7513078000, 0.7879927000, 0.8768519000, 1.0992902000, 1.8086198000, 4.3655550000", \
"0.7618058000, 0.7748634000, 0.8118053000, 0.9006754000, 1.1229391000, 1.8327609000, 4.3854280000", \
"0.8295632000, 0.8414226000, 0.8791973000, 0.9681372000, 1.1902220000, 1.8980342000, 4.4605986000", \
"0.9985373000, 1.0111673000, 1.0481033000, 1.1369771000, 1.3594846000, 2.0688435000, 4.6262612000", \
"1.3297156000, 1.3427505000, 1.3794590000, 1.4683597000, 1.6906925000, 2.3997055000, 4.9592024000", \
"1.9251809000, 1.9377744000, 1.9749209000, 2.0638578000, 2.2861490000, 2.9942733000, 5.5648770000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018260500, 0.0066689100, 0.0243555000, 0.0889487000, 0.3248490000, 1.1863800000");
values("0.0937030000, 0.1005857000, 0.1205804000, 0.1683569000, 0.3078754000, 0.7771204000, 2.6099980000", \
"0.0937429000, 0.1010252000, 0.1196622000, 0.1690304000, 0.3084079000, 0.7779999000, 2.6171774000", \
"0.0937993000, 0.1011055000, 0.1206628000, 0.1706850000, 0.3077204000, 0.7783182000, 2.6119622000", \
"0.0937082000, 0.1009103000, 0.1196944000, 0.1685300000, 0.3078496000, 0.7767717000, 2.6127929000", \
"0.0936877000, 0.1008875000, 0.1212227000, 0.1690934000, 0.3081130000, 0.7759209000, 2.6128113000", \
"0.0942072000, 0.1013464000, 0.1196664000, 0.1686319000, 0.3080029000, 0.7770712000, 2.6122471000", \
"0.0935265000, 0.1005891000, 0.1196022000, 0.1685653000, 0.3079803000, 0.7751559000, 2.6090508000");
}
related_pin : "CLK";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018260500, 0.0066689100, 0.0243555000, 0.0889487000, 0.3248490000, 1.1863800000");
values("0.0753825000, 0.0826525000, 0.1075748000, 0.1809869000, 0.4302375000, 1.3974224000, 4.9911644000", \
"0.0753135000, 0.0837801000, 0.1079695000, 0.1812042000, 0.4295253000, 1.3998718000, 4.9841908000", \
"0.0749423000, 0.0823627000, 0.1078756000, 0.1807319000, 0.4292437000, 1.4004365000, 4.9902482000", \
"0.0753941000, 0.0837366000, 0.1078092000, 0.1808384000, 0.4288569000, 1.3968197000, 4.9856821000", \
"0.0753282000, 0.0827278000, 0.1080098000, 0.1811511000, 0.4295133000, 1.3998986000, 4.9844426000", \
"0.0747782000, 0.0831972000, 0.1080446000, 0.1799955000, 0.4293177000, 1.3975507000, 4.9805768000", \
"0.0752010000, 0.0826959000, 0.1080589000, 0.1813221000, 0.4287242000, 1.3961268000, 4.9855196000");
}
timing_sense : "non_unate";
timing_type : "rising_edge";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018260500, 0.0066689100, 0.0243555000, 0.0889487000, 0.3248490000, 1.1863800000");
values("0.5967564000, 0.6110538000, 0.6506777000, 0.7398994000, 0.9159040000, 1.3085315000, 2.6735258000", \
"0.6044876000, 0.6186565000, 0.6582338000, 0.7475271000, 0.9234405000, 1.3161866000, 2.6800997000", \
"0.6287044000, 0.6430482000, 0.6826177000, 0.7718748000, 0.9478418000, 1.3402822000, 2.7012175000", \
"0.7010900000, 0.7153301000, 0.7549353000, 0.8446943000, 1.0201627000, 1.4130820000, 2.7754112000", \
"0.9094203000, 0.9235779000, 0.9631326000, 1.0524875000, 1.2282202000, 1.6211144000, 2.9859366000", \
"1.5040923000, 1.5184833000, 1.5580629000, 1.6475339000, 1.8225472000, 2.2153059000, 3.5801360000", \
"2.7999221000, 2.8217368000, 2.8817317000, 3.0116145000, 3.2170524000, 3.6111889000, 4.9687787000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018260500, 0.0066689100, 0.0243555000, 0.0889487000, 0.3248490000, 1.1863800000");
values("0.1011223000, 0.1089242000, 0.1306652000, 0.1858736000, 0.2950998000, 0.7425516000, 2.6054230000", \
"0.1010907000, 0.1086754000, 0.1310349000, 0.1858571000, 0.2949041000, 0.7427788000, 2.6038920000", \
"0.1016900000, 0.1089195000, 0.1309083000, 0.1857765000, 0.2952066000, 0.7433732000, 2.5902260000", \
"0.1012291000, 0.1092142000, 0.1310893000, 0.1856724000, 0.2950696000, 0.7433407000, 2.6009277000", \
"0.1010793000, 0.1086875000, 0.1311972000, 0.1858933000, 0.2950963000, 0.7432570000, 2.6015312000", \
"0.1053170000, 0.1134883000, 0.1337128000, 0.1872583000, 0.2948851000, 0.7432361000, 2.6027542000", \
"0.1930028000, 0.2030210000, 0.2277790000, 0.2840547000, 0.3394044000, 0.7498480000, 2.5963245000");
}
related_pin : "RESET_B";
timing_sense : "positive_unate";
timing_type : "clear";
}
}
pin ("RESET_B") {
capacitance : 0.0033280000;
clock : "false";
direction : "input";
fall_capacitance : 0.0033900000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0036959000, 0.0036119000, 0.0035397000, 0.0034544000, 0.0033785000, 0.0033291000, 0.0033545000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.003544300, -0.003800600, -0.004362800, -0.004459400, -0.004571700, -0.004728400, -0.005009900");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0032660000;
timing () {
related_pin : "CLK";
rise_constraint ("vio_3_3_1") {
index_1("0.0100000000, 2.5000000000, 5.0000000000");
index_2("0.0100000000, 2.5000000000, 5.0000000000");
values("-0.452101600, 0.7193584000, 2.0234763000", \
"-1.277492200, -0.300124000, 0.7696188000", \
"-1.742986900, -0.835198900, 0.1503154000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "recovery_rising";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
related_pin : "CLK";
rise_constraint ("vio_3_3_1") {
index_1("0.0100000000, 2.5000000000, 5.0000000000");
index_2("0.0100000000, 2.5000000000, 5.0000000000");
values("0.6227275000, 1.3866924000, 1.9850404000", \
"1.3730449000, 2.1095439000, 2.6822571000", \
"1.8147360000, 2.5310934000, 3.0909893000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "removal_rising";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
fall_constraint ("constraint_3_0_1") {
index_1("0.0100000000, 2.5000000000, 5.0000000000");
values("0.6801071000, 4.1666666000, 8.3333333000");
}
related_output_pin : "Q";
related_pin : "RESET_B";
sim_opt : "runlvl=5 accurate=1";
timing_type : "min_pulse_width";
violation_delay_degrade_pct : 10.000000000;
}
}
}
/* removed sky130_fd_sc_hd__dfsbp_1 */
/* removed sky130_fd_sc_hd__dfsbp_2 */
/* removed sky130_fd_sc_hd__dfstp_1 */
/* removed sky130_fd_sc_hd__dfstp_2 */
cell ("sky130_fd_sc_hd__dfstp_4") {
leakage_power () {
value : 29.838204800;
when : "CLK&D&SET_B&!Q";
}
leakage_power () {
value : 23.635747600;
when : "!CLK&!D&SET_B&!Q";
}
leakage_power () {
value : 21.858767200;
when : "CLK&!D&!SET_B&Q";
}
leakage_power () {
value : 22.440350000;
when : "CLK&!D&SET_B&Q";
}
leakage_power () {
value : 23.730663700;
when : "!CLK&D&SET_B&Q";
}
leakage_power () {
value : 23.790256900;
when : "CLK&D&!SET_B&Q";
}
leakage_power () {
value : 27.251914500;
when : "!CLK&D&SET_B&!Q";
}
leakage_power () {
value : 23.303544000;
when : "CLK&!D&SET_B&!Q";
}
leakage_power () {
value : 20.220444000;
when : "!CLK&!D&!SET_B&Q";
}
leakage_power () {
value : 24.371781000;
when : "CLK&D&SET_B&Q";
}
leakage_power () {
value : 22.056774200;
when : "!CLK&!D&SET_B&Q";
}
leakage_power () {
value : 22.608563900;
when : "!CLK&D&!SET_B&Q";
}
area : 30.028800000;
cell_footprint : "sky130_fd_sc_hd__dfstp";
cell_leakage_power : 23.758920000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
ff ("IQ","IQ_N") {
clocked_on : "CLK";
next_state : "D";
preset : "!SET_B";
}
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("CLK") {
capacitance : 0.0017010000;
clock : "true";
direction : "input";
fall_capacitance : 0.0016130000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0181710000, 0.0178798000, 0.0172325000, 0.0171704000, 0.0171686000, 0.0173363000, 0.0179822000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0140155000, 0.0138255000, 0.0134629000, 0.0133656000, 0.0132638000, 0.0131499000, 0.0130012000");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0017890000;
timing () {
fall_constraint ("constraint_3_0_1") {
index_1("0.0100000000, 2.5000000000, 5.0000000000");
values("0.4082005000, 4.1666666000, 8.3333333000");
}
related_output_pin : "Q";
related_pin : "CLK";
rise_constraint ("constraint_3_0_1") {
index_1("0.0100000000, 2.5000000000, 5.0000000000");
values("0.8580823000, 4.1666666000, 8.3333333000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "min_pulse_width";
violation_delay_degrade_pct : 10.000000000;
}
}
pin ("D") {
capacitance : 0.0023140000;
clock : "false";
direction : "input";
fall_capacitance : 0.0021890000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0057656000, 0.0056760000, 0.0056112000, 0.0055892000, 0.0057144000, 0.0062549000, 0.0079650000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("3.0322508e-05, -0.000174500, -0.000586500, -0.000610500, -0.000513200, -7.3950316e-05, 0.0013286000");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0024390000;
timing () {
fall_constraint ("vio_3_3_1") {
index_1("0.0100000000, 2.5000000000, 5.0000000000");
index_2("0.0100000000, 2.5000000000, 5.0000000000");
values("0.1484844000, 0.8255596000, 1.1775290000", \
"-0.493800800, 0.1100322000, 0.4491842000", \
"-0.829290700, -0.254754600, 0.0752422000");
}
related_pin : "CLK";
rise_constraint ("vio_3_3_1") {
index_1("0.0100000000, 2.5000000000, 5.0000000000");
index_2("0.0100000000, 2.5000000000, 5.0000000000");
values("0.1191875000, 0.6882305000, 0.9925925000", \
"-0.288722700, 0.2308818000, 0.5041159000", \
"-0.494207700, 0.0162415000, 0.2839824000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "setup_rising";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
fall_constraint ("vio_3_3_1") {
index_1("0.0100000000, 2.5000000000, 5.0000000000");
index_2("0.0100000000, 2.5000000000, 5.0000000000");
values("-0.005324200, -0.523097600, -0.732244800", \
"0.5893535000, 0.0441143000, -0.247430300", \
"0.8937155000, 0.3539694000, 0.0551006000");
}
related_pin : "CLK";
rise_constraint ("vio_3_3_1") {
index_1("0.0100000000, 2.5000000000, 5.0000000000");
index_2("0.0100000000, 2.5000000000, 5.0000000000");
values("-0.065749000, -0.583522500, -0.834783900", \
"0.3110332000, -0.188429700, -0.447015300", \
"0.5110250000, 0.0079001000, -0.250685500");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "hold_rising";
violation_delay_degrade_pct : 10.000000000;
}
}
pin ("Q") {
direction : "output";
function : "IQ";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018669430, 0.0069709520, 0.0260287400, 0.0971883300, 0.3628901000, 1.3549900000");
values("0.0344351000, 0.0328013000, 0.0268554000, 0.0048925000, -0.083350500, -0.422235000, -1.691916000", \
"0.0341987000, 0.0325299000, 0.0266414000, 0.0046937000, -0.083542200, -0.422497400, -1.692158200", \
"0.0343581000, 0.0327289000, 0.0267430000, 0.0047492000, -0.083332200, -0.422307600, -1.691987300", \
"0.0342185000, 0.0325470000, 0.0266062000, 0.0046387000, -0.083539000, -0.422506800, -1.692108100", \
"0.0338338000, 0.0322349000, 0.0262372000, 0.0043047000, -0.083860100, -0.422822400, -1.692463600", \
"0.0339691000, 0.0323077000, 0.0263215000, 0.0043536000, -0.083783500, -0.422720300, -1.692382800", \
"0.0382131000, 0.0365436000, 0.0303227000, 0.0068641000, -0.082827700, -0.422217500, -1.691896800");
}
related_pin : "CLK";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018669430, 0.0069709520, 0.0260287400, 0.0971883300, 0.3628901000, 1.3549900000");
values("0.0390552000, 0.0409007000, 0.0476765000, 0.0729615000, 0.1637483000, 0.4998835000, 1.7658801000", \
"0.0392385000, 0.0410969000, 0.0477739000, 0.0730616000, 0.1640115000, 0.4993281000, 1.7651657000", \
"0.0395010000, 0.0413362000, 0.0480863000, 0.0733677000, 0.1640376000, 0.5006232000, 1.7605765000", \
"0.0391533000, 0.0409619000, 0.0476463000, 0.0729834000, 0.1638966000, 0.5021428000, 1.7617268000", \
"0.0387554000, 0.0404843000, 0.0473522000, 0.0725543000, 0.1634548000, 0.5017328000, 1.7604280000", \
"0.0385534000, 0.0403506000, 0.0470935000, 0.0724679000, 0.1636132000, 0.4998731000, 1.7643806000", \
"0.0407583000, 0.0424226000, 0.0491849000, 0.0739559000, 0.1649844000, 0.5012880000, 1.7556791000");
}
}
internal_power () {
fall_power ("scalar") {
values("0.0000000000");
}
related_pin : "SET_B";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018669430, 0.0069709520, 0.0260287400, 0.0971883300, 0.3628901000, 1.3549900000");
values("0.0619625000, 0.0637515000, 0.0706621000, 0.0960427000, 0.1869470000, 0.5242558000, 1.7758007000", \
"0.0617367000, 0.0635542000, 0.0704175000, 0.0959303000, 0.1866634000, 0.5237747000, 1.7811281000", \
"0.0616971000, 0.0635297000, 0.0704909000, 0.0956731000, 0.1868160000, 0.5210605000, 1.7867125000", \
"0.0616895000, 0.0635588000, 0.0704805000, 0.0956794000, 0.1869601000, 0.5217744000, 1.7778321000", \
"0.0620708000, 0.0639473000, 0.0708476000, 0.0961721000, 0.1876792000, 0.5242992000, 1.7840693000", \
"0.0620871000, 0.0639360000, 0.0707687000, 0.0961211000, 0.1869545000, 0.5243235000, 1.7761348000", \
"0.0637448000, 0.0655719000, 0.0723787000, 0.0974185000, 0.1871732000, 0.5243975000, 1.7782537000");
}
}
max_capacitance : 0.4000950000;
max_transition : 1.5027310000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018669400, 0.0069709500, 0.0260287000, 0.0971883000, 0.3628900000, 1.3549900000");
values("0.8698823000, 0.8780506000, 0.9019585000, 0.9597642000, 1.0915062000, 1.4521194000, 2.7308011000", \
"0.8791395000, 0.8872909000, 0.9111856000, 0.9690240000, 1.1005991000, 1.4612690000, 2.7313420000", \
"0.9020428000, 0.9101675000, 0.9340473000, 0.9918433000, 1.1233060000, 1.4845831000, 2.7639591000", \
"0.9694193000, 0.9775594000, 1.0015011000, 1.0594318000, 1.1907135000, 1.5514142000, 2.8260660000", \
"1.1375186000, 1.1456673000, 1.1696002000, 1.2274295000, 1.3587865000, 1.7196528000, 2.9897602000", \
"1.4556306000, 1.4637755000, 1.4875851000, 1.5452917000, 1.6768066000, 2.0376327000, 3.3084846000", \
"2.0174573000, 2.0256057000, 2.0494342000, 2.1072706000, 2.2389888000, 2.5994875000, 3.8755887000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018669400, 0.0069709500, 0.0260287000, 0.0971883000, 0.3628900000, 1.3549900000");
values("1.2179950000, 1.2277201000, 1.2571486000, 1.3299133000, 1.5300036000, 2.2248394000, 4.8147197000", \
"1.2263912000, 1.2363386000, 1.2647949000, 1.3378585000, 1.5377994000, 2.2314568000, 4.8213535000", \
"1.2502495000, 1.2601606000, 1.2884668000, 1.3617432000, 1.5616906000, 2.2577016000, 4.8452016000", \
"1.3167610000, 1.3266891000, 1.3551263000, 1.4280503000, 1.6280610000, 2.3219497000, 4.9198867000", \
"1.4808270000, 1.4900494000, 1.5195812000, 1.5919608000, 1.7918557000, 2.4872164000, 5.0735274000", \
"1.8061027000, 1.8158836000, 1.8447223000, 1.9179032000, 2.1176683000, 2.8129303000, 5.4027054000", \
"2.3958375000, 2.4055073000, 2.4349538000, 2.5078073000, 2.7073037000, 3.4025500000, 5.9865073000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018669400, 0.0069709500, 0.0260287000, 0.0971883000, 0.3628900000, 1.3549900000");
values("0.0662744000, 0.0707549000, 0.0837091000, 0.1237396000, 0.2407795000, 0.6811303000, 2.4058149000", \
"0.0654396000, 0.0698279000, 0.0837866000, 0.1237003000, 0.2406120000, 0.6804380000, 2.4138647000", \
"0.0655295000, 0.0701983000, 0.0850817000, 0.1227110000, 0.2424149000, 0.6798486000, 2.4152602000", \
"0.0658433000, 0.0707057000, 0.0840140000, 0.1245966000, 0.2412107000, 0.6811620000, 2.4137836000", \
"0.0657370000, 0.0702959000, 0.0839017000, 0.1228920000, 0.2411641000, 0.6800002000, 2.4068409000", \
"0.0656292000, 0.0701668000, 0.0837713000, 0.1229722000, 0.2414988000, 0.6813125000, 2.4073081000", \
"0.0664868000, 0.0709084000, 0.0854380000, 0.1226828000, 0.2400721000, 0.6810416000, 2.4020270000");
}
related_pin : "CLK";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018669400, 0.0069709500, 0.0260287000, 0.0971883000, 0.3628900000, 1.3549900000");
values("0.0713066000, 0.0766847000, 0.0951125000, 0.1570435000, 0.3965016000, 1.3565546000, 4.9745124000", \
"0.0709896000, 0.0766671000, 0.0958842000, 0.1571176000, 0.3971655000, 1.3580032000, 4.9734488000", \
"0.0715485000, 0.0767681000, 0.0960289000, 0.1574652000, 0.3958998000, 1.3592204000, 4.9717213000", \
"0.0708193000, 0.0766411000, 0.0955750000, 0.1569427000, 0.3958305000, 1.3546844000, 4.9726880000", \
"0.0708994000, 0.0767753000, 0.0953037000, 0.1575177000, 0.3975071000, 1.3567109000, 4.9656794000", \
"0.0713487000, 0.0771812000, 0.0952262000, 0.1575288000, 0.3971130000, 1.3562661000, 4.9779949000", \
"0.0714820000, 0.0773076000, 0.0958152000, 0.1570539000, 0.3972125000, 1.3541982000, 4.9718358000");
}
timing_sense : "non_unate";
timing_type : "rising_edge";
}
timing () {
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018669400, 0.0069709500, 0.0260287000, 0.0971883000, 0.3628900000, 1.3549900000");
values("0.7794179000, 0.7878637000, 0.8130077000, 0.8812955000, 1.0773739000, 1.7728884000, 4.3658810000", \
"0.7856268000, 0.7940023000, 0.8194404000, 0.8877496000, 1.0840261000, 1.7788760000, 4.3631712000", \
"0.8088281000, 0.8172231000, 0.8426558000, 0.9105638000, 1.1071057000, 1.8043204000, 4.3869828000", \
"0.8820188000, 0.8904081000, 0.9158350000, 0.9836886000, 1.1799215000, 1.8747586000, 4.4593329000", \
"1.0951242000, 1.1035728000, 1.1291650000, 1.1969269000, 1.3935105000, 2.0880815000, 4.6718194000", \
"1.6406555000, 1.6489997000, 1.6743726000, 1.7422973000, 1.9384514000, 2.6334945000, 5.2298468000", \
"2.7098757000, 2.7185365000, 2.7443989000, 2.8130827000, 3.0101366000, 3.7053633000, 6.2936039000");
}
related_pin : "SET_B";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018669400, 0.0069709500, 0.0260287000, 0.0971883000, 0.3628900000, 1.3549900000");
values("0.0562319000, 0.0619363000, 0.0815107000, 0.1459436000, 0.3927263000, 1.3604149000, 4.9614881000", \
"0.0561123000, 0.0622444000, 0.0817496000, 0.1459412000, 0.3921847000, 1.3611079000, 4.9713900000", \
"0.0563141000, 0.0625259000, 0.0819060000, 0.1460494000, 0.3919662000, 1.3556971000, 4.9740621000", \
"0.0562300000, 0.0622715000, 0.0819300000, 0.1461708000, 0.3922734000, 1.3537541000, 4.9718101000", \
"0.0561502000, 0.0619432000, 0.0815619000, 0.1459024000, 0.3921840000, 1.3611489000, 4.9715920000", \
"0.0562776000, 0.0622970000, 0.0819191000, 0.1460762000, 0.3917481000, 1.3669993000, 4.9620610000", \
"0.0593485000, 0.0650325000, 0.0842862000, 0.1477859000, 0.3924500000, 1.3601812000, 4.9641957000");
}
timing_sense : "negative_unate";
timing_type : "preset";
}
}
pin ("SET_B") {
capacitance : 0.0032420000;
clock : "false";
direction : "input";
fall_capacitance : 0.0032160000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0039104000, 0.0038199000, 0.0037366000, 0.0036478000, 0.0035697000, 0.0035214000, 0.0035569000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0016135000, 0.0013247000, 0.0006753000, 0.0005751000, 0.0004570000, 0.0002883000, -2.2687707e-05");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0032690000;
timing () {
related_pin : "CLK";
rise_constraint ("vio_3_3_1") {
index_1("0.0100000000, 2.5000000000, 5.0000000000");
index_2("0.0100000000, 2.5000000000, 5.0000000000");
values("-0.258009800, 0.0656719000, 0.1411520000", \
"-1.008327200, -0.679152400, -0.603672200", \
"-1.411566000, -1.078729200, -0.999586900");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "recovery_rising";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
related_pin : "CLK";
rise_constraint ("vio_3_3_1") {
index_1("0.0100000000, 2.5000000000, 5.0000000000");
index_2("0.0100000000, 2.5000000000, 5.0000000000");
values("0.2913066000, -0.001247100, -0.067571900", \
"1.0288066000, 0.7216045000, 0.6571107000", \
"1.4283835000, 1.1156881000, 1.0475322000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "removal_rising";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
fall_constraint ("constraint_3_0_1") {
index_1("0.0100000000, 2.5000000000, 5.0000000000");
values("0.3917213000, 4.1666666000, 8.3333333000");
}
related_output_pin : "Q";
related_pin : "SET_B";
sim_opt : "runlvl=5 accurate=1";
timing_type : "min_pulse_width";
violation_delay_degrade_pct : 10.000000000;
}
}
}
/* removed sky130_fd_sc_hd__dfxbp_1 */
cell ("sky130_fd_sc_hd__dfxbp_2") {
leakage_power () {
value : 23.674786600;
when : "CLK&D&!Q&Q_N";
}
leakage_power () {
value : 20.100346300;
when : "!CLK&!D&!Q&Q_N";
}
leakage_power () {
value : 20.445179800;
when : "CLK&!D&Q&!Q_N";
}
leakage_power () {
value : 19.917260700;
when : "!CLK&D&Q&!Q_N";
}
leakage_power () {
value : 23.142686000;
when : "!CLK&D&!Q&Q_N";
}
leakage_power () {
value : 18.993050700;
when : "CLK&!D&!Q&Q_N";
}
leakage_power () {
value : 20.313827100;
when : "CLK&D&Q&!Q_N";
}
leakage_power () {
value : 21.240504500;
when : "!CLK&!D&Q&!Q_N";
}
area : 26.275200000;
cell_footprint : "sky130_fd_sc_hd__dfxbp";
cell_leakage_power : 20.978460000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
ff ("IQ","IQ_N") {
clocked_on : "CLK";
next_state : "D";
}
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("CLK") {
capacitance : 0.0016940000;
clock : "true";
direction : "input";
fall_capacitance : 0.0016310000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0173643000, 0.0171924000, 0.0168540000, 0.0168075000, 0.0168226000, 0.0170108000, 0.0176872000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0147333000, 0.0144260000, 0.0137166000, 0.0136338000, 0.0135567000, 0.0134965000, 0.0134832000");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0017560000;
timing () {
fall_constraint ("constraint_3_0_1") {
index_1("0.0100000000, 2.5000000000, 5.0000000000");
values("0.4576381000, 4.1666666000, 8.3333333000");
}
related_output_pin : "Q";
related_pin : "CLK";
rise_constraint ("constraint_3_0_1") {
index_1("0.0100000000, 2.5000000000, 5.0000000000");
values("0.4065526000, 4.1666666000, 8.3333333000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "min_pulse_width";
violation_delay_degrade_pct : 10.000000000;
}
}
pin ("D") {
capacitance : 0.0015780000;
clock : "false";
direction : "input";
fall_capacitance : 0.0016200000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0041653000, 0.0040849000, 0.0040196000, 0.0039462000, 0.0039012000, 0.0039355000, 0.0041938000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0001036000, -0.000117000, -0.000588500, -0.000658800, -0.000707000, -0.000693200, -0.000504100");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0015360000;
timing () {
fall_constraint ("vio_3_3_1") {
index_1("0.0100000000, 2.5000000000, 5.0000000000");
index_2("0.0100000000, 2.5000000000, 5.0000000000");
values("0.2638408000, 1.2998028000, 1.9685447000", \
"-0.407741200, 0.5879375000, 1.2566794000", \
"-0.754217500, 0.2176575000, 0.8809062000");
}
related_pin : "CLK";
rise_constraint ("vio_3_3_1") {
index_1("0.0100000000, 2.5000000000, 5.0000000000");
index_2("0.0100000000, 2.5000000000, 5.0000000000");
values("0.1320049000, 0.7871074000, 1.1317526000", \
"-0.294215800, 0.2821514000, 0.5773581000", \
"-0.499700800, 0.0638490000, 0.3499004000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "setup_rising";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
fall_constraint ("vio_3_3_1") {
index_1("0.0100000000, 2.5000000000, 5.0000000000");
index_2("0.0100000000, 2.5000000000, 5.0000000000");
values("-0.085890600, -1.006496100, -1.550726300", \
"0.5051250000, -0.442946300, -1.062249700", \
"0.8314596000, -0.103794300, -0.730421900");
}
related_pin : "CLK";
rise_constraint ("vio_3_3_1") {
index_1("0.0100000000, 2.5000000000, 5.0000000000");
index_2("0.0100000000, 2.5000000000, 5.0000000000");
values("-0.067580100, -0.645778300, -0.911688100", \
"0.3275127000, -0.223219700, -0.498284800", \
"0.5256735000, -0.023227900, -0.294630900");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "hold_rising";
violation_delay_degrade_pct : 10.000000000;
}
}
pin ("Q") {
direction : "output";
function : "IQ";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0016419870, 0.0053922450, 0.0177079900, 0.0581526100, 0.1909717000, 0.6271462000");
values("-0.005432200, -0.001456300, 0.0078723000, 0.0176499000, -0.008297500, -0.163986700, -0.716866500", \
"-0.005285000, -0.001303800, 0.0080177000, 0.0177895000, -0.008209500, -0.163889000, -0.716747100", \
"-0.004933000, -0.000965800, 0.0083582000, 0.0180682000, -0.007974900, -0.163694200, -0.716567400", \
"-0.004906900, -0.000953200, 0.0083108000, 0.0178862000, -0.008277200, -0.164064500, -0.716969200", \
"-0.004889900, -0.000968200, 0.0082188000, 0.0177717000, -0.008497800, -0.164308700, -0.717224900", \
"-0.004886700, -0.000968000, 0.0082275000, 0.0177326000, -0.008525500, -0.164357800, -0.717268700", \
"-0.004949900, -0.000970600, 0.0083692000, 0.0181719000, -0.007957400, -0.163767200, -0.716660500");
}
related_pin : "CLK";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0016419870, 0.0053922450, 0.0177079900, 0.0581526100, 0.1909717000, 0.6271462000");
values("-0.006688900, -0.005094300, 6.825000e-05, 0.0165107000, 0.0685335000, 0.2381118000, 0.7904347000", \
"-0.006548000, -0.004980400, 0.0001157000, 0.0164235000, 0.0683431000, 0.2367578000, 0.7923558000", \
"-0.006199200, -0.004639700, 0.0004368000, 0.0167108000, 0.0686335000, 0.2367302000, 0.7925184000", \
"-0.006169300, -0.004625500, 0.0004042000, 0.0165957000, 0.0683535000, 0.2367972000, 0.7896602000", \
"-0.006157500, -0.004649100, 0.0002969000, 0.0163429000, 0.0679635000, 0.2376686000, 0.7921160000", \
"-0.006160400, -0.004663700, 0.0002477000, 0.0162381000, 0.0677673000, 0.2359619000, 0.7865653000", \
"-0.006235100, -0.004704100, 0.0003172000, 0.0165904000, 0.0686032000, 0.2373218000, 0.7909810000");
}
}
max_capacitance : 0.1858620000;
max_transition : 1.4981170000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016419900, 0.0053922400, 0.0177080000, 0.0581526000, 0.1909720000, 0.6271460000");
values("0.5763474000, 0.5868289000, 0.6121080000, 0.6689531000, 0.8099809000, 1.2303045000, 2.6106020000", \
"0.5845001000, 0.5949836000, 0.6202471000, 0.6771276000, 0.8181438000, 1.2384653000, 2.6138596000", \
"0.6078237000, 0.6182270000, 0.6435662000, 0.7003640000, 0.8414400000, 1.2619297000, 2.6369795000", \
"0.6745410000, 0.6849815000, 0.7102931000, 0.7671315000, 0.9081841000, 1.3285041000, 2.6996863000", \
"0.8395717000, 0.8500639000, 0.8753896000, 0.9322140000, 1.0732363000, 1.4935376000, 2.8686846000", \
"1.1562433000, 1.1667165000, 1.1920034000, 1.2488841000, 1.3899188000, 1.8102311000, 3.1851808000", \
"1.7130732000, 1.7235077000, 1.7489012000, 1.8056835000, 1.9467881000, 2.3671256000, 3.7441494000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016419900, 0.0053922400, 0.0177080000, 0.0581526000, 0.1909720000, 0.6271460000");
values("0.6071784000, 0.6196942000, 0.6510213000, 0.7296621000, 0.9632939000, 1.7137005000, 4.1680144000", \
"0.6163247000, 0.6288238000, 0.6601255000, 0.7387870000, 0.9723854000, 1.7235114000, 4.1878003000", \
"0.6395390000, 0.6520593000, 0.6833829000, 0.7620547000, 0.9956784000, 1.7456316000, 4.2110697000", \
"0.7066621000, 0.7191318000, 0.7504850000, 0.8291371000, 1.0627549000, 1.8117493000, 4.2786437000", \
"0.8765069000, 0.8890085000, 0.9203171000, 0.9989785000, 1.2325868000, 1.9838613000, 4.4422479000", \
"1.2055512000, 1.2180127000, 1.2493365000, 1.3279796000, 1.5616747000, 2.3109681000, 4.7770614000", \
"1.8041746000, 1.8164626000, 1.8479583000, 1.9267914000, 2.1604674000, 2.9114460000, 5.3739488000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016419900, 0.0053922400, 0.0177080000, 0.0581526000, 0.1909720000, 0.6271460000");
values("0.0331261000, 0.0399250000, 0.0591570000, 0.1108429000, 0.2737567000, 0.8309325000, 2.7046163000", \
"0.0332005000, 0.0400699000, 0.0589743000, 0.1108651000, 0.2737070000, 0.8303882000, 2.7086910000", \
"0.0331904000, 0.0402805000, 0.0589576000, 0.1109290000, 0.2736941000, 0.8299005000, 2.7089797000", \
"0.0333476000, 0.0403305000, 0.0589755000, 0.1109215000, 0.2737837000, 0.8310310000, 2.7076463000", \
"0.0331218000, 0.0400231000, 0.0590597000, 0.1108594000, 0.2737374000, 0.8309688000, 2.7091873000", \
"0.0331817000, 0.0400269000, 0.0590146000, 0.1108613000, 0.2733936000, 0.8305670000, 2.7093676000", \
"0.0331088000, 0.0403841000, 0.0590797000, 0.1107711000, 0.2735090000, 0.8306360000, 2.6876441000");
}
related_pin : "CLK";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016419900, 0.0053922400, 0.0177080000, 0.0581526000, 0.1909720000, 0.6271460000");
values("0.0405296000, 0.0501148000, 0.0778997000, 0.1688625000, 0.4841201000, 1.5397845000, 4.9974354000", \
"0.0404395000, 0.0498461000, 0.0777809000, 0.1688986000, 0.4838607000, 1.5378694000, 5.0020645000", \
"0.0405316000, 0.0499261000, 0.0778836000, 0.1687587000, 0.4845762000, 1.5382624000, 5.0025739000", \
"0.0405568000, 0.0501026000, 0.0779518000, 0.1687832000, 0.4844093000, 1.5326206000, 4.9858326000", \
"0.0404506000, 0.0498571000, 0.0777652000, 0.1688856000, 0.4835058000, 1.5396808000, 4.9947215000", \
"0.0407659000, 0.0502041000, 0.0779552000, 0.1690426000, 0.4839540000, 1.5344038000, 4.9834017000", \
"0.0414735000, 0.0505549000, 0.0783375000, 0.1689149000, 0.4840534000, 1.5369066000, 4.9959010000");
}
timing_sense : "non_unate";
timing_type : "rising_edge";
}
}
pin ("Q_N") {
direction : "output";
function : "IQ_N";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0016629470, 0.0055307840, 0.0183948000, 0.0611791400, 0.2034753000, 0.6767372000");
values("-0.005543300, -0.001712400, 0.0073722000, 0.0165232000, -0.012216000, -0.180022600, -0.780443900", \
"-0.005402100, -0.001597100, 0.0074202000, 0.0164410000, -0.012386600, -0.180287500, -0.780745500", \
"-0.005051600, -0.001257300, 0.0077412000, 0.0167287000, -0.012140100, -0.180067200, -0.780503700", \
"-0.005022100, -0.001244600, 0.0077049000, 0.0166133000, -0.012351600, -0.180303300, -0.780819000", \
"-0.005009500, -0.001263800, 0.0075985000, 0.0163598000, -0.012777400, -0.180794900, -0.781301900", \
"-0.005012900, -0.001280600, 0.0075483000, 0.0162565000, -0.012918700, -0.181033800, -0.781553200", \
"-0.005085000, -0.001305000, 0.0076496000, 0.0165978000, -0.012638000, -0.180708000, -0.781204300");
}
related_pin : "CLK";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0016629470, 0.0055307840, 0.0183948000, 0.0611791400, 0.2034753000, 0.6767372000");
values("-0.006682100, -0.005051000, 0.0003238000, 0.0176634000, 0.0731737000, 0.2526054000, 0.8561611000", \
"-0.006529600, -0.004903000, 0.0004608000, 0.0178128000, 0.0733006000, 0.2532341000, 0.8540877000", \
"-0.006181200, -0.004561700, 0.0007823000, 0.0180699000, 0.0733158000, 0.2556169000, 0.8540249000", \
"-0.006154100, -0.004558400, 0.0007242000, 0.0178831000, 0.0728541000, 0.2535777000, 0.8542148000", \
"-0.006136900, -0.004564800, 0.0006653000, 0.0177352000, 0.0730618000, 0.2539018000, 0.8537751000", \
"-0.006132800, -0.004559700, 0.0006696000, 0.0177368000, 0.0727768000, 0.2537773000, 0.8523896000", \
"-0.006202100, -0.004563000, 0.0008104000, 0.0181849000, 0.0740298000, 0.2544273000, 0.8558131000");
}
}
max_capacitance : 0.2005100000;
max_transition : 1.5024360000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016629500, 0.0055307800, 0.0183948000, 0.0611791000, 0.2034750000, 0.6767370000");
values("0.7857323000, 0.7959437000, 0.8208564000, 0.8759068000, 1.0133944000, 1.4353710000, 2.8388811000", \
"0.7948618000, 0.8050937000, 0.8299801000, 0.8849823000, 1.0225066000, 1.4446697000, 2.8447618000", \
"0.8181053000, 0.8283275000, 0.8532046000, 0.9082556000, 1.0457661000, 1.4680247000, 2.8751583000", \
"0.8852019000, 0.8954157000, 0.9203054000, 0.9753610000, 1.1128667000, 1.5349864000, 2.9390497000", \
"1.0550009000, 1.0651430000, 1.0898835000, 1.1451730000, 1.2825184000, 1.7054109000, 3.1036298000", \
"1.3838870000, 1.3942250000, 1.4190341000, 1.4742366000, 1.6116396000, 2.0336550000, 3.4362941000", \
"1.9827522000, 1.9929485000, 2.0177886000, 2.0729700000, 2.2102764000, 2.6330798000, 4.0296695000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016629500, 0.0055307800, 0.0183948000, 0.0611791000, 0.2034750000, 0.6767370000");
values("0.7225686000, 0.7336317000, 0.7616752000, 0.8349221000, 1.0598594000, 1.8032297000, 4.2677875000", \
"0.7307839000, 0.7417312000, 0.7697333000, 0.8431448000, 1.0681237000, 1.8097723000, 4.2833190000", \
"0.7542286000, 0.7651763000, 0.7930594000, 0.8666048000, 1.0907883000, 1.8331308000, 4.3053210000", \
"0.8208091000, 0.8317814000, 0.8597891000, 0.9332913000, 1.1584342000, 1.9016742000, 4.3660249000", \
"0.9856609000, 0.9966261000, 1.0247083000, 1.0982406000, 1.3234953000, 2.0648707000, 4.5342703000", \
"1.3023482000, 1.3134340000, 1.3415045000, 1.4150259000, 1.6405020000, 2.3842288000, 4.8563540000", \
"1.8595165000, 1.8704465000, 1.8984245000, 1.9719237000, 2.1962467000, 2.9410645000, 5.4096412000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016629500, 0.0055307800, 0.0183948000, 0.0611791000, 0.2034750000, 0.6767370000");
values("0.0347752000, 0.0408358000, 0.0589378000, 0.1096623000, 0.2733906000, 0.8463285000, 2.7741960000", \
"0.0345263000, 0.0413001000, 0.0591414000, 0.1097212000, 0.2730105000, 0.8433678000, 2.7820235000", \
"0.0346685000, 0.0414878000, 0.0595773000, 0.1095946000, 0.2730882000, 0.8436077000, 2.7648508000", \
"0.0347276000, 0.0415445000, 0.0587234000, 0.1096152000, 0.2731045000, 0.8453275000, 2.7775606000", \
"0.0344292000, 0.0408516000, 0.0592031000, 0.1093295000, 0.2730646000, 0.8471222000, 2.7865132000", \
"0.0345718000, 0.0411142000, 0.0591977000, 0.1091391000, 0.2730075000, 0.8453682000, 2.7736131000", \
"0.0349191000, 0.0416984000, 0.0593010000, 0.1092442000, 0.2731339000, 0.8479017000, 2.7597040000");
}
related_pin : "CLK";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016629500, 0.0055307800, 0.0183948000, 0.0611791000, 0.2034750000, 0.6767370000");
values("0.0342221000, 0.0428786000, 0.0693738000, 0.1583941000, 0.4695385000, 1.5124900000, 4.9895079000", \
"0.0342252000, 0.0429533000, 0.0693319000, 0.1583651000, 0.4693468000, 1.5147533000, 4.9999915000", \
"0.0338631000, 0.0428544000, 0.0695118000, 0.1579772000, 0.4691926000, 1.5225745000, 4.9776112000", \
"0.0344632000, 0.0429148000, 0.0695463000, 0.1582697000, 0.4683208000, 1.5180369000, 4.9941193000", \
"0.0343991000, 0.0430101000, 0.0695785000, 0.1583370000, 0.4696445000, 1.5133607000, 4.9890252000", \
"0.0341208000, 0.0430299000, 0.0695740000, 0.1583084000, 0.4700085000, 1.5186715000, 4.9869699000", \
"0.0341876000, 0.0429657000, 0.0696716000, 0.1581167000, 0.4694088000, 1.5177222000, 4.9926512000");
}
timing_sense : "non_unate";
timing_type : "rising_edge";
}
}
}
/* removed sky130_fd_sc_hd__dfxtp_1 */
/* removed sky130_fd_sc_hd__dfxtp_2 */
cell ("sky130_fd_sc_hd__dfxtp_4") {
leakage_power () {
value : 20.448256400;
when : "CLK&D&!Q";
}
leakage_power () {
value : 16.819310400;
when : "!CLK&!D&!Q";
}
leakage_power () {
value : 15.230847700;
when : "CLK&!D&Q";
}
leakage_power () {
value : 14.770591900;
when : "!CLK&D&Q";
}
leakage_power () {
value : 19.857218100;
when : "!CLK&D&!Q";
}
leakage_power () {
value : 15.822150700;
when : "CLK&!D&!Q";
}
leakage_power () {
value : 15.150813900;
when : "CLK&D&Q";
}
leakage_power () {
value : 16.079322800;
when : "!CLK&!D&Q";
}
area : 23.772800000;
cell_footprint : "sky130_fd_sc_hd__dfxtp";
cell_leakage_power : 16.772310000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
ff ("IQ","IQ_N") {
clocked_on : "CLK";
next_state : "D";
}
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("CLK") {
capacitance : 0.0016970000;
clock : "true";
direction : "input";
fall_capacitance : 0.0016710000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0169023000, 0.0166800000, 0.0161687000, 0.0161405000, 0.0161762000, 0.0163915000, 0.0171128000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0135422000, 0.0132855000, 0.0126878000, 0.0126166000, 0.0125412000, 0.0124540000, 0.0123338000");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0017220000;
timing () {
fall_constraint ("constraint_3_0_1") {
index_1("0.0100000000, 2.5000000000, 5.0000000000");
values("0.4444547000, 4.1666666000, 8.3333333000");
}
related_output_pin : "Q";
related_pin : "CLK";
rise_constraint ("constraint_3_0_1") {
index_1("0.0100000000, 2.5000000000, 5.0000000000");
values("0.4131443000, 4.1666666000, 8.3333333000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "min_pulse_width";
violation_delay_degrade_pct : 10.000000000;
}
}
pin ("D") {
capacitance : 0.0014370000;
clock : "false";
direction : "input";
fall_capacitance : 0.0014100000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0036683000, 0.0036042000, 0.0035542000, 0.0034993000, 0.0034745000, 0.0035351000, 0.0038361000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0001264000, 1.1943381e-05, -0.000191800, -0.000248200, -0.000288400, -0.000282800, -0.000148600");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0014650000;
timing () {
fall_constraint ("vio_3_3_1") {
index_1("0.0100000000, 2.5000000000, 5.0000000000");
index_2("0.0100000000, 2.5000000000, 5.0000000000");
values("0.2638408000, 1.2869853000, 1.9465718000", \
"-0.389430700, 0.5915996000, 1.2456930000", \
"-0.717596400, 0.2359681000, 0.8845684000");
}
related_pin : "CLK";
rise_constraint ("vio_3_3_1") {
index_1("0.0100000000, 2.5000000000, 5.0000000000");
index_2("0.0100000000, 2.5000000000, 5.0000000000");
values("0.1356670000, 0.7926006000, 1.1354147000", \
"-0.292384800, 0.2876445000, 0.5846823000", \
"-0.496038700, 0.0711732000, 0.3608867000");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "setup_rising";
violation_delay_degrade_pct : 10.000000000;
}
timing () {
fall_constraint ("vio_3_3_1") {
index_1("0.0100000000, 2.5000000000, 5.0000000000");
index_2("0.0100000000, 2.5000000000, 5.0000000000");
values("-0.082228500, -0.982692300, -1.493963600", \
"0.4849834000, -0.444777300, -1.051263400", \
"0.7948385000, -0.120273800, -0.726759800");
}
related_pin : "CLK";
rise_constraint ("vio_3_3_1") {
index_1("0.0100000000, 2.5000000000, 5.0000000000");
index_2("0.0100000000, 2.5000000000, 5.0000000000");
values("-0.065749000, -0.645778300, -0.911688100", \
"0.3256816000, -0.228712900, -0.501946900", \
"0.5201803000, -0.030552100, -0.303786100");
}
sim_opt : "runlvl=5 accurate=1";
timing_type : "hold_rising";
violation_delay_degrade_pct : 10.000000000;
}
}
pin ("Q") {
direction : "output";
function : "IQ";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018195320, 0.0066213950, 0.0240956800, 0.0876857300, 0.3190940000, 1.1612040000");
values("0.0257361000, 0.0242203000, 0.0189149000, -0.001127900, -0.080737300, -0.376440400, -1.454296900", \
"0.0259211000, 0.0244289000, 0.0190713000, -0.000902300, -0.080545800, -0.376257700, -1.454090900", \
"0.0263752000, 0.0248746000, 0.0195130000, -0.000456700, -0.080094800, -0.375796800, -1.453635000", \
"0.0260252000, 0.0244825000, 0.0191633000, -0.000819500, -0.080455100, -0.376163700, -1.454003200", \
"0.0257590000, 0.0242577000, 0.0189092000, -0.001064800, -0.080697000, -0.376390500, -1.454232700", \
"0.0272112000, 0.0256700000, 0.0198438000, -0.000961800, -0.080604100, -0.376300300, -1.454157800", \
"0.0293292000, 0.0277793000, 0.0221031000, 0.0008120000, -0.079586100, -0.375427600, -1.453342100");
}
related_pin : "CLK";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018195320, 0.0066213950, 0.0240956800, 0.0876857300, 0.3190940000, 1.1612040000");
values("0.0295013000, 0.0313923000, 0.0379872000, 0.0611668000, 0.1430606000, 0.4371757000, 1.5037205000", \
"0.0292725000, 0.0311459000, 0.0377101000, 0.0609905000, 0.1427979000, 0.4371960000, 1.5078891000", \
"0.0297537000, 0.0315030000, 0.0381217000, 0.0614082000, 0.1424464000, 0.4359663000, 1.5081949000", \
"0.0295393000, 0.0314057000, 0.0380120000, 0.0611796000, 0.1425485000, 0.4374377000, 1.5049258000", \
"0.0290992000, 0.0309199000, 0.0375116000, 0.0607057000, 0.1423405000, 0.4330871000, 1.5054148000", \
"0.0290186000, 0.0308660000, 0.0373973000, 0.0606845000, 0.1415858000, 0.4365989000, 1.5072952000", \
"0.0306407000, 0.0324303000, 0.0388546000, 0.0618256000, 0.1434966000, 0.4381330000, 1.5069412000");
}
}
max_capacitance : 0.3451640000;
max_transition : 1.5064370000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018195300, 0.0066213900, 0.0240957000, 0.0876857000, 0.3190940000, 1.1612000000");
values("0.5963538000, 0.6034872000, 0.6239783000, 0.6728109000, 0.7904776000, 1.1494964000, 2.4345197000", \
"0.6041355000, 0.6113826000, 0.6317482000, 0.6805926000, 0.7982718000, 1.1570255000, 2.4425102000", \
"0.6277250000, 0.6349734000, 0.6553413000, 0.7042481000, 0.8217834000, 1.1809040000, 2.4629496000", \
"0.6944694000, 0.7017188000, 0.7220816000, 0.7709289000, 0.8886087000, 1.2475901000, 2.5309375000", \
"0.8574981000, 0.8647616000, 0.8850596000, 0.9339265000, 1.0516334000, 1.4106176000, 2.6956377000", \
"1.1664377000, 1.1737099000, 1.1940715000, 1.2427540000, 1.3606074000, 1.7194804000, 3.0044471000", \
"1.7046526000, 1.7118887000, 1.7322928000, 1.7811059000, 1.8988011000, 2.2577618000, 3.5431627000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018195300, 0.0066213900, 0.0240957000, 0.0876857000, 0.3190940000, 1.1612000000");
values("0.6305783000, 0.6391481000, 0.6638388000, 0.7297157000, 0.9295370000, 1.6382727000, 4.2081933000", \
"0.6393729000, 0.6478958000, 0.6726816000, 0.7385212000, 0.9378763000, 1.6462741000, 4.2146144000", \
"0.6623689000, 0.6707622000, 0.6953290000, 0.7613253000, 0.9608164000, 1.6677350000, 4.2443431000", \
"0.7294362000, 0.7380065000, 0.7627077000, 0.8285078000, 1.0284595000, 1.7363010000, 4.3096189000", \
"0.8968655000, 0.9054751000, 0.9300627000, 0.9959312000, 1.1952394000, 1.9014723000, 4.4710720000", \
"1.2171321000, 1.2257415000, 1.2503369000, 1.3161499000, 1.5155792000, 2.2234721000, 4.7993627000", \
"1.7965494000, 1.8051526000, 1.8299786000, 1.8958430000, 2.0955786000, 2.8026139000, 5.3761826000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018195300, 0.0066213900, 0.0240957000, 0.0876857000, 0.3190940000, 1.1612000000");
values("0.0422755000, 0.0463910000, 0.0595030000, 0.0972236000, 0.2201915000, 0.6883679000, 2.4415114000", \
"0.0420021000, 0.0464144000, 0.0589308000, 0.0969477000, 0.2188103000, 0.6867233000, 2.4299123000", \
"0.0420537000, 0.0464996000, 0.0590381000, 0.0973012000, 0.2201495000, 0.6877864000, 2.4453803000", \
"0.0420076000, 0.0464143000, 0.0590722000, 0.0972675000, 0.2195378000, 0.6877433000, 2.4444080000", \
"0.0421183000, 0.0464904000, 0.0589157000, 0.0973421000, 0.2190155000, 0.6881446000, 2.4416669000", \
"0.0421392000, 0.0463806000, 0.0591096000, 0.0971620000, 0.2192763000, 0.6887158000, 2.4331892000", \
"0.0419987000, 0.0462730000, 0.0589817000, 0.0972600000, 0.2196886000, 0.6885423000, 2.4372420000");
}
related_pin : "CLK";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018195300, 0.0066213900, 0.0240957000, 0.0876857000, 0.3190940000, 1.1612000000");
values("0.0459028000, 0.0520134000, 0.0719155000, 0.1400362000, 0.4026960000, 1.3919321000, 4.9716840000", \
"0.0458311000, 0.0522297000, 0.0720562000, 0.1399706000, 0.4022888000, 1.3861451000, 4.9876911000", \
"0.0464914000, 0.0526963000, 0.0724993000, 0.1402185000, 0.4021709000, 1.3909356000, 5.0005715000", \
"0.0459450000, 0.0519446000, 0.0719737000, 0.1400376000, 0.4028939000, 1.3906767000, 4.9810680000", \
"0.0459662000, 0.0520409000, 0.0721336000, 0.1400377000, 0.4025128000, 1.3870753000, 4.9804099000", \
"0.0462306000, 0.0523134000, 0.0721290000, 0.1400502000, 0.4021039000, 1.3926491000, 4.9862399000", \
"0.0461755000, 0.0524826000, 0.0721293000, 0.1402392000, 0.4009025000, 1.3909131000, 4.9836730000");
}
timing_sense : "non_unate";
timing_type : "rising_edge";
}
}
}
/* removed sky130_fd_sc_hd__diode_2 */
/* removed sky130_fd_sc_hd__dlclkp_1 */
/* removed sky130_fd_sc_hd__dlclkp_2 */
/* removed sky130_fd_sc_hd__dlclkp_4 */
/* removed sky130_fd_sc_hd__dlrbn_1 */
/* removed sky130_fd_sc_hd__dlrbn_2 */
/* removed sky130_fd_sc_hd__dlrbp_1 */
/* removed sky130_fd_sc_hd__dlrbp_2 */
/* removed sky130_fd_sc_hd__dlrtn_1 */
/* removed sky130_fd_sc_hd__dlrtn_2 */
/* removed sky130_fd_sc_hd__dlrtn_4 */
/* removed sky130_fd_sc_hd__dlrtp_1 */
/* removed sky130_fd_sc_hd__dlrtp_2 */
/* removed sky130_fd_sc_hd__dlrtp_4 */
/* removed sky130_fd_sc_hd__dlxbn_1 */
/* removed sky130_fd_sc_hd__dlxbn_2 */
/* removed sky130_fd_sc_hd__dlxbp_1 */
/* removed sky130_fd_sc_hd__dlxtn_1 */
/* removed sky130_fd_sc_hd__dlxtn_2 */
/* removed sky130_fd_sc_hd__dlxtn_4 */
/* removed sky130_fd_sc_hd__dlxtp_1 */
/* removed sky130_fd_sc_hd__dlygate4sd1_1 */
/* removed sky130_fd_sc_hd__dlygate4sd2_1 */
/* removed sky130_fd_sc_hd__dlygate4sd3_1 */
/* removed sky130_fd_sc_hd__dlymetal6s2s_1 */
/* removed sky130_fd_sc_hd__dlymetal6s4s_1 */
/* removed sky130_fd_sc_hd__dlymetal6s6s_1 */
/* removed sky130_fd_sc_hd__ebufn_1 */
/* removed sky130_fd_sc_hd__ebufn_2 */
/* removed sky130_fd_sc_hd__ebufn_4 */
/* removed sky130_fd_sc_hd__ebufn_8 */
/* removed sky130_fd_sc_hd__edfxbp_1 */
/* removed sky130_fd_sc_hd__edfxtp_1 */
/* removed sky130_fd_sc_hd__einvn_0 */
/* removed sky130_fd_sc_hd__einvn_1 */
/* removed sky130_fd_sc_hd__einvn_2 */
/* removed sky130_fd_sc_hd__einvn_4 */
/* removed sky130_fd_sc_hd__einvn_8 */
/* removed sky130_fd_sc_hd__einvp_1 */
/* removed sky130_fd_sc_hd__einvp_2 */
/* removed sky130_fd_sc_hd__einvp_4 */
/* removed sky130_fd_sc_hd__einvp_8 */
/* removed sky130_fd_sc_hd__fa_1 */
/* removed sky130_fd_sc_hd__fa_2 */
/* removed sky130_fd_sc_hd__fa_4 */
/* removed sky130_fd_sc_hd__fah_1 */
/* removed sky130_fd_sc_hd__fahcin_1 */
/* removed sky130_fd_sc_hd__fahcon_1 */
/* removed sky130_fd_sc_hd__ha_1 */
/* removed sky130_fd_sc_hd__ha_2 */
/* removed sky130_fd_sc_hd__ha_4 */
/* removed sky130_fd_sc_hd__inv_1 */
/* removed sky130_fd_sc_hd__inv_12 */
cell ("sky130_fd_sc_hd__inv_16") {
leakage_power () {
value : 17.521456300;
when : "A";
}
leakage_power () {
value : 15.866461900;
when : "!A";
}
area : 20.019200000;
cell_footprint : "sky130_fd_sc_hd__inv";
cell_leakage_power : 16.693960000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0335800000;
clock : "false";
direction : "input";
fall_capacitance : 0.0322860000;
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0348740000;
}
pin ("Y") {
direction : "output";
function : "(!A)";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0022167570, 0.0098280250, 0.0435726900, 0.1931802000, 0.8564671000, 3.7971590000");
values("-0.023264900, -0.024928100, -0.033028700, -0.074210300, -0.264879000, -1.113708800, -4.877817200", \
"-0.027165800, -0.028808200, -0.036573900, -0.076078100, -0.265522600, -1.113969800, -4.878075100", \
"-0.030994600, -0.032756900, -0.040845200, -0.079664600, -0.266845800, -1.114369400, -4.878152400", \
"-0.033004800, -0.034618600, -0.043649800, -0.083795600, -0.269779300, -1.115208300, -4.878397200", \
"-0.030764400, -0.032915100, -0.042557100, -0.084204000, -0.273449200, -1.116935800, -4.878818900", \
"-0.024208300, -0.026663000, -0.037046000, -0.081706000, -0.272993600, -1.119818300, -4.880042200", \
"-0.000167300, -0.002885300, -0.014381200, -0.061627900, -0.261913500, -1.113053000, -4.879755800");
}
related_pin : "A";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0022167570, 0.0098280250, 0.0435726900, 0.1931802000, 0.8564671000, 3.7971590000");
values("0.0829732000, 0.0859136000, 0.0978195000, 0.1432567000, 0.3334370000, 1.1703752000, 4.8964800000", \
"0.0801394000, 0.0828383000, 0.0943607000, 0.1410036000, 0.3326438000, 1.1698933000, 4.8952343000", \
"0.0782168000, 0.0808316000, 0.0915297000, 0.1372615000, 0.3315734000, 1.1699009000, 4.8958063000", \
"0.0790870000, 0.0810118000, 0.0904641000, 0.1345151000, 0.3273878000, 1.1728968000, 4.8917110000", \
"0.0792230000, 0.0813041000, 0.0907907000, 0.1337387000, 0.3237467000, 1.1670842000, 4.8897000000", \
"0.0831903000, 0.0852909000, 0.0939789000, 0.1350241000, 0.3274197000, 1.1611999000, 4.8895335000", \
"0.1058110000, 0.1074615000, 0.1152121000, 0.1531581000, 0.3356986000, 1.1684491000, 4.8837627000");
}
}
max_capacitance : 1.1261080000;
max_transition : 1.5019470000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0022167600, 0.0098280300, 0.0435727000, 0.1931800000, 0.8564670000, 3.7971600000");
values("0.0240568000, 0.0250812000, 0.0291557000, 0.0448800000, 0.1106491000, 0.4009644000, 1.6770013000", \
"0.0304708000, 0.0314950000, 0.0357271000, 0.0517966000, 0.1180485000, 0.4068361000, 1.6868753000", \
"0.0470449000, 0.0486874000, 0.0549748000, 0.0738814000, 0.1406558000, 0.4310976000, 1.7093059000", \
"0.0729248000, 0.0758855000, 0.0875412000, 0.1222829000, 0.2053716000, 0.4942626000, 1.7743161000", \
"0.1070890000, 0.1129760000, 0.1352982000, 0.2021229000, 0.3554622000, 0.6789903000, 1.9587123000", \
"0.1300625000, 0.1412524000, 0.1846528000, 0.3154104000, 0.6042267000, 1.1622683000, 2.4808813000", \
"0.0723867000, 0.0934961000, 0.1729599000, 0.4177775000, 0.9688834000, 2.0162685000, 3.9568433000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0022167600, 0.0098280300, 0.0435727000, 0.1931800000, 0.8564670000, 3.7971600000");
values("0.0374201000, 0.0395859000, 0.0483023000, 0.0811852000, 0.2196541000, 0.8185079000, 3.4927317000", \
"0.0438596000, 0.0458746000, 0.0543325000, 0.0879472000, 0.2274846000, 0.8268757000, 3.4865297000", \
"0.0674799000, 0.0694965000, 0.0775574000, 0.1105947000, 0.2497005000, 0.8512249000, 3.5119456000", \
"0.1132094000, 0.1170168000, 0.1328556000, 0.1792208000, 0.3182578000, 0.9216845000, 3.5825163000", \
"0.1926663000, 0.1999913000, 0.2295040000, 0.3183807000, 0.5173661000, 1.1194405000, 3.7757437000", \
"0.3298897000, 0.3444881000, 0.3995264000, 0.5662700000, 0.9454347000, 1.6834929000, 4.3417967000", \
"0.5914839000, 0.6147900000, 0.7083207000, 1.0058896000, 1.7162705000, 3.0855891000, 5.9366259000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0022167600, 0.0098280300, 0.0435727000, 0.1931800000, 0.8564670000, 3.7971600000");
values("0.0107077000, 0.0117139000, 0.0163300000, 0.0375144000, 0.1321696000, 0.5528920000, 2.4128919000", \
"0.0108486000, 0.0118437000, 0.0163932000, 0.0374141000, 0.1321801000, 0.5533003000, 2.4130324000", \
"0.0182613000, 0.0192837000, 0.0234493000, 0.0398944000, 0.1322193000, 0.5528585000, 2.4109423000", \
"0.0365824000, 0.0385147000, 0.0453081000, 0.0673056000, 0.1409445000, 0.5522132000, 2.4124114000", \
"0.0785181000, 0.0817536000, 0.0943364000, 0.1319794000, 0.2230343000, 0.5630511000, 2.4177758000", \
"0.1691763000, 0.1756152000, 0.2013673000, 0.2684636000, 0.4198080000, 0.7714854000, 2.4124698000", \
"0.3606800000, 0.3737403000, 0.4249669000, 0.5587164000, 0.8433655000, 1.3909527000, 2.8000382000");
}
related_pin : "A";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0022167600, 0.0098280300, 0.0435727000, 0.1931800000, 0.8564670000, 3.7971600000");
values("0.0216817000, 0.0238908000, 0.0337539000, 0.0776570000, 0.2736476000, 1.1377798000, 4.9764557000", \
"0.0217278000, 0.0239147000, 0.0337826000, 0.0780266000, 0.2733708000, 1.1390043000, 4.9695035000", \
"0.0264377000, 0.0279971000, 0.0358826000, 0.0779425000, 0.2739857000, 1.1380971000, 4.9702025000", \
"0.0520893000, 0.0547484000, 0.0637484000, 0.0953257000, 0.2731603000, 1.1435038000, 4.9641176000", \
"0.1043289000, 0.1094463000, 0.1262480000, 0.1775155000, 0.3178230000, 1.1404835000, 4.9699453000", \
"0.2072616000, 0.2189856000, 0.2497635000, 0.3437008000, 0.5622407000, 1.2024970000, 4.9732991000", \
"0.4083987000, 0.4245343000, 0.4866575000, 0.6730604000, 1.0768341000, 1.8720017000, 4.9998987000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
}
}
cell ("sky130_fd_sc_hd__inv_2") {
leakage_power () {
value : 8.0462881000;
when : "A";
}
leakage_power () {
value : 1.1026489000;
when : "!A";
}
area : 3.7536000000;
cell_footprint : "sky130_fd_sc_hd__inv";
cell_leakage_power : 4.5744680000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0044550000;
clock : "false";
direction : "input";
fall_capacitance : 0.0043000000;
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0046090000;
}
pin ("Y") {
direction : "output";
function : "(!A)";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0016743120, 0.0056066410, 0.0187745300, 0.0628688400, 0.2105241000, 0.7049660000");
values("-0.003705400, -0.004969100, -0.009708900, -0.026407300, -0.082785800, -0.271767600, -0.904661200", \
"-0.004249500, -0.005468700, -0.010025400, -0.026527800, -0.082835300, -0.271799600, -0.904666100", \
"-0.004713100, -0.006014700, -0.010554200, -0.026836400, -0.082946800, -0.271826600, -0.904682200", \
"-0.004910300, -0.006326400, -0.011041700, -0.027324600, -0.083199300, -0.271907500, -0.904679600", \
"-0.004574500, -0.006114500, -0.011050000, -0.027825400, -0.083676700, -0.272122400, -0.904789300", \
"-0.003284700, -0.005114200, -0.010339800, -0.027406900, -0.083927800, -0.272465200, -0.904919000", \
"0.0006659000, -0.001172600, -0.007012900, -0.024872400, -0.082065300, -0.271227300, -0.904481500");
}
related_pin : "A";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0016743120, 0.0056066410, 0.0187745300, 0.0628688400, 0.2105241000, 0.7049660000");
values("0.0111003000, 0.0128470000, 0.0181655000, 0.0348694000, 0.0903323000, 0.2798997000, 0.9055318000", \
"0.0107159000, 0.0124308000, 0.0178329000, 0.0350151000, 0.0910882000, 0.2776161000, 0.9057693000", \
"0.0104599000, 0.0120796000, 0.0173860000, 0.0344139000, 0.0907727000, 0.2780156000, 0.9058080000", \
"0.0103981000, 0.0119420000, 0.0170788000, 0.0339643000, 0.0904383000, 0.2777061000, 0.9031373000", \
"0.0106798000, 0.0121461000, 0.0170690000, 0.0338569000, 0.0899525000, 0.2757185000, 0.9027527000", \
"0.0113911000, 0.0127607000, 0.0176115000, 0.0339932000, 0.0898645000, 0.2751524000, 0.9062384000", \
"0.0160721000, 0.0171517000, 0.0219284000, 0.0365377000, 0.0917764000, 0.2763097000, 0.9039865000");
}
}
max_capacitance : 0.2096660000;
max_transition : 1.4982890000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016743100, 0.0056066400, 0.0187745000, 0.0628688000, 0.2105240000, 0.7049660000");
values("0.0201543000, 0.0242368000, 0.0367741000, 0.0767486000, 0.2107039000, 0.6569278000, 2.1378928000", \
"0.0275806000, 0.0318591000, 0.0447310000, 0.0851060000, 0.2176512000, 0.6614025000, 2.1462971000", \
"0.0460691000, 0.0527876000, 0.0682858000, 0.1090475000, 0.2407914000, 0.6846960000, 2.1825701000", \
"0.0780195000, 0.0906913000, 0.1199202000, 0.1773087000, 0.3115079000, 0.7585163000, 2.2325355000", \
"0.1293398000, 0.1540185000, 0.2108914000, 0.3197899000, 0.5065947000, 0.9480016000, 2.4306475000", \
"0.2057668000, 0.2519789000, 0.3618822000, 0.5704469000, 0.9232306000, 1.5044187000, 2.9827401000", \
"0.3047398000, 0.3887953000, 0.5892088000, 0.9890467000, 1.6603745000, 2.7484965000, 4.5474642000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016743100, 0.0056066400, 0.0187745000, 0.0628688000, 0.2105240000, 0.7049660000");
values("0.0273257000, 0.0341500000, 0.0549666000, 0.1208542000, 0.3395911000, 1.0780654000, 3.5306644000", \
"0.0347768000, 0.0414764000, 0.0624690000, 0.1294195000, 0.3497931000, 1.0792917000, 3.5382888000", \
"0.0564789000, 0.0648751000, 0.0854329000, 0.1521474000, 0.3723408000, 1.0978856000, 3.5530485000", \
"0.0927234000, 0.1090378000, 0.1463970000, 0.2203999000, 0.4409140000, 1.1737956000, 3.6074022000", \
"0.1474230000, 0.1794026000, 0.2520124000, 0.3903328000, 0.6338265000, 1.3567309000, 3.8041832000", \
"0.2212780000, 0.2788444000, 0.4165556000, 0.6814150000, 1.1263180000, 1.9121038000, 4.3574215000", \
"0.3123244000, 0.4084328000, 0.6462307000, 1.1363105000, 1.9918940000, 3.3583645000, 5.9237122000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016743100, 0.0056066400, 0.0187745000, 0.0628688000, 0.2105240000, 0.7049660000");
values("0.0096630000, 0.0145128000, 0.0305067000, 0.0842356000, 0.2687968000, 0.8695308000, 2.8848750000", \
"0.0098387000, 0.0144787000, 0.0305172000, 0.0843907000, 0.2648254000, 0.8667080000, 2.8867964000", \
"0.0179453000, 0.0220399000, 0.0337978000, 0.0844983000, 0.2645064000, 0.8668865000, 2.9034743000", \
"0.0372068000, 0.0445575000, 0.0617569000, 0.0998459000, 0.2675833000, 0.8695272000, 2.8859146000", \
"0.0804586000, 0.0936551000, 0.1236651000, 0.1832521000, 0.3091802000, 0.8770748000, 2.8851388000", \
"0.1696147000, 0.1988597000, 0.2582194000, 0.3629120000, 0.5493438000, 0.9728138000, 2.8935077000", \
"0.3620511000, 0.4121781000, 0.5283259000, 0.7382924000, 1.0754846000, 1.6634778000, 3.1165184000");
}
related_pin : "A";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016743100, 0.0056066400, 0.0187745000, 0.0628688000, 0.2105240000, 0.7049660000");
values("0.0170278000, 0.0253265000, 0.0531537000, 0.1448864000, 0.4543868000, 1.5035532000, 4.9547531000", \
"0.0170148000, 0.0252959000, 0.0531096000, 0.1468144000, 0.4574031000, 1.4885607000, 4.9423363000", \
"0.0256879000, 0.0309418000, 0.0539083000, 0.1449285000, 0.4560867000, 1.4970999000, 4.9639692000", \
"0.0506896000, 0.0601200000, 0.0851510000, 0.1522885000, 0.4584382000, 1.4983979000, 4.9476649000", \
"0.1028803000, 0.1207750000, 0.1616066000, 0.2404391000, 0.4724894000, 1.4916818000, 4.9500999000", \
"0.2041533000, 0.2393139000, 0.3206106000, 0.4620019000, 0.7093829000, 1.5255074000, 4.9538271000", \
"0.3988489000, 0.4647024000, 0.6243500000, 0.9122493000, 1.3666780000, 2.1448732000, 4.9993956000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
}
}
cell ("sky130_fd_sc_hd__inv_4") {
leakage_power () {
value : 9.3245447000;
when : "A";
}
leakage_power () {
value : 2.8762990000;
when : "!A";
}
area : 6.2560000000;
cell_footprint : "sky130_fd_sc_hd__inv";
cell_leakage_power : 6.1004220000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0089730000;
clock : "false";
direction : "input";
fall_capacitance : 0.0086400000;
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0093060000;
}
pin ("Y") {
direction : "output";
function : "(!A)";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018337950, 0.0067256060, 0.0246667600, 0.0904675600, 0.3317978000, 1.2168980000");
values("-0.006435300, -0.007781900, -0.013446600, -0.035995600, -0.120075700, -0.428982300, -1.561813700", \
"-0.007526000, -0.008850600, -0.014214800, -0.036302900, -0.120150300, -0.428944200, -1.562090000", \
"-0.008531200, -0.009959300, -0.015362700, -0.037040000, -0.120414000, -0.429037700, -1.561880400", \
"-0.009019400, -0.010592700, -0.016372400, -0.038168700, -0.121054300, -0.429219000, -1.561963900", \
"-0.008398000, -0.010096700, -0.016271500, -0.038747500, -0.122087400, -0.429788800, -1.561972200", \
"-0.006164800, -0.008255900, -0.014888100, -0.038177000, -0.122427900, -0.430408500, -1.562422800", \
"0.0016765000, -0.000339400, -0.008815700, -0.033068100, -0.119740500, -0.429222300, -1.562596400");
}
related_pin : "A";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018337950, 0.0067256060, 0.0246667600, 0.0904675600, 0.3317978000, 1.2168980000");
values("0.0220282000, 0.0241615000, 0.0310983000, 0.0541296000, 0.1383511000, 0.4406541000, 1.5719661000", \
"0.0212416000, 0.0232662000, 0.0301918000, 0.0538575000, 0.1382957000, 0.4431047000, 1.5620602000", \
"0.0208357000, 0.0226300000, 0.0293320000, 0.0530528000, 0.1376114000, 0.4442661000, 1.5616853000", \
"0.0206113000, 0.0223625000, 0.0287967000, 0.0520020000, 0.1355591000, 0.4395571000, 1.5671948000", \
"0.0212815000, 0.0229325000, 0.0289891000, 0.0520910000, 0.1353397000, 0.4419374000, 1.5647989000", \
"0.0221447000, 0.0237663000, 0.0297351000, 0.0518738000, 0.1359515000, 0.4403767000, 1.5617721000", \
"0.0296644000, 0.0308497000, 0.0367565000, 0.0588187000, 0.1387801000, 0.4420218000, 1.5630013000");
}
}
max_capacitance : 0.3607280000;
max_transition : 1.4965350000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018337900, 0.0067256100, 0.0246668000, 0.0904676000, 0.3317980000, 1.2169000000");
values("0.0199101000, 0.0224080000, 0.0307241000, 0.0590257000, 0.1598147000, 0.5299596000, 1.9013089000", \
"0.0273040000, 0.0298267000, 0.0383869000, 0.0669939000, 0.1680437000, 0.5382428000, 1.9021049000", \
"0.0448878000, 0.0491283000, 0.0608417000, 0.0902987000, 0.1923940000, 0.5603147000, 1.9158599000", \
"0.0740565000, 0.0819608000, 0.1040430000, 0.1534859000, 0.2594771000, 0.6305508000, 1.9842115000", \
"0.1178011000, 0.1332494000, 0.1758740000, 0.2696083000, 0.4441140000, 0.8195901000, 2.1726565000", \
"0.1715142000, 0.2002866000, 0.2817437000, 0.4631240000, 0.7928522000, 1.3615774000, 2.7113987000", \
"0.2013805000, 0.2551024000, 0.4054766000, 0.7502760000, 1.3820325000, 2.4483535000, 4.2504604000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018337900, 0.0067256100, 0.0246668000, 0.0904676000, 0.3317980000, 1.2169000000");
values("0.0300637000, 0.0348893000, 0.0508031000, 0.1037872000, 0.2964256000, 0.9922375000, 3.5606280000", \
"0.0373774000, 0.0419770000, 0.0576191000, 0.1115585000, 0.3036232000, 0.9985883000, 3.5550627000", \
"0.0604517000, 0.0656275000, 0.0810602000, 0.1348047000, 0.3276916000, 1.0285685000, 3.5787617000", \
"0.1011081000, 0.1115290000, 0.1402581000, 0.2034975000, 0.3957750000, 1.0901668000, 3.6551713000", \
"0.1666873000, 0.1872701000, 0.2429865000, 0.3642922000, 0.5915473000, 1.2888536000, 3.8366053000", \
"0.2699448000, 0.3068638000, 0.4117412000, 0.6435673000, 1.0690930000, 1.8417561000, 4.3950683000", \
"0.4367810000, 0.4993312000, 0.6805096000, 1.1040054000, 1.9169351000, 3.2940004000, 5.9790808000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018337900, 0.0067256100, 0.0246668000, 0.0904676000, 0.3317980000, 1.2169000000");
values("0.0093584000, 0.0121764000, 0.0226199000, 0.0612550000, 0.1995716000, 0.7105022000, 2.5912414000", \
"0.0095218000, 0.0122008000, 0.0225468000, 0.0609119000, 0.1991084000, 0.7101356000, 2.5828222000", \
"0.0175745000, 0.0202515000, 0.0279960000, 0.0611277000, 0.2007941000, 0.7112392000, 2.5804132000", \
"0.0364981000, 0.0409858000, 0.0536847000, 0.0847537000, 0.2024869000, 0.7099347000, 2.5836491000", \
"0.0787817000, 0.0874709000, 0.1099129000, 0.1619566000, 0.2676867000, 0.7170598000, 2.5806661000", \
"0.1690491000, 0.1874376000, 0.2338703000, 0.3236389000, 0.4958481000, 0.8620747000, 2.5842801000", \
"0.3593505000, 0.3933768000, 0.4865184000, 0.6656989000, 0.9850450000, 1.5414887000, 2.8872209000");
}
related_pin : "A";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018337900, 0.0067256100, 0.0246668000, 0.0904676000, 0.3317980000, 1.2169000000");
values("0.0187894000, 0.0242335000, 0.0442111000, 0.1172697000, 0.3887020000, 1.3671276000, 4.9717657000", \
"0.0187651000, 0.0241539000, 0.0442507000, 0.1178304000, 0.3852045000, 1.3691631000, 4.9579949000", \
"0.0258970000, 0.0293670000, 0.0452440000, 0.1177954000, 0.3883360000, 1.3720448000, 4.9573785000", \
"0.0509390000, 0.0569967000, 0.0745786000, 0.1271158000, 0.3856155000, 1.3651221000, 4.9684301000", \
"0.1044033000, 0.1153943000, 0.1457087000, 0.2154737000, 0.4100985000, 1.3668287000, 4.9503428000", \
"0.2071795000, 0.2307473000, 0.2921879000, 0.4187435000, 0.6607797000, 1.4084524000, 4.9688502000", \
"0.4042722000, 0.4462116000, 0.5642403000, 0.8180418000, 1.2628181000, 2.0271718000, 4.9992974000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
}
}
/* removed sky130_fd_sc_hd__inv_6 */
cell ("sky130_fd_sc_hd__inv_8") {
leakage_power () {
value : 12.111698300;
when : "A";
}
leakage_power () {
value : 6.9832442000;
when : "!A";
}
area : 11.260800000;
cell_footprint : "sky130_fd_sc_hd__inv";
cell_leakage_power : 9.5474710000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0176150000;
clock : "false";
direction : "input";
fall_capacitance : 0.0169410000;
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0182900000;
}
pin ("Y") {
direction : "output";
function : "(!A)";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0020284780, 0.0082294490, 0.0333865200, 0.1354476000, 0.5495052000, 2.2293190000");
values("-0.011607200, -0.013139700, -0.020041300, -0.051191900, -0.181424600, -0.711331700, -2.861444800", \
"-0.013785600, -0.015274100, -0.021774200, -0.051990400, -0.181649700, -0.711351000, -2.861527400", \
"-0.015758600, -0.017358500, -0.024097300, -0.053663000, -0.182255000, -0.711562300, -2.861472100", \
"-0.016783300, -0.018594200, -0.025811400, -0.055981500, -0.183679800, -0.711953900, -2.861601300", \
"-0.014872200, -0.016891600, -0.024757400, -0.056854300, -0.185802700, -0.712940000, -2.862108900", \
"-0.011534100, -0.012849400, -0.022657700, -0.055571700, -0.186107100, -0.714620300, -2.862397800", \
"0.0020193000, -0.000208000, -0.009021000, -0.045159100, -0.180687600, -0.712288500, -2.862542100");
}
related_pin : "A";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0020284780, 0.0082294490, 0.0333865200, 0.1354476000, 0.5495052000, 2.2293190000");
values("0.0431143000, 0.0456592000, 0.0548867000, 0.0882915000, 0.2170529000, 0.7452498000, 2.8686678000", \
"0.0415111000, 0.0439244000, 0.0530466000, 0.0871785000, 0.2177919000, 0.7458939000, 2.8747418000", \
"0.0405855000, 0.0427870000, 0.0513371000, 0.0844254000, 0.2173731000, 0.7417137000, 2.8749535000", \
"0.0402195000, 0.0422139000, 0.0504790000, 0.0831378000, 0.2134851000, 0.7425152000, 2.8754674000", \
"0.0410685000, 0.0429705000, 0.0508749000, 0.0827932000, 0.2134906000, 0.7344068000, 2.8808094000", \
"0.0446395000, 0.0465979000, 0.0519391000, 0.0830881000, 0.2141878000, 0.7342080000, 2.8784524000", \
"0.0567902000, 0.0580681000, 0.0643208000, 0.0938234000, 0.2188686000, 0.7383423000, 2.8689305000");
}
}
max_capacitance : 0.6610210000;
max_transition : 1.4997870000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0020284800, 0.0082294500, 0.0333865000, 0.1354480000, 0.5495050000, 2.2293200000");
values("0.0201963000, 0.0216492000, 0.0271108000, 0.0473052000, 0.1261516000, 0.4483837000, 1.7463244000", \
"0.0273418000, 0.0287970000, 0.0345507000, 0.0552444000, 0.1345528000, 0.4540365000, 1.7474394000", \
"0.0443535000, 0.0468984000, 0.0554664000, 0.0780399000, 0.1581632000, 0.4756175000, 1.7778430000", \
"0.0716045000, 0.0764386000, 0.0923887000, 0.1334368000, 0.2244871000, 0.5455008000, 1.8413957000", \
"0.1099968000, 0.1191177000, 0.1495707000, 0.2304096000, 0.3920417000, 0.7331849000, 2.0284614000", \
"0.1463529000, 0.1647252000, 0.2238353000, 0.3796455000, 0.6883255000, 1.2462376000, 2.5599129000", \
"0.1264451000, 0.1597574000, 0.2710904000, 0.5661349000, 1.1627138000, 2.2163031000, 4.0761363000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0020284800, 0.0082294500, 0.0333865000, 0.1354480000, 0.5495050000, 2.2293200000");
values("0.0321633000, 0.0352866000, 0.0469400000, 0.0891337000, 0.2511915000, 0.9137252000, 3.5660628000", \
"0.0394223000, 0.0423492000, 0.0537633000, 0.0963063000, 0.2591834000, 0.9219328000, 3.5702783000", \
"0.0632166000, 0.0664871000, 0.0771862000, 0.1183199000, 0.2826702000, 0.9372798000, 3.5924499000", \
"0.1070145000, 0.1134558000, 0.1346941000, 0.1891140000, 0.3517548000, 1.0113440000, 3.6734822000", \
"0.1813049000, 0.1937036000, 0.2355426000, 0.3395774000, 0.5503369000, 1.2058601000, 3.8705901000", \
"0.3064267000, 0.3296115000, 0.4047923000, 0.6060947000, 1.0133961000, 1.7719035000, 4.4291295000", \
"0.5312756000, 0.5705127000, 0.7014626000, 1.0644604000, 1.8329671000, 3.2157121000, 6.0242424000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0020284800, 0.0082294500, 0.0333865000, 0.1354480000, 0.5495050000, 2.2293200000");
values("0.0092123000, 0.0107922000, 0.0173340000, 0.0438971000, 0.1518688000, 0.5995732000, 2.3652891000", \
"0.0093870000, 0.0108653000, 0.0173758000, 0.0439892000, 0.1516342000, 0.5925668000, 2.3635811000", \
"0.0173080000, 0.0189039000, 0.0245519000, 0.0455181000, 0.1530740000, 0.5891809000, 2.3665703000", \
"0.0359983000, 0.0387869000, 0.0478025000, 0.0737989000, 0.1573762000, 0.5950214000, 2.3656619000", \
"0.0782822000, 0.0836712000, 0.1003716000, 0.1419867000, 0.2361641000, 0.5965690000, 2.3692055000", \
"0.1686721000, 0.1794581000, 0.2148015000, 0.2956147000, 0.4492975000, 0.7892147000, 2.3642801000", \
"0.3620371000, 0.3847093000, 0.4468384000, 0.6057412000, 0.9084244000, 1.4463359000, 2.7183316000");
}
related_pin : "A";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0020284800, 0.0082294500, 0.0333865000, 0.1354480000, 0.5495050000, 2.2293200000");
values("0.0196731000, 0.0230810000, 0.0367549000, 0.0936871000, 0.3193489000, 1.2548386000, 4.9682538000", \
"0.0196395000, 0.0230997000, 0.0369567000, 0.0931401000, 0.3202465000, 1.2455724000, 4.9606492000", \
"0.0255007000, 0.0277364000, 0.0386596000, 0.0930930000, 0.3219568000, 1.2397589000, 4.9616258000", \
"0.0513222000, 0.0549363000, 0.0678639000, 0.1063532000, 0.3190328000, 1.2531135000, 4.9650213000", \
"0.1045570000, 0.1111442000, 0.1348656000, 0.1936930000, 0.3540906000, 1.2412955000, 4.9744249000", \
"0.2084018000, 0.2228315000, 0.2680451000, 0.3798601000, 0.6058430000, 1.2902106000, 4.9777014000", \
"0.4081319000, 0.4338404000, 0.5222905000, 0.7469458000, 1.1629098000, 1.9258346000, 4.9900839000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
}
}
/* removed sky130_fd_sc_hd__lpflow_bleeder_1 */
/* removed sky130_fd_sc_hd__lpflow_clkbufkapwr_1 */
/* removed sky130_fd_sc_hd__lpflow_clkbufkapwr_16 */
/* removed sky130_fd_sc_hd__lpflow_clkbufkapwr_2 */
/* removed sky130_fd_sc_hd__lpflow_clkbufkapwr_4 */
/* removed sky130_fd_sc_hd__lpflow_clkbufkapwr_8 */
/* removed sky130_fd_sc_hd__lpflow_clkinvkapwr_1 */
/* removed sky130_fd_sc_hd__lpflow_clkinvkapwr_16 */
/* removed sky130_fd_sc_hd__lpflow_clkinvkapwr_2 */
/* removed sky130_fd_sc_hd__lpflow_clkinvkapwr_4 */
/* removed sky130_fd_sc_hd__lpflow_clkinvkapwr_8 */
/* removed sky130_fd_sc_hd__lpflow_decapkapwr_12 */
/* removed sky130_fd_sc_hd__lpflow_decapkapwr_3 */
/* removed sky130_fd_sc_hd__lpflow_decapkapwr_4 */
/* removed sky130_fd_sc_hd__lpflow_decapkapwr_6 */
/* removed sky130_fd_sc_hd__lpflow_decapkapwr_8 */
/* removed sky130_fd_sc_hd__lpflow_inputiso0n_1 */
/* removed sky130_fd_sc_hd__lpflow_inputiso0p_1 */
/* removed sky130_fd_sc_hd__lpflow_inputiso1n_1 */
/* removed sky130_fd_sc_hd__lpflow_inputiso1p_1 */
/* removed sky130_fd_sc_hd__lpflow_inputisolatch_1 */
/* removed sky130_fd_sc_hd__lpflow_isobufsrc_1 */
/* removed sky130_fd_sc_hd__lpflow_isobufsrc_16 */
/* removed sky130_fd_sc_hd__lpflow_isobufsrc_2 */
/* removed sky130_fd_sc_hd__lpflow_isobufsrc_4 */
/* removed sky130_fd_sc_hd__lpflow_isobufsrc_8 */
/* removed sky130_fd_sc_hd__lpflow_isobufsrckapwr_16 */
/* removed sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_1 */
/* removed sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_2 */
/* removed sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_4 */
/* removed sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_4 */
/* removed sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_1 */
/* removed sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_2 */
/* removed sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_4 */
/* removed sky130_fd_sc_hd__macro_sparecell */
/* removed sky130_fd_sc_hd__maj3_1 */
/* removed sky130_fd_sc_hd__maj3_2 */
/* removed sky130_fd_sc_hd__maj3_4 */
/* removed sky130_fd_sc_hd__mux2_1 */
/* removed sky130_fd_sc_hd__mux2_2 */
/* removed sky130_fd_sc_hd__mux2_4 */
/* removed sky130_fd_sc_hd__mux2_8 */
/* removed sky130_fd_sc_hd__mux2i_1 */
/* removed sky130_fd_sc_hd__mux2i_2 */
/* removed sky130_fd_sc_hd__mux2i_4 */
/* removed sky130_fd_sc_hd__mux4_1 */
/* removed sky130_fd_sc_hd__mux4_2 */
/* removed sky130_fd_sc_hd__mux4_4 */
/* removed sky130_fd_sc_hd__nand2_1 */
/* removed sky130_fd_sc_hd__nand2_2 */
cell ("sky130_fd_sc_hd__nand2_4") {
leakage_power () {
value : 3.4920331000;
when : "!A&B";
}
leakage_power () {
value : 0.2579170000;
when : "!A&!B";
}
leakage_power () {
value : 12.111617500;
when : "A&B";
}
leakage_power () {
value : 2.7457694000;
when : "A&!B";
}
area : 11.260800000;
cell_footprint : "sky130_fd_sc_hd__nand2";
cell_leakage_power : 4.6518340000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0085710000;
clock : "false";
direction : "input";
fall_capacitance : 0.0083350000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0148231000, 0.0148067000, 0.0147811000, 0.0147729000, 0.0147699000, 0.0147820000, 0.0148364000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.010975300, -0.010997800, -0.011059100, -0.011026800, -0.010933700, -0.010669700, -0.009923800");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0088070000;
}
pin ("B") {
capacitance : 0.0088420000;
clock : "false";
direction : "input";
fall_capacitance : 0.0084430000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0130986000, 0.0130763000, 0.0130396000, 0.0130213000, 0.0129957000, 0.0129497000, 0.0128456000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.013060000, -0.013054200, -0.013035800, -0.013036400, -0.013036000, -0.013033000, -0.013022600");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0092420000;
}
pin ("Y") {
direction : "output";
function : "(!A) | (!B)";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018135050, 0.0065776020, 0.0238570300, 0.0865296900, 0.3138441000, 1.1383160000");
values("0.0086314000, 0.0071138000, 0.0013088000, -0.020501300, -0.100605800, -0.391579400, -1.446785000", \
"0.0076525000, 0.0061834000, 0.0006671000, -0.020754000, -0.100680100, -0.391545900, -1.446879000", \
"0.0066289000, 0.0051748000, -0.000256000, -0.021374900, -0.100806100, -0.391560900, -1.446874300", \
"0.0058709000, 0.0043254000, -0.001274500, -0.022408100, -0.101461500, -0.391785200, -1.446916200", \
"0.0057423000, 0.0040863000, -0.001888100, -0.023521600, -0.102551100, -0.392333100, -1.447074700", \
"0.0072647000, 0.0055128000, -0.000752200, -0.023101100, -0.103462800, -0.393210200, -1.447646900", \
"0.0134692000, 0.0106551000, 0.0035264000, -0.019905400, -0.101630000, -0.392936900, -1.448444800");
}
related_pin : "A";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018135050, 0.0065776020, 0.0238570300, 0.0865296900, 0.3138441000, 1.1383160000");
values("0.0084603000, 0.0104448000, 0.0170240000, 0.0393998000, 0.1188635000, 0.4040359000, 1.4534376000", \
"0.0076384000, 0.0096269000, 0.0163134000, 0.0391905000, 0.1188957000, 0.4071407000, 1.4542287000", \
"0.0068260000, 0.0087227000, 0.0154024000, 0.0385452000, 0.1179452000, 0.4068935000, 1.4535007000", \
"0.0064115000, 0.0081729000, 0.0145016000, 0.0370368000, 0.1174350000, 0.4061769000, 1.4582908000", \
"0.0069189000, 0.0086123000, 0.0147232000, 0.0369265000, 0.1164808000, 0.4055832000, 1.4525239000", \
"0.0073846000, 0.0089340000, 0.0152468000, 0.0360484000, 0.1160036000, 0.4052747000, 1.4451627000", \
"0.0151043000, 0.0145624000, 0.0199992000, 0.0404039000, 0.1188319000, 0.4045980000, 1.4500128000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018135050, 0.0065776020, 0.0238570300, 0.0865296900, 0.3138441000, 1.1383160000");
values("0.0109600000, 0.0093919000, 0.0034835000, -0.018436200, -0.098585000, -0.389498300, -1.444908000", \
"0.0101974000, 0.0086718000, 0.0029889000, -0.018669400, -0.098660100, -0.389553200, -1.444844900", \
"0.0091726000, 0.0076640000, 0.0020948000, -0.019186300, -0.098844600, -0.389621600, -1.444836300", \
"0.0083807000, 0.0068340000, 0.0011308000, -0.020142600, -0.099320100, -0.389755700, -1.445008300", \
"0.0085360000, 0.0069504000, 0.0010870000, -0.020898200, -0.100073600, -0.390046300, -1.444788800", \
"0.0085986000, 0.0069023000, 0.0008287000, -0.021085100, -0.101072300, -0.390859500, -1.445406000", \
"0.0116355000, 0.0098376000, 0.0033512000, -0.019382300, -0.100139000, -0.391341000, -1.445837900");
}
related_pin : "B";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018135050, 0.0065776020, 0.0238570300, 0.0865296900, 0.3138441000, 1.1383160000");
values("0.0197327000, 0.0214349000, 0.0276028000, 0.0497868000, 0.1291193000, 0.4155124000, 1.4593658000", \
"0.0190522000, 0.0207472000, 0.0270195000, 0.0493564000, 0.1288347000, 0.4158858000, 1.4604529000", \
"0.0181573000, 0.0199769000, 0.0262871000, 0.0488988000, 0.1282162000, 0.4146780000, 1.4600884000", \
"0.0175188000, 0.0192471000, 0.0255245000, 0.0479855000, 0.1282757000, 0.4147347000, 1.4587882000", \
"0.0176305000, 0.0193919000, 0.0254103000, 0.0477526000, 0.1270132000, 0.4149279000, 1.4594287000", \
"0.0184232000, 0.0200467000, 0.0258796000, 0.0474742000, 0.1278725000, 0.4120883000, 1.4570798000", \
"0.0240654000, 0.0257116000, 0.0320359000, 0.0525682000, 0.1308716000, 0.4139103000, 1.4556366000");
}
}
max_capacitance : 0.3341770000;
max_transition : 1.4964460000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018135100, 0.0065776000, 0.0238570000, 0.0865297000, 0.3138440000, 1.1383200000");
values("0.0387503000, 0.0431605000, 0.0581109000, 0.1111533000, 0.2998489000, 0.9796463000, 3.4423167000", \
"0.0438786000, 0.0482842000, 0.0640501000, 0.1175517000, 0.3050243000, 0.9843703000, 3.4516021000", \
"0.0632261000, 0.0679041000, 0.0833613000, 0.1372864000, 0.3279315000, 1.0068035000, 3.4816994000", \
"0.1003246000, 0.1088233000, 0.1336798000, 0.1968238000, 0.3877492000, 1.0667350000, 3.5298915000", \
"0.1556212000, 0.1710668000, 0.2174708000, 0.3282619000, 0.5582944000, 1.2399021000, 3.7016366000", \
"0.2208566000, 0.2502076000, 0.3336809000, 0.5383463000, 0.9483160000, 1.7110728000, 4.1712767000", \
"0.2546902000, 0.3047702000, 0.4549675000, 0.8297394000, 1.5671211000, 2.9100753000, 5.5718248000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018135100, 0.0065776000, 0.0238570000, 0.0865297000, 0.3138440000, 1.1383200000");
values("0.0387451000, 0.0435717000, 0.0597907000, 0.1153389000, 0.3112235000, 1.0178790000, 3.5902608000", \
"0.0456881000, 0.0504490000, 0.0668675000, 0.1231334000, 0.3183926000, 1.0304941000, 3.5970458000", \
"0.0694602000, 0.0740467000, 0.0900688000, 0.1467205000, 0.3431561000, 1.0529703000, 3.6178260000", \
"0.1196239000, 0.1285831000, 0.1543458000, 0.2148888000, 0.4137019000, 1.1192505000, 3.6848005000", \
"0.2064551000, 0.2234770000, 0.2735413000, 0.3866877000, 0.6116853000, 1.3161189000, 3.8807393000", \
"0.3513013000, 0.3838373000, 0.4779352000, 0.6971584000, 1.1124638000, 1.8831787000, 4.4160609000", \
"0.6035132000, 0.6594724000, 0.8281913000, 1.2340455000, 2.0282434000, 3.3806540000, 6.0418399000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018135100, 0.0065776000, 0.0238570000, 0.0865297000, 0.3138440000, 1.1383200000");
values("0.0268604000, 0.0321652000, 0.0516470000, 0.1225760000, 0.3790229000, 1.2994011000, 4.6199080000", \
"0.0267772000, 0.0321824000, 0.0516205000, 0.1224854000, 0.3755242000, 1.2987770000, 4.6283843000", \
"0.0301568000, 0.0345819000, 0.0521280000, 0.1216912000, 0.3764122000, 1.2961866000, 4.6237267000", \
"0.0524035000, 0.0581426000, 0.0765525000, 0.1310276000, 0.3776295000, 1.2927205000, 4.6212727000", \
"0.1026959000, 0.1124983000, 0.1394831000, 0.2097859000, 0.4056790000, 1.2998851000, 4.6198565000", \
"0.2096292000, 0.2266320000, 0.2795980000, 0.3923404000, 0.6404765000, 1.3635553000, 4.6230784000", \
"0.4248338000, 0.4577753000, 0.5560472000, 0.7658260000, 1.1703002000, 1.9905264000, 4.7176090000");
}
related_pin : "A";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018135100, 0.0065776000, 0.0238570000, 0.0865297000, 0.3138440000, 1.1383200000");
values("0.0271925000, 0.0329832000, 0.0535473000, 0.1303530000, 0.4005700000, 1.3927939000, 4.9698166000", \
"0.0272291000, 0.0330248000, 0.0535766000, 0.1295000000, 0.4020244000, 1.3952693000, 5.0037334000", \
"0.0306903000, 0.0351611000, 0.0537992000, 0.1292145000, 0.4013163000, 1.3876460000, 4.9687805000", \
"0.0598294000, 0.0645025000, 0.0796355000, 0.1362969000, 0.4020108000, 1.3896377000, 4.9897873000", \
"0.1204276000, 0.1297115000, 0.1578676000, 0.2213529000, 0.4216614000, 1.3903216000, 4.9677622000", \
"0.2427765000, 0.2607101000, 0.3126538000, 0.4302461000, 0.6599713000, 1.4288662000, 4.9693272000", \
"0.4735590000, 0.5104280000, 0.6109791000, 0.8490069000, 1.2889654000, 2.0152517000, 4.9954676000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018135100, 0.0065776000, 0.0238570000, 0.0865297000, 0.3138440000, 1.1383200000");
values("0.0494787000, 0.0537125000, 0.0686165000, 0.1207727000, 0.3081015000, 0.9882272000, 3.4491947000", \
"0.0563364000, 0.0606360000, 0.0761134000, 0.1287941000, 0.3166624000, 0.9956866000, 3.4577736000", \
"0.0757299000, 0.0801280000, 0.0957152000, 0.1492208000, 0.3390786000, 1.0170769000, 3.4801664000", \
"0.1170062000, 0.1231060000, 0.1432355000, 0.2018951000, 0.3929012000, 1.0716310000, 3.5347689000", \
"0.1891875000, 0.2008388000, 0.2347580000, 0.3237939000, 0.5420093000, 1.2268403000, 3.6883292000", \
"0.2854463000, 0.3080114000, 0.3772026000, 0.5439562000, 0.8868282000, 1.6510548000, 4.1197388000", \
"0.3448318000, 0.3931324000, 0.5356576000, 0.8708061000, 1.5151541000, 2.6746783000, 5.3144849000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018135100, 0.0065776000, 0.0238570000, 0.0865297000, 0.3138440000, 1.1383200000");
values("0.0549498000, 0.0594323000, 0.0750722000, 0.1297923000, 0.3243441000, 1.0255465000, 3.5594239000", \
"0.0623473000, 0.0665591000, 0.0824965000, 0.1375502000, 0.3322836000, 1.0322958000, 3.5707597000", \
"0.0857658000, 0.0903727000, 0.1061413000, 0.1610239000, 0.3548535000, 1.0578872000, 3.5924657000", \
"0.1502338000, 0.1564925000, 0.1757607000, 0.2317729000, 0.4266593000, 1.1235279000, 3.6581016000", \
"0.2689600000, 0.2807046000, 0.3180857000, 0.4147448000, 0.6270489000, 1.3256521000, 3.8545944000", \
"0.4753308000, 0.4973949000, 0.5693982000, 0.7545090000, 1.1387571000, 1.8919106000, 4.4196242000", \
"0.8276715000, 0.8673094000, 0.9974261000, 1.3445876000, 2.0826216000, 3.3911807000, 6.0222987000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018135100, 0.0065776000, 0.0238570000, 0.0865297000, 0.3138440000, 1.1383200000");
values("0.0268448000, 0.0322538000, 0.0516046000, 0.1215733000, 0.3745710000, 1.2939059000, 4.6243156000", \
"0.0268913000, 0.0322169000, 0.0517011000, 0.1216349000, 0.3754203000, 1.2980378000, 4.6213438000", \
"0.0276080000, 0.0327333000, 0.0517416000, 0.1219997000, 0.3764117000, 1.2948591000, 4.6174491000", \
"0.0403341000, 0.0457241000, 0.0642135000, 0.1265821000, 0.3774787000, 1.2940041000, 4.6285304000", \
"0.0771126000, 0.0842205000, 0.1073327000, 0.1748126000, 0.3936099000, 1.2992331000, 4.6303961000", \
"0.1722063000, 0.1838271000, 0.2197780000, 0.3103170000, 0.5450574000, 1.3356328000, 4.6218291000", \
"0.4075041000, 0.4278813000, 0.4852205000, 0.6367106000, 0.9558511000, 1.7624463000, 4.7065929000");
}
related_pin : "B";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018135100, 0.0065776000, 0.0238570000, 0.0865297000, 0.3138440000, 1.1383200000");
values("0.0402125000, 0.0459389000, 0.0663704000, 0.1409536000, 0.4113931000, 1.3932295000, 4.9356998000", \
"0.0401338000, 0.0458544000, 0.0664893000, 0.1408252000, 0.4114157000, 1.3897816000, 4.9397522000", \
"0.0399653000, 0.0455869000, 0.0662773000, 0.1409902000, 0.4104818000, 1.3917223000, 4.9395728000", \
"0.0621277000, 0.0669865000, 0.0817843000, 0.1447182000, 0.4113581000, 1.3907212000, 4.9354623000", \
"0.1219644000, 0.1318948000, 0.1574077000, 0.2192394000, 0.4287484000, 1.3917761000, 4.9405822000", \
"0.2476510000, 0.2634528000, 0.3144799000, 0.4290192000, 0.6555083000, 1.4245470000, 4.9387969000", \
"0.4847958000, 0.5164041000, 0.6175955000, 0.8474327000, 1.2687328000, 2.0132687000, 4.9769802000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
}
}
/* removed sky130_fd_sc_hd__nand2_8 */
/* removed sky130_fd_sc_hd__nand2b_1 */
/* removed sky130_fd_sc_hd__nand2b_2 */
/* removed sky130_fd_sc_hd__nand2b_4 */
/* removed sky130_fd_sc_hd__nand3_1 */
/* removed sky130_fd_sc_hd__nand3_2 */
cell ("sky130_fd_sc_hd__nand3_4") {
leakage_power () {
value : 0.2503676000;
when : "!A&!B&C";
}
leakage_power () {
value : 0.1272809000;
when : "!A&!B&!C";
}
leakage_power () {
value : 2.8908780000;
when : "!A&B&C";
}
leakage_power () {
value : 0.2562349000;
when : "!A&B&!C";
}
leakage_power () {
value : 2.7247280000;
when : "A&!B&C";
}
leakage_power () {
value : 0.2575107000;
when : "A&!B&!C";
}
leakage_power () {
value : 21.305901000;
when : "A&B&C";
}
leakage_power () {
value : 2.6169329000;
when : "A&B&!C";
}
area : 17.516800000;
cell_footprint : "sky130_fd_sc_hd__nand3";
cell_leakage_power : 3.8037290000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0087470000;
clock : "false";
direction : "input";
fall_capacitance : 0.0085800000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0152062000, 0.0152015000, 0.0152023000, 0.0151924000, 0.0151784000, 0.0151525000, 0.0150935000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.011512300, -0.011529200, -0.011575000, -0.011543300, -0.011452300, -0.011194400, -0.010466500");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0089150000;
}
pin ("B") {
capacitance : 0.0086520000;
clock : "false";
direction : "input";
fall_capacitance : 0.0083680000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0131857000, 0.0131743000, 0.0131565000, 0.0131731000, 0.0132340000, 0.0134198000, 0.0139575000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.013092100, -0.013088200, -0.013075400, -0.013075600, -0.013074700, -0.013070400, -0.013056900");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0089360000;
}
pin ("C") {
capacitance : 0.0088180000;
clock : "false";
direction : "input";
fall_capacitance : 0.0084140000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0130429000, 0.0130299000, 0.0130078000, 0.0130006000, 0.0129950000, 0.0129938000, 0.0130048000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.013021300, -0.013015300, -0.012996700, -0.012993800, -0.012984300, -0.012956000, -0.012874400");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0092230000;
}
pin ("Y") {
direction : "output";
function : "(!A) | (!B) | (!C)";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0017026790, 0.0057982310, 0.0197450500, 0.0672389600, 0.2289727000, 0.7797340000");
values("0.0131761000, 0.0117720000, 0.0067054000, -0.010874600, -0.071535200, -0.278503500, -0.983477100", \
"0.0122978000, 0.0109252000, 0.0060853000, -0.011219900, -0.071644300, -0.278533000, -0.983505000", \
"0.0112287000, 0.0098843000, 0.0050442000, -0.011887700, -0.071896400, -0.278592500, -0.983486800", \
"0.0102134000, 0.0088011000, 0.0039648000, -0.013214500, -0.072661500, -0.278881400, -0.983680500", \
"0.0098438000, 0.0083606000, 0.0032946000, -0.014128800, -0.073924900, -0.279643000, -0.983852800", \
"0.0114147000, 0.0098330000, 0.0043450000, -0.013667600, -0.074708000, -0.280678500, -0.984673300", \
"0.0177668000, 0.0147743000, 0.0087968000, -0.009881100, -0.072473900, -0.280537400, -0.985316400");
}
related_pin : "A";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0017026790, 0.0057982310, 0.0197450500, 0.0672389600, 0.2289727000, 0.7797340000");
values("0.0108578000, 0.0125784000, 0.0181333000, 0.0361056000, 0.0959788000, 0.3019708000, 0.9971439000", \
"0.0101896000, 0.0119705000, 0.0176906000, 0.0360177000, 0.0961093000, 0.3007828000, 1.0025452000", \
"0.0092744000, 0.0109669000, 0.0167702000, 0.0353757000, 0.0964052000, 0.3015122000, 0.9999872000", \
"0.0085416000, 0.0101779000, 0.0156760000, 0.0341862000, 0.0955663000, 0.3010424000, 0.9976229000", \
"0.0088505000, 0.0103583000, 0.0154048000, 0.0334883000, 0.0937820000, 0.2999377000, 0.9981337000", \
"0.0098874000, 0.0117729000, 0.0156558000, 0.0338030000, 0.0941783000, 0.2982439000, 0.9948047000", \
"0.0154558000, 0.0167586000, 0.0212458000, 0.0376126000, 0.0965270000, 0.3014530000, 0.9972728000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0017026790, 0.0057982310, 0.0197450500, 0.0672389600, 0.2289727000, 0.7797340000");
values("0.0151984000, 0.0136872000, 0.0085198000, -0.009241100, -0.069930200, -0.277031700, -0.981709500", \
"0.0145563000, 0.0131306000, 0.0080898000, -0.009468900, -0.070060700, -0.277005300, -0.982000400", \
"0.0135529000, 0.0121288000, 0.0072510000, -0.010007800, -0.070293600, -0.277086000, -0.982028500", \
"0.0126343000, 0.0111562000, 0.0061874000, -0.010945100, -0.070807300, -0.277287000, -0.982070400", \
"0.0122603000, 0.0107686000, 0.0056626000, -0.011720500, -0.071595500, -0.277614800, -0.982068000", \
"0.0124273000, 0.0109648000, 0.0057348000, -0.011945800, -0.072574000, -0.278563500, -0.982683600", \
"0.0151681000, 0.0135307000, 0.0080000000, -0.010327600, -0.071572700, -0.278917300, -0.983198800");
}
related_pin : "B";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0017026790, 0.0057982310, 0.0197450500, 0.0672389600, 0.2289727000, 0.7797340000");
values("0.0215856000, 0.0231576000, 0.0284376000, 0.0463454000, 0.1067105000, 0.3108609000, 1.0073595000", \
"0.0211215000, 0.0227115000, 0.0280861000, 0.0460618000, 0.1063848000, 0.3108288000, 1.0070628000", \
"0.0203153000, 0.0218674000, 0.0273379000, 0.0456100000, 0.1061987000, 0.3104441000, 1.0072666000", \
"0.0192718000, 0.0208901000, 0.0263840000, 0.0446482000, 0.1054853000, 0.3099347000, 1.0075670000", \
"0.0189347000, 0.0204749000, 0.0257695000, 0.0439218000, 0.1042501000, 0.3089863000, 1.0059636000", \
"0.0201066000, 0.0220016000, 0.0258188000, 0.0442563000, 0.1044336000, 0.3080750000, 1.0023806000", \
"0.0239754000, 0.0253990000, 0.0301839000, 0.0473107000, 0.1058658000, 0.3103791000, 1.0039360000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0017026790, 0.0057982310, 0.0197450500, 0.0672389600, 0.2289727000, 0.7797340000");
values("0.0149314000, 0.0133939000, 0.0082287000, -0.009525500, -0.070270800, -0.277308000, -0.982247500", \
"0.0143509000, 0.0128249000, 0.0078360000, -0.009766500, -0.070379100, -0.277339000, -0.982264800", \
"0.0133040000, 0.0118906000, 0.0070238000, -0.010267300, -0.070597000, -0.277405700, -0.982321500", \
"0.0124290000, 0.0109513000, 0.0059987000, -0.011171200, -0.071087100, -0.277598000, -0.982397700", \
"0.0122283000, 0.0106431000, 0.0055053000, -0.011905000, -0.071833200, -0.277889000, -0.982272900", \
"0.0120841000, 0.0105445000, 0.0053837000, -0.012245300, -0.072807800, -0.278886300, -0.983024800", \
"0.0136839000, 0.0119988000, 0.0067640000, -0.011349500, -0.072276000, -0.279396000, -0.983609600");
}
related_pin : "C";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0017026790, 0.0057982310, 0.0197450500, 0.0672389600, 0.2289727000, 0.7797340000");
values("0.0297095000, 0.0312462000, 0.0365339000, 0.0545485000, 0.1151322000, 0.3199312000, 1.0169634000", \
"0.0292460000, 0.0307823000, 0.0360724000, 0.0541398000, 0.1149689000, 0.3194765000, 1.0156339000", \
"0.0285381000, 0.0301284000, 0.0354710000, 0.0536717000, 0.1142875000, 0.3193128000, 1.0167151000", \
"0.0277429000, 0.0294146000, 0.0346736000, 0.0529397000, 0.1139270000, 0.3196262000, 1.0163654000", \
"0.0274372000, 0.0289946000, 0.0344803000, 0.0525933000, 0.1133020000, 0.3178576000, 1.0156605000", \
"0.0282932000, 0.0296307000, 0.0347821000, 0.0521001000, 0.1137781000, 0.3174041000, 1.0133064000", \
"0.0343225000, 0.0357888000, 0.0401805000, 0.0571491000, 0.1163423000, 0.3187937000, 1.0144089000");
}
}
max_capacitance : 0.2270610000;
max_transition : 1.4984580000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0017026800, 0.0057982300, 0.0197450000, 0.0672390000, 0.2289730000, 0.7797340000");
values("0.0652963000, 0.0716756000, 0.0915121000, 0.1578089000, 0.3816575000, 1.1360070000, 3.7101862000", \
"0.0691789000, 0.0752993000, 0.0960405000, 0.1633535000, 0.3886083000, 1.1436320000, 3.7149310000", \
"0.0857849000, 0.0920672000, 0.1125679000, 0.1806189000, 0.4059093000, 1.1620278000, 3.7420085000", \
"0.1351617000, 0.1432808000, 0.1682967000, 0.2341031000, 0.4643178000, 1.2280208000, 3.8055048000", \
"0.2161016000, 0.2302705000, 0.2726788000, 0.3803589000, 0.6237960000, 1.3826950000, 3.9712691000", \
"0.3325162000, 0.3579975000, 0.4318281000, 0.6213229000, 1.0233187000, 1.8468103000, 4.4195492000", \
"0.4725460000, 0.5162642000, 0.6418354000, 0.9758190000, 1.6831714000, 3.0193832000, 5.7334080000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0017026800, 0.0057982300, 0.0197450000, 0.0672390000, 0.2289730000, 0.7797340000");
values("0.0439360000, 0.0479426000, 0.0609785000, 0.1032309000, 0.2430213000, 0.7213107000, 2.3427151000", \
"0.0512716000, 0.0553338000, 0.0684599000, 0.1111478000, 0.2522455000, 0.7330271000, 2.3665521000", \
"0.0744222000, 0.0783281000, 0.0918803000, 0.1350045000, 0.2765452000, 0.7513661000, 2.3726454000", \
"0.1276094000, 0.1348308000, 0.1548224000, 0.2032157000, 0.3448184000, 0.8178281000, 2.4556630000", \
"0.2169307000, 0.2300517000, 0.2690931000, 0.3610507000, 0.5408536000, 1.0169693000, 2.6355385000", \
"0.3614848000, 0.3856265000, 0.4585554000, 0.6353952000, 0.9801980000, 1.5744483000, 3.1859919000", \
"0.5839971000, 0.6267182000, 0.7570757000, 1.0790764000, 1.7332664000, 2.8645606000, 4.7613815000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0017026800, 0.0057982300, 0.0197450000, 0.0672390000, 0.2289730000, 0.7797340000");
values("0.0591042000, 0.0669315000, 0.0926722000, 0.1802553000, 0.4779963000, 1.4904838000, 4.9371218000", \
"0.0590299000, 0.0664805000, 0.0925669000, 0.1804803000, 0.4786121000, 1.4907142000, 4.9249360000", \
"0.0579561000, 0.0654997000, 0.0921278000, 0.1805744000, 0.4789916000, 1.4933120000, 4.9301039000", \
"0.0784622000, 0.0856676000, 0.1057995000, 0.1825440000, 0.4803693000, 1.4936169000, 4.9423838000", \
"0.1356954000, 0.1449723000, 0.1733643000, 0.2515765000, 0.4938904000, 1.4939235000, 4.9429092000", \
"0.2597379000, 0.2749958000, 0.3227313000, 0.4401567000, 0.7074278000, 1.5345644000, 4.9286264000", \
"0.5019073000, 0.5345894000, 0.6181091000, 0.8259325000, 1.2478966000, 2.1308055000, 5.0065312000");
}
related_pin : "A";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0017026800, 0.0057982300, 0.0197450000, 0.0672390000, 0.2289730000, 0.7797340000");
values("0.0350176000, 0.0401595000, 0.0570602000, 0.1145495000, 0.3102894000, 0.9870303000, 3.2642173000", \
"0.0350622000, 0.0402219000, 0.0570325000, 0.1150052000, 0.3115639000, 0.9871912000, 3.2615906000", \
"0.0371804000, 0.0414206000, 0.0570424000, 0.1148879000, 0.3139544000, 0.9791786000, 3.2514285000", \
"0.0671801000, 0.0709142000, 0.0822889000, 0.1238599000, 0.3121330000, 0.9846325000, 3.2661658000", \
"0.1345031000, 0.1423000000, 0.1621772000, 0.2116871000, 0.3482527000, 0.9824302000, 3.2539129000", \
"0.2691414000, 0.2841221000, 0.3228170000, 0.4175861000, 0.6026381000, 1.0742247000, 3.2637621000", \
"0.5351905000, 0.5582558000, 0.6373250000, 0.8217798000, 1.1816014000, 1.7809760000, 3.4396153000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0017026800, 0.0057982300, 0.0197450000, 0.0672390000, 0.2289730000, 0.7797340000");
values("0.0877901000, 0.0937236000, 0.1134927000, 0.1791156000, 0.4033537000, 1.1569350000, 3.7293391000", \
"0.0931172000, 0.0992981000, 0.1191733000, 0.1857525000, 0.4084815000, 1.1703647000, 3.7372243000", \
"0.1102701000, 0.1166417000, 0.1374949000, 0.2047447000, 0.4302744000, 1.1857775000, 3.7571524000", \
"0.1552545000, 0.1622139000, 0.1852079000, 0.2540614000, 0.4792806000, 1.2376065000, 3.8123464000", \
"0.2431891000, 0.2541312000, 0.2879114000, 0.3808002000, 0.6220035000, 1.3841330000, 3.9560346000", \
"0.3717028000, 0.3924422000, 0.4559171000, 0.6180412000, 0.9702598000, 1.7907210000, 4.3672137000", \
"0.4905896000, 0.5321391000, 0.6550615000, 0.9651208000, 1.6042772000, 2.8036171000, 5.5211187000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0017026800, 0.0057982300, 0.0197450000, 0.0672390000, 0.2289730000, 0.7797340000");
values("0.0650516000, 0.0691151000, 0.0831336000, 0.1293227000, 0.2832951000, 0.8040923000, 2.5731828000", \
"0.0726526000, 0.0769887000, 0.0909822000, 0.1375010000, 0.2917690000, 0.8118786000, 2.5804179000", \
"0.0959962000, 0.1001438000, 0.1144510000, 0.1614043000, 0.3160802000, 0.8370602000, 2.6041682000", \
"0.1622780000, 0.1676388000, 0.1836851000, 0.2306451000, 0.3856072000, 0.9059341000, 2.6731284000", \
"0.2900515000, 0.3001314000, 0.3308556000, 0.4106913000, 0.5846681000, 1.1052107000, 2.8738349000", \
"0.5139552000, 0.5312219000, 0.5902305000, 0.7407345000, 1.0647218000, 1.6694144000, 3.4202443000", \
"0.8901433000, 0.9244043000, 1.0335065000, 1.3191307000, 1.9371913000, 3.0541218000, 5.0298210000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0017026800, 0.0057982300, 0.0197450000, 0.0672390000, 0.2289730000, 0.7797340000");
values("0.0594655000, 0.0670519000, 0.0926903000, 0.1800540000, 0.4811497000, 1.4887153000, 4.9255231000", \
"0.0594760000, 0.0670289000, 0.0926617000, 0.1802382000, 0.4776018000, 1.4941256000, 4.9297073000", \
"0.0594964000, 0.0670816000, 0.0927723000, 0.1805594000, 0.4782205000, 1.4903597000, 4.9240669000", \
"0.0704915000, 0.0770668000, 0.0996564000, 0.1823830000, 0.4778263000, 1.4896666000, 4.9281406000", \
"0.1114816000, 0.1193374000, 0.1452274000, 0.2244581000, 0.4899194000, 1.4923953000, 4.9251638000", \
"0.2231305000, 0.2339826000, 0.2713197000, 0.3710008000, 0.6320996000, 1.5221607000, 4.9522009000", \
"0.4825358000, 0.5022121000, 0.5598371000, 0.7152947000, 1.0632561000, 1.9300899000, 4.9919797000");
}
related_pin : "B";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0017026800, 0.0057982300, 0.0197450000, 0.0672390000, 0.2289730000, 0.7797340000");
values("0.0515621000, 0.0569288000, 0.0756505000, 0.1391056000, 0.3547294000, 1.0868155000, 3.5797560000", \
"0.0513629000, 0.0568894000, 0.0755708000, 0.1387453000, 0.3541328000, 1.0877184000, 3.5875238000", \
"0.0512614000, 0.0567560000, 0.0753875000, 0.1385673000, 0.3544296000, 1.0864984000, 3.5778491000", \
"0.0709672000, 0.0747042000, 0.0884741000, 0.1425912000, 0.3545052000, 1.0870421000, 3.5803376000", \
"0.1384067000, 0.1454471000, 0.1658144000, 0.2181973000, 0.3790645000, 1.0861152000, 3.5843584000", \
"0.2759880000, 0.2907813000, 0.3281054000, 0.4242738000, 0.6150258000, 1.1568035000, 3.5838119000", \
"0.5466627000, 0.5726063000, 0.6521518000, 0.8406681000, 1.1981881000, 1.8291035000, 3.7204517000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0017026800, 0.0057982300, 0.0197450000, 0.0672390000, 0.2289730000, 0.7797340000");
values("0.0969091000, 0.1027835000, 0.1222323000, 0.1879627000, 0.4103397000, 1.1741026000, 3.7412260000", \
"0.1034084000, 0.1092552000, 0.1293430000, 0.1958023000, 0.4184325000, 1.1740491000, 3.7468872000", \
"0.1214430000, 0.1275302000, 0.1483182000, 0.2155419000, 0.4408159000, 1.1993757000, 3.7675321000", \
"0.1659409000, 0.1725483000, 0.1940446000, 0.2621559000, 0.4869537000, 1.2450818000, 3.8197556000", \
"0.2532640000, 0.2619188000, 0.2892482000, 0.3721801000, 0.6080977000, 1.3699252000, 3.9408032000", \
"0.3950298000, 0.4111013000, 0.4599956000, 0.5893969000, 0.9009368000, 1.7053962000, 4.2824584000", \
"0.5338117000, 0.5738661000, 0.6706135000, 0.9288627000, 1.4624274000, 2.5455982000, 5.2439124000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0017026800, 0.0057982300, 0.0197450000, 0.0672390000, 0.2289730000, 0.7797340000");
values("0.0730487000, 0.0775191000, 0.0921968000, 0.1386997000, 0.2905508000, 0.7974188000, 2.5161058000", \
"0.0808211000, 0.0852494000, 0.1000204000, 0.1466291000, 0.2983395000, 0.8056713000, 2.5225693000", \
"0.1042975000, 0.1087413000, 0.1235309000, 0.1704342000, 0.3220237000, 0.8287457000, 2.5477425000", \
"0.1750614000, 0.1792952000, 0.1942307000, 0.2406846000, 0.3928780000, 0.8991965000, 2.6170719000", \
"0.3210712000, 0.3296913000, 0.3559785000, 0.4277310000, 0.5930335000, 1.0991851000, 2.8169671000", \
"0.5807586000, 0.5951535000, 0.6470198000, 0.7824619000, 1.0846042000, 1.6668319000, 3.3752976000", \
"1.0256482000, 1.0548231000, 1.1433720000, 1.4023257000, 1.9795063000, 3.0490377000, 4.9792566000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0017026800, 0.0057982300, 0.0197450000, 0.0672390000, 0.2289730000, 0.7797340000");
values("0.0594370000, 0.0669753000, 0.0926669000, 0.1802352000, 0.4770418000, 1.5037437000, 4.9315636000", \
"0.0594779000, 0.0667154000, 0.0926451000, 0.1799543000, 0.4779199000, 1.4899890000, 4.9302877000", \
"0.0593190000, 0.0667494000, 0.0927081000, 0.1800132000, 0.4780504000, 1.4941237000, 4.9259326000", \
"0.0653973000, 0.0721353000, 0.0963080000, 0.1810930000, 0.4782891000, 1.4907237000, 4.9312492000", \
"0.0912909000, 0.0992409000, 0.1251608000, 0.2096215000, 0.4867686000, 1.4920125000, 4.9270192000", \
"0.1778283000, 0.1879113000, 0.2189298000, 0.3106319000, 0.5920259000, 1.5201248000, 4.9290190000", \
"0.4183647000, 0.4343302000, 0.4822483000, 0.6104158000, 0.9231053000, 1.8371441000, 5.0023292000");
}
related_pin : "C";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0017026800, 0.0057982300, 0.0197450000, 0.0672390000, 0.2289730000, 0.7797340000");
values("0.0639066000, 0.0693324000, 0.0877809000, 0.1495020000, 0.3597290000, 1.0787461000, 3.5226311000", \
"0.0639302000, 0.0693510000, 0.0875503000, 0.1494661000, 0.3605950000, 1.0785649000, 3.5275873000", \
"0.0630017000, 0.0686339000, 0.0871475000, 0.1493771000, 0.3599896000, 1.0788898000, 3.5236696000", \
"0.0753924000, 0.0799161000, 0.0950300000, 0.1507713000, 0.3602069000, 1.0785415000, 3.5230687000", \
"0.1416454000, 0.1481299000, 0.1685759000, 0.2215222000, 0.3821395000, 1.0777190000, 3.5209142000", \
"0.2798371000, 0.2947467000, 0.3311880000, 0.4215542000, 0.6132145000, 1.1457422000, 3.5219723000", \
"0.5563592000, 0.5809077000, 0.6548272000, 0.8352571000, 1.1855785000, 1.8143800000, 3.6672965000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
}
}
/* removed sky130_fd_sc_hd__nand3b_1 */
/* removed sky130_fd_sc_hd__nand3b_2 */
/* removed sky130_fd_sc_hd__nand3b_4 */
/* removed sky130_fd_sc_hd__nand4_1 */
/* removed sky130_fd_sc_hd__nand4_2 */
cell ("sky130_fd_sc_hd__nand4_4") {
leakage_power () {
value : 0.1291928000;
when : "!A&!B&!C&D";
}
leakage_power () {
value : 0.0897628000;
when : "!A&!B&!C&!D";
}
leakage_power () {
value : 0.2592607000;
when : "!A&!B&C&D";
}
leakage_power () {
value : 0.1310790000;
when : "!A&!B&C&!D";
}
leakage_power () {
value : 0.2628142000;
when : "!A&B&!C&D";
}
leakage_power () {
value : 0.1342661000;
when : "!A&B&!C&!D";
}
leakage_power () {
value : 3.4985594000;
when : "!A&B&C&D";
}
leakage_power () {
value : 0.2688147000;
when : "!A&B&C&!D";
}
leakage_power () {
value : 0.2564674000;
when : "A&!B&!C&D";
}
leakage_power () {
value : 0.1333959000;
when : "A&!B&!C&!D";
}
leakage_power () {
value : 2.7514324000;
when : "A&!B&C&D";
}
leakage_power () {
value : 0.2623085000;
when : "A&!B&C&!D";
}
leakage_power () {
value : 2.6229214000;
when : "A&B&!C&D";
}
leakage_power () {
value : 0.2614848000;
when : "A&B&!C&!D";
}
leakage_power () {
value : 17.357264100;
when : "A&B&C&D";
}
leakage_power () {
value : 2.5706384000;
when : "A&B&C&!D";
}
area : 21.270400000;
cell_footprint : "sky130_fd_sc_hd__nand4";
cell_leakage_power : 1.9368540000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0085610000;
clock : "false";
direction : "input";
fall_capacitance : 0.0084170000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0148997000, 0.0148955000, 0.0148932000, 0.0148909000, 0.0148933000, 0.0149097000, 0.0149654000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.011005400, -0.011023500, -0.011073300, -0.011039200, -0.010941900, -0.010666500, -0.009889200");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0087040000;
}
pin ("B") {
capacitance : 0.0085710000;
clock : "false";
direction : "input";
fall_capacitance : 0.0083420000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0132027000, 0.0131912000, 0.0131690000, 0.0131924000, 0.0132685000, 0.0134931000, 0.0141360000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.013072900, -0.013063100, -0.013034400, -0.013034200, -0.013032500, -0.013026500, -0.013008100");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0088000000;
}
pin ("C") {
capacitance : 0.0085940000;
clock : "false";
direction : "input";
fall_capacitance : 0.0082820000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0129111000, 0.0129030000, 0.0128903000, 0.0128860000, 0.0128840000, 0.0128887000, 0.0129122000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.012948100, -0.012931300, -0.012882900, -0.012882800, -0.012881200, -0.012875500, -0.012857900");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0089060000;
}
pin ("D") {
capacitance : 0.0087900000;
clock : "false";
direction : "input";
fall_capacitance : 0.0083790000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0129991000, 0.0129902000, 0.0129753000, 0.0129661000, 0.0129506000, 0.0129172000, 0.0128336000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.012986200, -0.012985300, -0.012981400, -0.012981200, -0.012979200, -0.012972200, -0.012951300");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0092020000;
}
pin ("Y") {
direction : "output";
function : "(!A) | (!B) | (!C) | (!D)";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0016238490, 0.0052737710, 0.0171276100, 0.0556253200, 0.1806542000, 0.5867104000");
values("0.0163172000, 0.0149469000, 0.0103540000, -0.004614200, -0.053763200, -0.213781100, -0.733548400", \
"0.0156008000, 0.0143264000, 0.0098510000, -0.004896900, -0.053895800, -0.213807600, -0.733556700", \
"0.0147111000, 0.0134199000, 0.0090580000, -0.005468800, -0.054108400, -0.213885900, -0.733483100", \
"0.0136384000, 0.0123263000, 0.0078601000, -0.006634000, -0.054884200, -0.214188600, -0.733673500", \
"0.0130805000, 0.0117364000, 0.0072900000, -0.007697800, -0.056132600, -0.214987200, -0.733967900", \
"0.0140823000, 0.0126026000, 0.0086370000, -0.007763800, -0.056878800, -0.216051000, -0.734781200", \
"0.0179150000, 0.0162758000, 0.0114032000, -0.004126700, -0.055042200, -0.216204800, -0.735255800");
}
related_pin : "A";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0016238490, 0.0052737710, 0.0171276100, 0.0556253200, 0.1806542000, 0.5867104000");
values("0.0121084000, 0.0136504000, 0.0185102000, 0.0338730000, 0.0831678000, 0.2410426000, 0.7596287000", \
"0.0115879000, 0.0131654000, 0.0182388000, 0.0337545000, 0.0833087000, 0.2411955000, 0.7555185000", \
"0.0107602000, 0.0123237000, 0.0174553000, 0.0332957000, 0.0826969000, 0.2425134000, 0.7561342000", \
"0.0099243000, 0.0114822000, 0.0164266000, 0.0321409000, 0.0820113000, 0.2399010000, 0.7564007000", \
"0.0099882000, 0.0113108000, 0.0159502000, 0.0313738000, 0.0809637000, 0.2414026000, 0.7556673000", \
"0.0101679000, 0.0115578000, 0.0161434000, 0.0310695000, 0.0804269000, 0.2380889000, 0.7539375000", \
"0.0164314000, 0.0173843000, 0.0206933000, 0.0346003000, 0.0817054000, 0.2401857000, 0.7558520000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0016238490, 0.0052737710, 0.0171276100, 0.0556253200, 0.1806542000, 0.5867104000");
values("0.0187533000, 0.0173425000, 0.0127903000, -0.002288900, -0.051515700, -0.211511100, -0.731363800", \
"0.0183253000, 0.0169218000, 0.0124069000, -0.002516800, -0.051633300, -0.211527800, -0.731345400", \
"0.0174182000, 0.0160652000, 0.0116223000, -0.003079300, -0.051869700, -0.211610100, -0.731357700", \
"0.0163594000, 0.0150154000, 0.0106020000, -0.003967300, -0.052436800, -0.211904700, -0.731490500", \
"0.0159286000, 0.0145320000, 0.0100023000, -0.004770900, -0.053318000, -0.212349200, -0.731725900", \
"0.0158781000, 0.0144543000, 0.0098408000, -0.005497900, -0.054278700, -0.213359800, -0.732122700", \
"0.0173715000, 0.0158987000, 0.0111316000, -0.004246300, -0.053664500, -0.213868100, -0.732899200");
}
related_pin : "B";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0016238490, 0.0052737710, 0.0171276100, 0.0556253200, 0.1806542000, 0.5867104000");
values("0.0231189000, 0.0245684000, 0.0292674000, 0.0444884000, 0.0932087000, 0.2509601000, 0.7644449000", \
"0.0228835000, 0.0243182000, 0.0290284000, 0.0443971000, 0.0933010000, 0.2516863000, 0.7645647000", \
"0.0222041000, 0.0236293000, 0.0284990000, 0.0440794000, 0.0931289000, 0.2513536000, 0.7646776000", \
"0.0209323000, 0.0224360000, 0.0273362000, 0.0430368000, 0.0926378000, 0.2506030000, 0.7639874000", \
"0.0203199000, 0.0217472000, 0.0264123000, 0.0419064000, 0.0912111000, 0.2498346000, 0.7621016000", \
"0.0202915000, 0.0215742000, 0.0261602000, 0.0410097000, 0.0910236000, 0.2485642000, 0.7614770000", \
"0.0244514000, 0.0257990000, 0.0291527000, 0.0436419000, 0.0916362000, 0.2499728000, 0.7607718000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0016238490, 0.0052737710, 0.0171276100, 0.0556253200, 0.1806542000, 0.5867104000");
values("0.0186917000, 0.0172276000, 0.0126064000, -0.002503900, -0.051750500, -0.211789600, -0.731480800", \
"0.0182154000, 0.0167926000, 0.0122559000, -0.002717400, -0.051827000, -0.211814300, -0.731571500", \
"0.0172159000, 0.0159544000, 0.0114689000, -0.003259700, -0.052105700, -0.211922400, -0.731625200", \
"0.0162745000, 0.0148991000, 0.0104771000, -0.004095600, -0.052610900, -0.212135800, -0.731644400", \
"0.0158306000, 0.0144365000, 0.0098819000, -0.004922600, -0.053461000, -0.212594600, -0.731782000", \
"0.0156623000, 0.0142576000, 0.0096048000, -0.005503000, -0.054381900, -0.213518800, -0.732359700", \
"0.0165055000, 0.0150482000, 0.0103605000, -0.004907800, -0.054131100, -0.214144600, -0.733130000");
}
related_pin : "C";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0016238490, 0.0052737710, 0.0171276100, 0.0556253200, 0.1806542000, 0.5867104000");
values("0.0310061000, 0.0324528000, 0.0371502000, 0.0525022000, 0.1015771000, 0.2599224000, 0.7745108000", \
"0.0306836000, 0.0321802000, 0.0369213000, 0.0522697000, 0.1014231000, 0.2597615000, 0.7731951000", \
"0.0300786000, 0.0315508000, 0.0363759000, 0.0518451000, 0.1011854000, 0.2595310000, 0.7734219000", \
"0.0290163000, 0.0305015000, 0.0352988000, 0.0509262000, 0.1005148000, 0.2588871000, 0.7728000000", \
"0.0283352000, 0.0298220000, 0.0346577000, 0.0500576000, 0.0996251000, 0.2583861000, 0.7711431000", \
"0.0294332000, 0.0307520000, 0.0352409000, 0.0506665000, 0.0994485000, 0.2575433000, 0.7706339000", \
"0.0325194000, 0.0339107000, 0.0384653000, 0.0532768000, 0.1009092000, 0.2593262000, 0.7703724000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0016238490, 0.0052737710, 0.0171276100, 0.0556253200, 0.1806542000, 0.5867104000");
values("0.0184870000, 0.0170223000, 0.0123972000, -0.002712700, -0.051951300, -0.211920000, -0.731710000", \
"0.0179318000, 0.0165509000, 0.0120348000, -0.002940400, -0.052051800, -0.212037500, -0.731794000", \
"0.0170687000, 0.0157387000, 0.0112916000, -0.003432900, -0.052303100, -0.212129700, -0.731820000", \
"0.0161149000, 0.0147873000, 0.0103436000, -0.004289400, -0.052826100, -0.212338600, -0.731921500", \
"0.0157632000, 0.0143067000, 0.0097665000, -0.005074500, -0.053526900, -0.212655200, -0.732112800", \
"0.0155195000, 0.0141120000, 0.0095312000, -0.005439500, -0.054547600, -0.213757300, -0.732579600", \
"0.0164301000, 0.0149472000, 0.0103018000, -0.005011700, -0.054183600, -0.214274300, -0.733276600");
}
related_pin : "D";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0016238490, 0.0052737710, 0.0171276100, 0.0556253200, 0.1806542000, 0.5867104000");
values("0.0384186000, 0.0398619000, 0.0444925000, 0.0598077000, 0.1095051000, 0.2686493000, 0.7826456000", \
"0.0380294000, 0.0394740000, 0.0441212000, 0.0593846000, 0.1090992000, 0.2678735000, 0.7814623000", \
"0.0374839000, 0.0389104000, 0.0436034000, 0.0589762000, 0.1083622000, 0.2674632000, 0.7820345000", \
"0.0367204000, 0.0381947000, 0.0428989000, 0.0582793000, 0.1080414000, 0.2670008000, 0.7808824000", \
"0.0360848000, 0.0375314000, 0.0424116000, 0.0576869000, 0.1074836000, 0.2663575000, 0.7802554000", \
"0.0367452000, 0.0381131000, 0.0426337000, 0.0581188000, 0.1080298000, 0.2660634000, 0.7794063000", \
"0.0425196000, 0.0439010000, 0.0482007000, 0.0629994000, 0.1108654000, 0.2703268000, 0.7798812000");
}
}
max_capacitance : 0.1675510000;
max_transition : 1.4957790000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016238500, 0.0052737700, 0.0171276000, 0.0556253000, 0.1806540000, 0.5867100000");
values("0.0867943000, 0.0941785000, 0.1175779000, 0.1918328000, 0.4305799000, 1.2037422000, 3.7142653000", \
"0.0900041000, 0.0976715000, 0.1214022000, 0.1980919000, 0.4366864000, 1.2100286000, 3.7216699000", \
"0.1049231000, 0.1123390000, 0.1360897000, 0.2138837000, 0.4545237000, 1.2392655000, 3.7402295000", \
"0.1569462000, 0.1650187000, 0.1882904000, 0.2631526000, 0.5062721000, 1.2887523000, 3.7940513000", \
"0.2511430000, 0.2660250000, 0.3024059000, 0.4069476000, 0.6557737000, 1.4353114000, 3.9464751000", \
"0.3805755000, 0.4047862000, 0.4737416000, 0.6512303000, 1.0409124000, 1.8658257000, 4.3784598000", \
"0.5179026000, 0.5581833000, 0.6799502000, 0.9903803000, 1.6635069000, 2.9635994000, 5.5999396000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016238500, 0.0052737700, 0.0171276000, 0.0556253000, 0.1806540000, 0.5867100000");
values("0.0514327000, 0.0552704000, 0.0675278000, 0.1055839000, 0.2275258000, 0.6195990000, 1.9020381000", \
"0.0592151000, 0.0630680000, 0.0756156000, 0.1142954000, 0.2360205000, 0.6286920000, 1.9221578000", \
"0.0828050000, 0.0866518000, 0.0995538000, 0.1387075000, 0.2601413000, 0.6566701000, 1.9270765000", \
"0.1443312000, 0.1501453000, 0.1672881000, 0.2084529000, 0.3313169000, 0.7215730000, 1.9962807000", \
"0.2539368000, 0.2651086000, 0.2963296000, 0.3771569000, 0.5322172000, 0.9260316000, 2.1919873000", \
"0.4435631000, 0.4645267000, 0.5264211000, 0.6772224000, 0.9769823000, 1.4952685000, 2.7639830000", \
"0.7650445000, 0.8034248000, 0.9157886000, 1.1962232000, 1.7674773000, 2.7650899000, 4.3751887000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016238500, 0.0052737700, 0.0171276000, 0.0556253000, 0.1806540000, 0.5867100000");
values("0.0973572000, 0.1067968000, 0.1372520000, 0.2353161000, 0.5517282000, 1.5833933000, 4.9057047000", \
"0.0966123000, 0.1065102000, 0.1369807000, 0.2359454000, 0.5523521000, 1.5779217000, 4.9109379000", \
"0.0939350000, 0.1042611000, 0.1359289000, 0.2356633000, 0.5517307000, 1.5847296000, 4.9093871000", \
"0.1062152000, 0.1138350000, 0.1402704000, 0.2334737000, 0.5519940000, 1.5832815000, 4.9283360000", \
"0.1654205000, 0.1770754000, 0.2074147000, 0.2911209000, 0.5622605000, 1.5788981000, 4.9086264000", \
"0.3064734000, 0.3205539000, 0.3650970000, 0.4817040000, 0.7596592000, 1.6180550000, 4.9116018000", \
"0.5797728000, 0.6112754000, 0.6875466000, 0.8876996000, 1.3021022000, 2.1917217000, 4.9953934000");
}
related_pin : "A";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016238500, 0.0052737700, 0.0171276000, 0.0556253000, 0.1806540000, 0.5867100000");
values("0.0451133000, 0.0502347000, 0.0659691000, 0.1181208000, 0.2880931000, 0.8364399000, 2.6338571000", \
"0.0451240000, 0.0500271000, 0.0660792000, 0.1183850000, 0.2885750000, 0.8364053000, 2.6259379000", \
"0.0456974000, 0.0503105000, 0.0659768000, 0.1184399000, 0.2876111000, 0.8453070000, 2.6128077000", \
"0.0737468000, 0.0768658000, 0.0862951000, 0.1251295000, 0.2874785000, 0.8420650000, 2.6159654000", \
"0.1470324000, 0.1527592000, 0.1700083000, 0.2119994000, 0.3236868000, 0.8413523000, 2.6274096000", \
"0.2971801000, 0.3093031000, 0.3383475000, 0.4177584000, 0.5752029000, 0.9470564000, 2.6203215000", \
"0.5910670000, 0.6163566000, 0.6783327000, 0.8300848000, 1.1342849000, 1.6530698000, 2.8889358000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016238500, 0.0052737700, 0.0171276000, 0.0556253000, 0.1806540000, 0.5867100000");
values("0.1264482000, 0.1336327000, 0.1575491000, 0.2318686000, 0.4706148000, 1.2424459000, 3.7622532000", \
"0.1316222000, 0.1387916000, 0.1619846000, 0.2377681000, 0.4761173000, 1.2490213000, 3.7594048000", \
"0.1473612000, 0.1551055000, 0.1788806000, 0.2546167000, 0.4950018000, 1.2690792000, 3.7789616000", \
"0.1943966000, 0.2019564000, 0.2263987000, 0.3035438000, 0.5449444000, 1.3208427000, 3.8319783000", \
"0.2977817000, 0.3090098000, 0.3416420000, 0.4365192000, 0.6869388000, 1.4671589000, 3.9792951000", \
"0.4550035000, 0.4756907000, 0.5363590000, 0.6940743000, 1.0476955000, 1.8752428000, 4.3966062000", \
"0.6097712000, 0.6491835000, 0.7660751000, 1.0652279000, 1.6952127000, 2.8990097000, 5.5466529000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016238500, 0.0052737700, 0.0171276000, 0.0556253000, 0.1806540000, 0.5867100000");
values("0.0771697000, 0.0814428000, 0.0947378000, 0.1374458000, 0.2729844000, 0.7103421000, 2.1269688000", \
"0.0855153000, 0.0898855000, 0.1031992000, 0.1459505000, 0.2818726000, 0.7191884000, 2.1361661000", \
"0.1092235000, 0.1134298000, 0.1272145000, 0.1706160000, 0.3070067000, 0.7442141000, 2.1622675000", \
"0.1793027000, 0.1838218000, 0.1980223000, 0.2414706000, 0.3778969000, 0.8144537000, 2.2289148000", \
"0.3261679000, 0.3345608000, 0.3618026000, 0.4294497000, 0.5813375000, 1.0185591000, 2.4223925000", \
"0.5966977000, 0.6127909000, 0.6629685000, 0.7937365000, 1.0693381000, 1.5951631000, 3.0047962000", \
"1.0783090000, 1.1097933000, 1.2003478000, 1.4514577000, 1.9892099000, 2.9740432000, 4.6391868000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016238500, 0.0052737700, 0.0171276000, 0.0556253000, 0.1806540000, 0.5867100000");
values("0.0979124000, 0.1072858000, 0.1379783000, 0.2362599000, 0.5535739000, 1.5814628000, 4.9098348000", \
"0.0982884000, 0.1072701000, 0.1373693000, 0.2359023000, 0.5519242000, 1.5794481000, 4.9138893000", \
"0.0983454000, 0.1075809000, 0.1374030000, 0.2353949000, 0.5533675000, 1.5811699000, 4.9078039000", \
"0.1033268000, 0.1121462000, 0.1411476000, 0.2362572000, 0.5525131000, 1.5819860000, 4.9229918000", \
"0.1474509000, 0.1569402000, 0.1849570000, 0.2723820000, 0.5598504000, 1.5803432000, 4.9090709000", \
"0.2723044000, 0.2848310000, 0.3222987000, 0.4263025000, 0.6982712000, 1.6087787000, 4.9195341000", \
"0.5630771000, 0.5817518000, 0.6443199000, 0.8025595000, 1.1676823000, 2.0218593000, 4.9694884000");
}
related_pin : "B";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016238500, 0.0052737700, 0.0171276000, 0.0556253000, 0.1806540000, 0.5867100000");
values("0.0642584000, 0.0696404000, 0.0875403000, 0.1454604000, 0.3321235000, 0.9399789000, 2.9092269000", \
"0.0642443000, 0.0698218000, 0.0874317000, 0.1453513000, 0.3320324000, 0.9397129000, 2.9115534000", \
"0.0642245000, 0.0695779000, 0.0874612000, 0.1453540000, 0.3319303000, 0.9391435000, 2.9081089000", \
"0.0768498000, 0.0810580000, 0.0955190000, 0.1472630000, 0.3323962000, 0.9400154000, 2.9058493000", \
"0.1505468000, 0.1567133000, 0.1726499000, 0.2170323000, 0.3563055000, 0.9388129000, 2.9068552000", \
"0.3029760000, 0.3133218000, 0.3477531000, 0.4253419000, 0.5936711000, 1.0224518000, 2.9129434000", \
"0.6082673000, 0.6296516000, 0.6983418000, 0.8535824000, 1.1594562000, 1.6907780000, 3.1049261000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016238500, 0.0052737700, 0.0171276000, 0.0556253000, 0.1806540000, 0.5867100000");
values("0.1466267000, 0.1537677000, 0.1764505000, 0.2503205000, 0.4929256000, 1.2620555000, 3.7774539000", \
"0.1519699000, 0.1593286000, 0.1823638000, 0.2569332000, 0.4970146000, 1.2693182000, 3.7791712000", \
"0.1683136000, 0.1762571000, 0.1999533000, 0.2755557000, 0.5156504000, 1.2987877000, 3.8019459000", \
"0.2131967000, 0.2208949000, 0.2450864000, 0.3218602000, 0.5629224000, 1.3396524000, 3.8518744000", \
"0.3101261000, 0.3194233000, 0.3484038000, 0.4363368000, 0.6842125000, 1.4629538000, 3.9739545000", \
"0.4761431000, 0.4923753000, 0.5432986000, 0.6730381000, 0.9927523000, 1.8048072000, 4.3207402000", \
"0.6514855000, 0.6847130000, 0.7812393000, 1.0340956000, 1.5771219000, 2.6760134000, 5.2935984000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016238500, 0.0052737700, 0.0171276000, 0.0556253000, 0.1806540000, 0.5867100000");
values("0.0902093000, 0.0947813000, 0.1091388000, 0.1531769000, 0.2913291000, 0.7320431000, 2.1540729000", \
"0.0985409000, 0.1029058000, 0.1171383000, 0.1614163000, 0.2997754000, 0.7414189000, 2.1624829000", \
"0.1225494000, 0.1271357000, 0.1413352000, 0.1858075000, 0.3244400000, 0.7651262000, 2.1878677000", \
"0.1933771000, 0.1977850000, 0.2118518000, 0.2564859000, 0.3952681000, 0.8341049000, 2.2552691000", \
"0.3586038000, 0.3665822000, 0.3901948000, 0.4516304000, 0.5988332000, 1.0371597000, 2.4492302000", \
"0.6636195000, 0.6780668000, 0.7218030000, 0.8388226000, 1.1034991000, 1.6156503000, 3.0291349000", \
"1.2112000000, 1.2384915000, 1.3212165000, 1.5457597000, 2.0501478000, 3.0071210000, 4.6653175000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016238500, 0.0052737700, 0.0171276000, 0.0556253000, 0.1806540000, 0.5867100000");
values("0.0983040000, 0.1072764000, 0.1373874000, 0.2356420000, 0.5545200000, 1.5807929000, 4.9174700000", \
"0.0983283000, 0.1073445000, 0.1373925000, 0.2355134000, 0.5536357000, 1.5820558000, 4.9098188000", \
"0.0979741000, 0.1076087000, 0.1373871000, 0.2353603000, 0.5543067000, 1.5839561000, 4.9124917000", \
"0.1011444000, 0.1097344000, 0.1389119000, 0.2361784000, 0.5526156000, 1.5837575000, 4.9117568000", \
"0.1305283000, 0.1396643000, 0.1689368000, 0.2598426000, 0.5592315000, 1.5789891000, 4.9110618000", \
"0.2304966000, 0.2410381000, 0.2745347000, 0.3734324000, 0.6646246000, 1.6071930000, 4.9105675000", \
"0.4988464000, 0.5149079000, 0.5673743000, 0.7023289000, 1.0279891000, 1.9382802000, 4.9709716000");
}
related_pin : "C";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016238500, 0.0052737700, 0.0171276000, 0.0556253000, 0.1806540000, 0.5867100000");
values("0.0787105000, 0.0842559000, 0.1025467000, 0.1607747000, 0.3497351000, 0.9650412000, 2.9569014000", \
"0.0788598000, 0.0843987000, 0.1021856000, 0.1608754000, 0.3500196000, 0.9645144000, 2.9593081000", \
"0.0783787000, 0.0838702000, 0.1022460000, 0.1607214000, 0.3501666000, 0.9639576000, 2.9618499000", \
"0.0850115000, 0.0898784000, 0.1058788000, 0.1612226000, 0.3497726000, 0.9642044000, 2.9593856000", \
"0.1540263000, 0.1599325000, 0.1767091000, 0.2201080000, 0.3697996000, 0.9655579000, 2.9621652000", \
"0.3047040000, 0.3157453000, 0.3485743000, 0.4282641000, 0.5906547000, 1.0421106000, 2.9597693000", \
"0.6183026000, 0.6389095000, 0.7004772000, 0.8528096000, 1.1561097000, 1.6989155000, 3.1450094000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016238500, 0.0052737700, 0.0171276000, 0.0556253000, 0.1806540000, 0.5867100000");
values("0.1549827000, 0.1621131000, 0.1847776000, 0.2586721000, 0.4998225000, 1.2700746000, 3.7801966000", \
"0.1611019000, 0.1684045000, 0.1917959000, 0.2661624000, 0.5068784000, 1.2792655000, 3.7877279000", \
"0.1784923000, 0.1858544000, 0.2096073000, 0.2853374000, 0.5256747000, 1.3004364000, 3.8090926000", \
"0.2223519000, 0.2297752000, 0.2539327000, 0.3304996000, 0.5716265000, 1.3505352000, 3.8588519000", \
"0.3158421000, 0.3246642000, 0.3515313000, 0.4356200000, 0.6814338000, 1.4581993000, 3.9700399000", \
"0.4833635000, 0.4966808000, 0.5353930000, 0.6465842000, 0.9401754000, 1.7478244000, 4.2746335000", \
"0.6876977000, 0.7144831000, 0.7935668000, 0.9999461000, 1.4580414000, 2.4591038000, 5.0727325000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016238500, 0.0052737700, 0.0171276000, 0.0556253000, 0.1806540000, 0.5867100000");
values("0.0877150000, 0.0921379000, 0.1060883000, 0.1485476000, 0.2770379000, 0.6790456000, 1.9722743000", \
"0.0957948000, 0.1001622000, 0.1140000000, 0.1564221000, 0.2849471000, 0.6866913000, 1.9800832000", \
"0.1195149000, 0.1239859000, 0.1378146000, 0.1802621000, 0.3083181000, 0.7108452000, 2.0010946000", \
"0.1918157000, 0.1958798000, 0.2090357000, 0.2509157000, 0.3793470000, 0.7803828000, 2.0728872000", \
"0.3585871000, 0.3656875000, 0.3866942000, 0.4441968000, 0.5805773000, 0.9814906000, 2.2715094000", \
"0.6612930000, 0.6742198000, 0.7139603000, 0.8220891000, 1.0696295000, 1.5516783000, 2.8353087000", \
"1.1904689000, 1.2141811000, 1.2885178000, 1.4896588000, 1.9606179000, 2.8685330000, 4.4457016000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016238500, 0.0052737700, 0.0171276000, 0.0556253000, 0.1806540000, 0.5867100000");
values("0.0983072000, 0.1072678000, 0.1373926000, 0.2354914000, 0.5532232000, 1.5775596000, 4.9130491000", \
"0.0980355000, 0.1071959000, 0.1374695000, 0.2351779000, 0.5535200000, 1.5790004000, 4.9223767000", \
"0.0980760000, 0.1072673000, 0.1373591000, 0.2353347000, 0.5522219000, 1.5773346000, 4.9188320000", \
"0.0992891000, 0.1085400000, 0.1382267000, 0.2355797000, 0.5514777000, 1.5839774000, 4.9055426000", \
"0.1194655000, 0.1290389000, 0.1588030000, 0.2525635000, 0.5575753000, 1.5849799000, 4.9115134000", \
"0.1868724000, 0.1971012000, 0.2283304000, 0.3289196000, 0.6344411000, 1.6054634000, 4.9147020000", \
"0.4158958000, 0.4302083000, 0.4719180000, 0.5891668000, 0.9060664000, 1.8632202000, 4.9834554000");
}
related_pin : "D";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0016238500, 0.0052737700, 0.0171276000, 0.0556253000, 0.1806540000, 0.5867100000");
values("0.0862155000, 0.0914600000, 0.1080695000, 0.1620951000, 0.3359662000, 0.9008926000, 2.7356379000", \
"0.0858923000, 0.0912640000, 0.1080836000, 0.1618025000, 0.3358624000, 0.9010651000, 2.7390814000", \
"0.0844282000, 0.0899141000, 0.1072268000, 0.1614795000, 0.3353919000, 0.9007496000, 2.7324876000", \
"0.0897693000, 0.0945084000, 0.1101078000, 0.1608244000, 0.3350095000, 0.8998570000, 2.7334790000", \
"0.1565382000, 0.1619704000, 0.1782211000, 0.2220568000, 0.3574808000, 0.8998827000, 2.7375369000", \
"0.3079118000, 0.3178109000, 0.3464712000, 0.4210464000, 0.5848061000, 0.9898190000, 2.7354139000", \
"0.6076739000, 0.6271463000, 0.6934070000, 0.8363413000, 1.1332408000, 1.6698037000, 2.9814688000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
}
}
/* removed sky130_fd_sc_hd__nand4b_1 */
/* removed sky130_fd_sc_hd__nand4b_2 */
/* removed sky130_fd_sc_hd__nand4b_4 */
/* removed sky130_fd_sc_hd__nand4bb_1 */
/* removed sky130_fd_sc_hd__nand4bb_2 */
/* removed sky130_fd_sc_hd__nand4bb_4 */
/* removed sky130_fd_sc_hd__nor2_1 */
/* removed sky130_fd_sc_hd__nor2_2 */
cell ("sky130_fd_sc_hd__nor2_4") {
leakage_power () {
value : 5.9380176000;
when : "!A&B";
}
leakage_power () {
value : 7.0151320000;
when : "!A&!B";
}
leakage_power () {
value : 0.4009605000;
when : "A&B";
}
leakage_power () {
value : 3.7608716000;
when : "A&!B";
}
area : 11.260800000;
cell_footprint : "sky130_fd_sc_hd__nor2";
cell_leakage_power : 4.2787450000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0087610000;
clock : "false";
direction : "input";
fall_capacitance : 0.0084140000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0130219000, 0.0130109000, 0.0129827000, 0.0129754000, 0.0129578000, 0.0129110000, 0.0127823000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.011612300, -0.011825300, -0.012381500, -0.012417400, -0.012474900, -0.012592600, -0.012880200");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0091070000;
}
pin ("B") {
capacitance : 0.0086870000;
clock : "false";
direction : "input";
fall_capacitance : 0.0081790000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0093008000, 0.0092703000, 0.0091873000, 0.0092201000, 0.0093154000, 0.0095868000, 0.0103545000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.005817800, -0.005832400, -0.005845900, -0.005860900, -0.005875000, -0.005887100, -0.005893000");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0091950000;
}
pin ("Y") {
direction : "output";
function : "(!A&!B)";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0015982240, 0.0051086380, 0.0163294900, 0.0521963600, 0.1668429000, 0.5333046000");
values("0.0121882000, 0.0108082000, 0.0063615000, -0.007827500, -0.053350900, -0.199680500, -0.668390100", \
"0.0119219000, 0.0105457000, 0.0061155000, -0.008014900, -0.053508400, -0.199883000, -0.668523600", \
"0.0116811000, 0.0103347000, 0.0059999000, -0.007999300, -0.053366900, -0.199622700, -0.668392400", \
"0.0106414000, 0.0093134000, 0.0050092000, -0.008684300, -0.053826400, -0.199893100, -0.668445500", \
"0.0108314000, 0.0094371000, 0.0051238000, -0.008939300, -0.054419100, -0.200216400, -0.668616000", \
"0.0120430000, 0.0106508000, 0.0060766000, -0.008359200, -0.054205100, -0.200549300, -0.668516200", \
"0.0172439000, 0.0158021000, 0.0110077000, -0.004123000, -0.051088000, -0.198456600, -0.668186300");
}
related_pin : "A";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0015982240, 0.0051086380, 0.0163294900, 0.0521963600, 0.1668429000, 0.5333046000");
values("0.0217473000, 0.0232134000, 0.0278135000, 0.0421854000, 0.0878945000, 0.2330132000, 0.6971032000", \
"0.0210470000, 0.0225935000, 0.0272779000, 0.0420148000, 0.0874640000, 0.2322393000, 0.6982179000", \
"0.0205287000, 0.0219810000, 0.0266535000, 0.0412567000, 0.0877688000, 0.2335277000, 0.6965499000", \
"0.0201403000, 0.0216002000, 0.0261754000, 0.0407541000, 0.0865152000, 0.2317276000, 0.6970138000", \
"0.0198128000, 0.0212659000, 0.0258770000, 0.0401651000, 0.0860605000, 0.2313770000, 0.6989636000", \
"0.0189519000, 0.0203641000, 0.0248499000, 0.0401264000, 0.0861508000, 0.2318094000, 0.6986321000", \
"0.0211679000, 0.0224859000, 0.0266313000, 0.0407215000, 0.0855727000, 0.2324579000, 0.6953814000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0015982240, 0.0051086380, 0.0163294900, 0.0521963600, 0.1668429000, 0.5333046000");
values("0.0004578000, -0.000780300, -0.004962400, -0.019022100, -0.064777600, -0.211478200, -0.680554300", \
"-0.000263400, -0.001417200, -0.005350700, -0.019047600, -0.064574500, -0.211162800, -0.680171400", \
"-0.001297300, -0.002433700, -0.006295500, -0.019541500, -0.064626600, -0.210983100, -0.679909100", \
"-0.002195100, -0.003524400, -0.007398900, -0.020780400, -0.065276100, -0.211107300, -0.679984400", \
"-0.001869100, -0.003354900, -0.007784700, -0.021583600, -0.066464200, -0.211799300, -0.680119100", \
"-0.000464900, -0.001672200, -0.006899600, -0.020911800, -0.067012100, -0.212878800, -0.680336100", \
"0.0050688000, 0.0040899000, -0.001829200, -0.017353000, -0.064699900, -0.211960700, -0.680959800");
}
related_pin : "B";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0015982240, 0.0051086380, 0.0163294900, 0.0521963600, 0.1668429000, 0.5333046000");
values("0.0177308000, 0.0192829000, 0.0240880000, 0.0386338000, 0.0848247000, 0.2304152000, 0.6931272000", \
"0.0168387000, 0.0183731000, 0.0232430000, 0.0383508000, 0.0841036000, 0.2290935000, 0.6934419000", \
"0.0161606000, 0.0176211000, 0.0225069000, 0.0372157000, 0.0837041000, 0.2298310000, 0.6930921000", \
"0.0157049000, 0.0174486000, 0.0219732000, 0.0365771000, 0.0825160000, 0.2296185000, 0.6934026000", \
"0.0160717000, 0.0174610000, 0.0219546000, 0.0361832000, 0.0818381000, 0.2271969000, 0.6956020000", \
"0.0171232000, 0.0184152000, 0.0227815000, 0.0372600000, 0.0817443000, 0.2276749000, 0.6916018000", \
"0.0218615000, 0.0229831000, 0.0268005000, 0.0400230000, 0.0830656000, 0.2275683000, 0.6891270000");
}
}
max_capacitance : 0.1547340000;
max_transition : 1.5018520000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015982200, 0.0051086400, 0.0163295000, 0.0521964000, 0.1668430000, 0.5333050000");
values("0.0292324000, 0.0313595000, 0.0378329000, 0.0565923000, 0.1125239000, 0.2856665000, 0.8358570000", \
"0.0372417000, 0.0393299000, 0.0457018000, 0.0645033000, 0.1203142000, 0.2935424000, 0.8439952000", \
"0.0611686000, 0.0633876000, 0.0695828000, 0.0879738000, 0.1435598000, 0.3166525000, 0.8661451000", \
"0.1076186000, 0.1113868000, 0.1231598000, 0.1506851000, 0.2108386000, 0.3811609000, 0.9304273000", \
"0.1869219000, 0.1936929000, 0.2141280000, 0.2655998000, 0.3731319000, 0.5738801000, 1.1222000000", \
"0.3024301000, 0.3153452000, 0.3543690000, 0.4524903000, 0.6549135000, 1.0212604000, 1.6572322000", \
"0.4218947000, 0.4475575000, 0.5215917000, 0.7118377000, 1.1043566000, 1.8040391000, 2.9522682000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015982200, 0.0051086400, 0.0163295000, 0.0521964000, 0.1668430000, 0.5333050000");
values("0.1064910000, 0.1145565000, 0.1396441000, 0.2170335000, 0.4657473000, 1.2424233000, 3.7501535000", \
"0.1121658000, 0.1203051000, 0.1459727000, 0.2248566000, 0.4724198000, 1.2506678000, 3.7663259000", \
"0.1349265000, 0.1431484000, 0.1683560000, 0.2473496000, 0.4969433000, 1.2822452000, 3.7685064000", \
"0.1971333000, 0.2053515000, 0.2304408000, 0.3087446000, 0.5553416000, 1.3386581000, 3.8466283000", \
"0.3167888000, 0.3290107000, 0.3654696000, 0.4642308000, 0.7172313000, 1.4993600000, 4.0020072000", \
"0.5241678000, 0.5483474000, 0.6176165000, 0.7801794000, 1.1351128000, 1.9541491000, 4.4576125000", \
"0.8334131000, 0.8822440000, 1.0172372000, 1.3352662000, 1.9579924000, 3.1307361000, 5.7302807000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015982200, 0.0051086400, 0.0163295000, 0.0521964000, 0.1668430000, 0.5333050000");
values("0.0226272000, 0.0250246000, 0.0324788000, 0.0559311000, 0.1306595000, 0.3707216000, 1.1382664000", \
"0.0219581000, 0.0243649000, 0.0320101000, 0.0555587000, 0.1303301000, 0.3710106000, 1.1385571000", \
"0.0254319000, 0.0272947000, 0.0334940000, 0.0555392000, 0.1303482000, 0.3698748000, 1.1393503000", \
"0.0465763000, 0.0496135000, 0.0568063000, 0.0779006000, 0.1372953000, 0.3704463000, 1.1382050000", \
"0.0905854000, 0.0964753000, 0.1128119000, 0.1441333000, 0.2145541000, 0.3976464000, 1.1400841000", \
"0.1912082000, 0.2032915000, 0.2296850000, 0.2919355000, 0.4087899000, 0.6341714000, 1.2119890000", \
"0.4015964000, 0.4221994000, 0.4861528000, 0.6045823000, 0.8288887000, 1.1915330000, 1.8668582000");
}
related_pin : "A";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015982200, 0.0051086400, 0.0163295000, 0.0521964000, 0.1668430000, 0.5333050000");
values("0.0665236000, 0.0766966000, 0.1089956000, 0.2126729000, 0.5431210000, 1.5921414000, 4.9521987000", \
"0.0661907000, 0.0766725000, 0.1091005000, 0.2132419000, 0.5420546000, 1.5956454000, 4.9610858000", \
"0.0666101000, 0.0767848000, 0.1091013000, 0.2122514000, 0.5485471000, 1.5955954000, 4.9370144000", \
"0.0719169000, 0.0812888000, 0.1110624000, 0.2129557000, 0.5412554000, 1.5899964000, 4.9577062000", \
"0.1117548000, 0.1225408000, 0.1549618000, 0.2451518000, 0.5479729000, 1.6014045000, 4.9698521000", \
"0.2232114000, 0.2373707000, 0.2777684000, 0.3881941000, 0.6790940000, 1.6174880000, 4.9679332000", \
"0.5066532000, 0.5288966000, 0.5916046000, 0.7524052000, 1.1062123000, 2.0105559000, 4.9925246000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015982200, 0.0051086400, 0.0163295000, 0.0521964000, 0.1668430000, 0.5333050000");
values("0.0230223000, 0.0249312000, 0.0307507000, 0.0481909000, 0.1026665000, 0.2754710000, 0.8290579000", \
"0.0307591000, 0.0327466000, 0.0386454000, 0.0564318000, 0.1109418000, 0.2839805000, 0.8406946000", \
"0.0504114000, 0.0533776000, 0.0613208000, 0.0796276000, 0.1347321000, 0.3098524000, 0.8603625000", \
"0.0828156000, 0.0883890000, 0.1032449000, 0.1372640000, 0.2019376000, 0.3728232000, 0.9262924000", \
"0.1309140000, 0.1414691000, 0.1705452000, 0.2356758000, 0.3566457000, 0.5651167000, 1.1206182000", \
"0.1852659000, 0.2070094000, 0.2620759000, 0.3887256000, 0.6198819000, 1.0066081000, 1.6508536000", \
"0.1896296000, 0.2291214000, 0.3362883000, 0.5795446000, 1.0295661000, 1.7715930000, 2.9556089000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015982200, 0.0051086400, 0.0163295000, 0.0521964000, 0.1668430000, 0.5333050000");
values("0.0751967000, 0.0834073000, 0.1093623000, 0.1879918000, 0.4362763000, 1.2206198000, 3.7054302000", \
"0.0775094000, 0.0858391000, 0.1119342000, 0.1930568000, 0.4393364000, 1.2194149000, 3.7116490000", \
"0.0952885000, 0.1029778000, 0.1293758000, 0.2083809000, 0.4609846000, 1.2488351000, 3.7327608000", \
"0.1530507000, 0.1629181000, 0.1903817000, 0.2681205000, 0.5159572000, 1.2987657000, 3.8034123000", \
"0.2549809000, 0.2731529000, 0.3242104000, 0.4374625000, 0.6909040000, 1.4716310000, 3.9775223000", \
"0.4254907000, 0.4564903000, 0.5443312000, 0.7508548000, 1.1597001000, 1.9745030000, 4.4564219000", \
"0.7249496000, 0.7772776000, 0.9262179000, 1.2834264000, 2.0110238000, 3.3120877000, 5.8935217000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015982200, 0.0051086400, 0.0163295000, 0.0521964000, 0.1668430000, 0.5333050000");
values("0.0129604000, 0.0152319000, 0.0225377000, 0.0458525000, 0.1206674000, 0.3591729000, 1.1206792000", \
"0.0129339000, 0.0152326000, 0.0225152000, 0.0459346000, 0.1207312000, 0.3579652000, 1.1187006000", \
"0.0203689000, 0.0225644000, 0.0273477000, 0.0469327000, 0.1204857000, 0.3583683000, 1.1184068000", \
"0.0412859000, 0.0444514000, 0.0529538000, 0.0731565000, 0.1290452000, 0.3594733000, 1.1215674000", \
"0.0898227000, 0.0953079000, 0.1097817000, 0.1437321000, 0.2119321000, 0.3878707000, 1.1259523000", \
"0.1949785000, 0.2048617000, 0.2371687000, 0.2966811000, 0.4105005000, 0.6273689000, 1.1923584000", \
"0.4193063000, 0.4396284000, 0.4979582000, 0.6256930000, 0.8440385000, 1.2010640000, 1.8726314000");
}
related_pin : "B";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015982200, 0.0051086400, 0.0163295000, 0.0521964000, 0.1668430000, 0.5333050000");
values("0.0662979000, 0.0761075000, 0.1090361000, 0.2122521000, 0.5490192000, 1.5961962000, 4.9383524000", \
"0.0661119000, 0.0761829000, 0.1088551000, 0.2135360000, 0.5426881000, 1.5965974000, 4.9458482000", \
"0.0650735000, 0.0754770000, 0.1084352000, 0.2121561000, 0.5452476000, 1.5961437000, 4.9389581000", \
"0.0873226000, 0.0965041000, 0.1193290000, 0.2135205000, 0.5426181000, 1.5977998000, 4.9523541000", \
"0.1502392000, 0.1624842000, 0.1964222000, 0.2780155000, 0.5520136000, 1.5942095000, 4.9710316000", \
"0.2767806000, 0.2982105000, 0.3554225000, 0.4854792000, 0.7542192000, 1.6292793000, 4.9459201000", \
"0.5127071000, 0.5569908000, 0.6566234000, 0.8893921000, 1.3483633000, 2.1826887000, 5.0006870000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
}
}
/* removed sky130_fd_sc_hd__nor2_8 */
/* removed sky130_fd_sc_hd__nor2b_1 */
/* removed sky130_fd_sc_hd__nor2b_2 */
/* removed sky130_fd_sc_hd__nor2b_4 */
/* removed sky130_fd_sc_hd__nor3_1 */
/* removed sky130_fd_sc_hd__nor3_2 */
cell ("sky130_fd_sc_hd__nor3_4") {
leakage_power () {
value : 4.0285322000;
when : "!A&!B&C";
}
leakage_power () {
value : 11.398388900;
when : "!A&!B&!C";
}
leakage_power () {
value : 0.3370668000;
when : "!A&B&C";
}
leakage_power () {
value : 3.2374772000;
when : "!A&B&!C";
}
leakage_power () {
value : 0.3626495000;
when : "A&!B&C";
}
leakage_power () {
value : 3.2925189000;
when : "A&!B&!C";
}
leakage_power () {
value : 0.1809479000;
when : "A&B&C";
}
leakage_power () {
value : 0.3586787000;
when : "A&B&!C";
}
area : 16.265600000;
cell_footprint : "sky130_fd_sc_hd__nor3";
cell_leakage_power : 2.8995330000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0087140000;
clock : "false";
direction : "input";
fall_capacitance : 0.0083500000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0127305000, 0.0127322000, 0.0127393000, 0.0127406000, 0.0127463000, 0.0127648000, 0.0128193000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.010922600, -0.011054400, -0.011407100, -0.011446300, -0.011538000, -0.011777300, -0.012433100");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0090770000;
}
pin ("B") {
capacitance : 0.0090450000;
clock : "false";
direction : "input";
fall_capacitance : 0.0085300000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0128311000, 0.0128269000, 0.0128173000, 0.0128146000, 0.0128092000, 0.0127963000, 0.0127621000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.011283200, -0.011490900, -0.012057000, -0.012085600, -0.012146800, -0.012300600, -0.012714800");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0095600000;
}
pin ("C") {
capacitance : 0.0084360000;
clock : "false";
direction : "input";
fall_capacitance : 0.0079020000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0086109000, 0.0085882000, 0.0085265000, 0.0085539000, 0.0086333000, 0.0088591000, 0.0094976000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.005788200, -0.005802400, -0.005825400, -0.005834800, -0.005844400, -0.005854400, -0.005865500");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0089690000;
}
pin ("Y") {
direction : "output";
function : "(!A&!B&!C)";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0014727630, 0.0043380610, 0.0127778700, 0.0376375600, 0.1108624000, 0.3265481000");
values("0.0164188000, 0.0151634000, 0.0115682000, 0.0007914000, -0.030710000, -0.123597100, -0.398875700", \
"0.0161548000, 0.0149192000, 0.0112829000, 0.0005310000, -0.031002300, -0.123902900, -0.399201200", \
"0.0159296000, 0.0147060000, 0.0110350000, 0.0003497000, -0.031196600, -0.124044300, -0.399301900", \
"0.0150650000, 0.0138865000, 0.0103230000, -0.000252600, -0.031630200, -0.124467100, -0.399666000", \
"0.0146311000, 0.0134010000, 0.0097588000, -0.000825800, -0.032136500, -0.124786000, -0.399881300", \
"0.0164286000, 0.0151671000, 0.0119428000, 0.0007293000, -0.031190400, -0.124834000, -0.399866900", \
"0.0213401000, 0.0201162000, 0.0162339000, 0.0051519000, -0.027402500, -0.121880800, -0.398730900");
}
related_pin : "A";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0014727630, 0.0043380610, 0.0127778700, 0.0376375600, 0.1108624000, 0.3265481000");
values("0.0339136000, 0.0351836000, 0.0388525000, 0.0496334000, 0.0814447000, 0.1737004000, 0.4465642000", \
"0.0332921000, 0.0345485000, 0.0384134000, 0.0493801000, 0.0808750000, 0.1737108000, 0.4468754000", \
"0.0326219000, 0.0338838000, 0.0376361000, 0.0487887000, 0.0805022000, 0.1733948000, 0.4467743000", \
"0.0321850000, 0.0334644000, 0.0371961000, 0.0480321000, 0.0798592000, 0.1730950000, 0.4465325000", \
"0.0317841000, 0.0330486000, 0.0367034000, 0.0475691000, 0.0795648000, 0.1722675000, 0.4460552000", \
"0.0316259000, 0.0329770000, 0.0366811000, 0.0476506000, 0.0789375000, 0.1719549000, 0.4451786000", \
"0.0313063000, 0.0324902000, 0.0361869000, 0.0468547000, 0.0784601000, 0.1726577000, 0.4455901000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0014727630, 0.0043380610, 0.0127778700, 0.0376375600, 0.1108624000, 0.3265481000");
values("0.0119068000, 0.0106775000, 0.0070295000, -0.003627300, -0.035163700, -0.128573800, -0.404359300", \
"0.0119497000, 0.0107285000, 0.0071234000, -0.003503300, -0.035020800, -0.128416500, -0.404197700", \
"0.0119564000, 0.0107937000, 0.0072930000, -0.003229300, -0.034609500, -0.127932900, -0.403737100", \
"0.0109287000, 0.0097696000, 0.0063444000, -0.003889700, -0.035039500, -0.128137600, -0.403799200", \
"0.0105308000, 0.0093336000, 0.0057883000, -0.004669400, -0.036024000, -0.128619400, -0.403861900", \
"0.0114942000, 0.0102570000, 0.0066356000, -0.004232700, -0.035466000, -0.129244800, -0.404220200", \
"0.0165833000, 0.0154707000, 0.0105068000, -5.39000e-05, -0.032683800, -0.127500200, -0.403714100");
}
related_pin : "B";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0014727630, 0.0043380610, 0.0127778700, 0.0376375600, 0.1108624000, 0.3265481000");
values("0.0270396000, 0.0283062000, 0.0320877000, 0.0429030000, 0.0744942000, 0.1672355000, 0.4403905000", \
"0.0263148000, 0.0276275000, 0.0314933000, 0.0425144000, 0.0742167000, 0.1676019000, 0.4403025000", \
"0.0256227000, 0.0268715000, 0.0307041000, 0.0417843000, 0.0737300000, 0.1671308000, 0.4398000000", \
"0.0251582000, 0.0264175000, 0.0302064000, 0.0410858000, 0.0730121000, 0.1664084000, 0.4399252000", \
"0.0246719000, 0.0259435000, 0.0296457000, 0.0405000000, 0.0722484000, 0.1653606000, 0.4390693000", \
"0.0246093000, 0.0258439000, 0.0295270000, 0.0404079000, 0.0723430000, 0.1655440000, 0.4386757000", \
"0.0252337000, 0.0263968000, 0.0299082000, 0.0403365000, 0.0722816000, 0.1654813000, 0.4390582000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0014727630, 0.0043380610, 0.0127778700, 0.0376375600, 0.1108624000, 0.3265481000");
values("0.0015382000, 0.0002908000, -0.003369500, -0.014166700, -0.045923500, -0.139623000, -0.415715800", \
"0.0010621000, -0.000104200, -0.003604700, -0.014165200, -0.045713300, -0.139313200, -0.415339400", \
"0.0001710000, -0.000940700, -0.004263600, -0.014442800, -0.045633500, -0.138998400, -0.414930100", \
"-0.000897800, -0.001968700, -0.005256000, -0.015274500, -0.046022000, -0.138994600, -0.414712800", \
"-0.001044700, -0.002201300, -0.005618400, -0.015826400, -0.047100600, -0.139463800, -0.414900700", \
"-0.000386200, -0.001686300, -0.005396200, -0.016173900, -0.047062100, -0.140119100, -0.415151100", \
"0.0043340000, 0.0027755000, -0.001280600, -0.012490500, -0.044303400, -0.139842600, -0.415883000");
}
related_pin : "C";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0014727630, 0.0043380610, 0.0127778700, 0.0376375600, 0.1108624000, 0.3265481000");
values("0.0231837000, 0.0244400000, 0.0283406000, 0.0391892000, 0.0707054000, 0.1637098000, 0.4366271000", \
"0.0223325000, 0.0236410000, 0.0275149000, 0.0386936000, 0.0706162000, 0.1633083000, 0.4364088000", \
"0.0215126000, 0.0228700000, 0.0267085000, 0.0378439000, 0.0698694000, 0.1630481000, 0.4365776000", \
"0.0210864000, 0.0223548000, 0.0260725000, 0.0370398000, 0.0688932000, 0.1624368000, 0.4364140000", \
"0.0208851000, 0.0221103000, 0.0257596000, 0.0365376000, 0.0681972000, 0.1615216000, 0.4354284000", \
"0.0217792000, 0.0230205000, 0.0265149000, 0.0371524000, 0.0684885000, 0.1612933000, 0.4344729000", \
"0.0250117000, 0.0261087000, 0.0293860000, 0.0394729000, 0.0704662000, 0.1619743000, 0.4348021000");
}
}
max_capacitance : 0.0915630000;
max_transition : 1.5017200000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0014727600, 0.0043380600, 0.0127779000, 0.0376376000, 0.1108620000, 0.3265480000");
values("0.0300958000, 0.0321493000, 0.0379599000, 0.0540678000, 0.0970227000, 0.2135865000, 0.5441297000", \
"0.0388510000, 0.0408702000, 0.0465434000, 0.0622811000, 0.1050598000, 0.2214282000, 0.5525329000", \
"0.0646538000, 0.0665925000, 0.0714652000, 0.0866006000, 0.1281765000, 0.2442866000, 0.5751153000", \
"0.1197562000, 0.1228901000, 0.1313587000, 0.1521368000, 0.1966240000, 0.3112955000, 0.6405592000", \
"0.2168828000, 0.2223392000, 0.2370125000, 0.2736012000, 0.3517214000, 0.5010177000, 0.8295963000", \
"0.3704642000, 0.3804383000, 0.4079215000, 0.4743540000, 0.6200289000, 0.8924808000, 1.3599338000", \
"0.5638960000, 0.5810107000, 0.6319862000, 0.7572655000, 1.0391190000, 1.5581845000, 2.4252020000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0014727600, 0.0043380600, 0.0127779000, 0.0376376000, 0.1108620000, 0.3265480000");
values("0.2272133000, 0.2385024000, 0.2710446000, 0.3649684000, 0.6462322000, 1.4470161000, 3.8346442000", \
"0.2309042000, 0.2429321000, 0.2761571000, 0.3721260000, 0.6471971000, 1.4540413000, 3.8305181000", \
"0.2518507000, 0.2628708000, 0.2960730000, 0.3929690000, 0.6696704000, 1.4784650000, 3.8527967000", \
"0.3128394000, 0.3242324000, 0.3567303000, 0.4527009000, 0.7294085000, 1.5519093000, 3.9176875000", \
"0.4526985000, 0.4658159000, 0.5020609000, 0.5999237000, 0.8774617000, 1.6873491000, 4.0701629000", \
"0.7143518000, 0.7320105000, 0.7822301000, 0.9128419000, 1.2402854000, 2.0641688000, 4.4419304000", \
"1.1397602000, 1.1739018000, 1.2667947000, 1.4970715000, 1.9791151000, 3.0360376000, 5.4845137000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0014727600, 0.0043380600, 0.0127779000, 0.0376376000, 0.1108620000, 0.3265480000");
values("0.0326224000, 0.0350724000, 0.0423727000, 0.0625335000, 0.1182077000, 0.2764289000, 0.7442132000", \
"0.0313366000, 0.0338965000, 0.0412046000, 0.0616173000, 0.1175651000, 0.2763673000, 0.7445646000", \
"0.0345970000, 0.0365316000, 0.0423512000, 0.0606596000, 0.1162988000, 0.2761007000, 0.7435869000", \
"0.0579466000, 0.0601347000, 0.0665054000, 0.0824482000, 0.1247128000, 0.2749582000, 0.7434206000", \
"0.1089253000, 0.1128394000, 0.1227721000, 0.1473414000, 0.2011408000, 0.3187149000, 0.7460366000", \
"0.2165068000, 0.2234900000, 0.2441496000, 0.2917331000, 0.3768178000, 0.5471962000, 0.8914209000", \
"0.4524217000, 0.4672100000, 0.5077552000, 0.5975374000, 0.7679751000, 1.0550758000, 1.5508058000");
}
related_pin : "A";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0014727600, 0.0043380600, 0.0127779000, 0.0376376000, 0.1108620000, 0.3265480000");
values("0.1457773000, 0.1600783000, 0.2021478000, 0.3271401000, 0.6969032000, 1.7656454000, 4.9187765000", \
"0.1456762000, 0.1598648000, 0.2026112000, 0.3268324000, 0.6921985000, 1.7680170000, 4.9129607000", \
"0.1462946000, 0.1600305000, 0.2021367000, 0.3268625000, 0.6921987000, 1.7690506000, 4.9199684000", \
"0.1460962000, 0.1603210000, 0.2028433000, 0.3269571000, 0.6929388000, 1.7716250000, 4.9133906000", \
"0.1686032000, 0.1817611000, 0.2202244000, 0.3368632000, 0.6954931000, 1.7653468000, 4.9158877000", \
"0.2469492000, 0.2618143000, 0.3062618000, 0.4332077000, 0.7713479000, 1.7776105000, 4.9315632000", \
"0.5034215000, 0.5222865000, 0.5765931000, 0.7209692000, 1.0891600000, 2.0720052000, 4.9774065000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0014727600, 0.0043380600, 0.0127779000, 0.0376376000, 0.1108620000, 0.3265480000");
values("0.0337998000, 0.0356518000, 0.0409148000, 0.0554522000, 0.0953466000, 0.2088262000, 0.5391247000", \
"0.0419665000, 0.0438016000, 0.0490908000, 0.0636215000, 0.1036199000, 0.2169239000, 0.5474978000", \
"0.0659377000, 0.0677212000, 0.0727444000, 0.0870278000, 0.1269120000, 0.2405279000, 0.5706670000", \
"0.1154912000, 0.1187538000, 0.1275077000, 0.1490548000, 0.1939963000, 0.3071071000, 0.6369508000", \
"0.1977128000, 0.2036979000, 0.2199806000, 0.2591374000, 0.3422723000, 0.4950688000, 0.8237807000", \
"0.3149159000, 0.3263024000, 0.3572435000, 0.4332121000, 0.5904335000, 0.8751432000, 1.3530554000", \
"0.4335032000, 0.4551469000, 0.5105613000, 0.6599667000, 0.9664540000, 1.5132552000, 2.3985656000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0014727600, 0.0043380600, 0.0127779000, 0.0376376000, 0.1108620000, 0.3265480000");
values("0.2028727000, 0.2142116000, 0.2482177000, 0.3439074000, 0.6178569000, 1.4243581000, 3.8002783000", \
"0.2046756000, 0.2161802000, 0.2499919000, 0.3461652000, 0.6228932000, 1.4330803000, 3.8045758000", \
"0.2206800000, 0.2324497000, 0.2659540000, 0.3622675000, 0.6412528000, 1.4577463000, 3.8271377000", \
"0.2784384000, 0.2896249000, 0.3225706000, 0.4182984000, 0.6962673000, 1.5177524000, 3.8862376000", \
"0.4126471000, 0.4268030000, 0.4674859000, 0.5713494000, 0.8491116000, 1.6609095000, 4.0406642000", \
"0.6657218000, 0.6917848000, 0.7535786000, 0.9094611000, 1.2699818000, 2.1001445000, 4.4783781000", \
"1.0701159000, 1.1151939000, 1.2350274000, 1.5225277000, 2.1126894000, 3.2459706000, 5.7141012000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0014727600, 0.0043380600, 0.0127779000, 0.0376376000, 0.1108620000, 0.3265480000");
values("0.0278919000, 0.0298777000, 0.0358522000, 0.0533874000, 0.1052138000, 0.2601636000, 0.7180056000", \
"0.0273033000, 0.0293272000, 0.0354484000, 0.0531094000, 0.1053590000, 0.2604573000, 0.7172414000", \
"0.0292125000, 0.0309851000, 0.0363265000, 0.0527165000, 0.1050188000, 0.2601536000, 0.7194093000", \
"0.0511414000, 0.0534035000, 0.0598117000, 0.0742960000, 0.1148279000, 0.2601557000, 0.7174979000", \
"0.1003229000, 0.1043011000, 0.1148801000, 0.1402858000, 0.1936315000, 0.3073385000, 0.7217438000", \
"0.2138837000, 0.2216878000, 0.2421545000, 0.2839392000, 0.3785345000, 0.5433573000, 0.8721656000", \
"0.4427093000, 0.4579074000, 0.5102547000, 0.5966279000, 0.7734164000, 1.0617303000, 1.5558081000");
}
related_pin : "B";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0014727600, 0.0043380600, 0.0127779000, 0.0376376000, 0.1108620000, 0.3265480000");
values("0.1451184000, 0.1595621000, 0.2024287000, 0.3272650000, 0.6920916000, 1.7660938000, 4.9125886000", \
"0.1454619000, 0.1597671000, 0.2021830000, 0.3269801000, 0.6922825000, 1.7691515000, 4.9239102000", \
"0.1457139000, 0.1598927000, 0.2024434000, 0.3272341000, 0.6927544000, 1.7702908000, 4.9275570000", \
"0.1464522000, 0.1602240000, 0.2030741000, 0.3271354000, 0.6920167000, 1.7706820000, 4.9169899000", \
"0.1884738000, 0.2012370000, 0.2372486000, 0.3471256000, 0.6947318000, 1.7651544000, 4.9178626000", \
"0.3102593000, 0.3266194000, 0.3705236000, 0.4895836000, 0.8039614000, 1.7823156000, 4.9130300000", \
"0.6327867000, 0.6552991000, 0.7214200000, 0.8799319000, 1.2457542000, 2.1420605000, 4.9675601000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0014727600, 0.0043380600, 0.0127779000, 0.0376376000, 0.1108620000, 0.3265480000");
values("0.0273217000, 0.0290273000, 0.0338484000, 0.0475920000, 0.0871307000, 0.2030924000, 0.5448900000", \
"0.0350776000, 0.0368529000, 0.0419011000, 0.0557223000, 0.0955328000, 0.2115133000, 0.5525889000", \
"0.0563514000, 0.0586718000, 0.0646990000, 0.0790915000, 0.1188739000, 0.2346021000, 0.5772589000", \
"0.0932363000, 0.0975838000, 0.1090081000, 0.1349538000, 0.1855321000, 0.3020176000, 0.6412854000", \
"0.1476719000, 0.1561742000, 0.1782648000, 0.2276963000, 0.3240528000, 0.4871782000, 0.8295864000", \
"0.2092291000, 0.2244662000, 0.2683291000, 0.3651827000, 0.5452893000, 0.8547808000, 1.3508363000", \
"0.2083581000, 0.2400804000, 0.3197582000, 0.5115027000, 0.8698355000, 1.4604093000, 2.3900604000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0014727600, 0.0043380600, 0.0127779000, 0.0376376000, 0.1108620000, 0.3265480000");
values("0.1263439000, 0.1379974000, 0.1714352000, 0.2670374000, 0.5419325000, 1.3564332000, 3.7231440000", \
"0.1257520000, 0.1377452000, 0.1716737000, 0.2685872000, 0.5480411000, 1.3541738000, 3.7505781000", \
"0.1399643000, 0.1510596000, 0.1843240000, 0.2809691000, 0.5608427000, 1.3731879000, 3.7471928000", \
"0.1987596000, 0.2088732000, 0.2400602000, 0.3352758000, 0.6111366000, 1.4239541000, 3.8148338000", \
"0.3368772000, 0.3535135000, 0.3978033000, 0.5049485000, 0.7789474000, 1.5870917000, 3.9916030000", \
"0.5732805000, 0.6015811000, 0.6786214000, 0.8632355000, 1.2507565000, 2.0637916000, 4.4371546000", \
"0.9942380000, 1.0421256000, 1.1711743000, 1.4827356000, 2.1311117000, 3.3600973000, 5.7850991000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0014727600, 0.0043380600, 0.0127779000, 0.0376376000, 0.1108620000, 0.3265480000");
values("0.0180210000, 0.0200903000, 0.0264188000, 0.0448495000, 0.0990630000, 0.2589305000, 0.7300571000", \
"0.0180147000, 0.0200862000, 0.0262358000, 0.0445198000, 0.0990084000, 0.2592160000, 0.7323535000", \
"0.0243538000, 0.0258148000, 0.0301843000, 0.0459573000, 0.0993148000, 0.2589662000, 0.7303171000", \
"0.0470865000, 0.0498978000, 0.0558658000, 0.0718532000, 0.1118248000, 0.2594363000, 0.7287066000", \
"0.0997532000, 0.1039472000, 0.1152339000, 0.1435559000, 0.1955849000, 0.3101833000, 0.7301745000", \
"0.2173166000, 0.2262979000, 0.2467533000, 0.2936359000, 0.3865712000, 0.5511355000, 0.8834226000", \
"0.4690707000, 0.4858743000, 0.5365086000, 0.6205096000, 0.7893179000, 1.0726968000, 1.5677752000");
}
related_pin : "C";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0014727600, 0.0043380600, 0.0127779000, 0.0376376000, 0.1108620000, 0.3265480000");
values("0.1435150000, 0.1576464000, 0.2015182000, 0.3267248000, 0.6916235000, 1.7702982000, 4.9174229000", \
"0.1423242000, 0.1571021000, 0.2007460000, 0.3269047000, 0.6927383000, 1.7623621000, 4.9334759000", \
"0.1395961000, 0.1550812000, 0.1995339000, 0.3268049000, 0.6930345000, 1.7648553000, 4.9153486000", \
"0.1423428000, 0.1559547000, 0.1967879000, 0.3235363000, 0.6917877000, 1.7663195000, 4.9237872000", \
"0.2082327000, 0.2224356000, 0.2629402000, 0.3596035000, 0.6929244000, 1.7659211000, 4.9278599000", \
"0.3578838000, 0.3782300000, 0.4321592000, 0.5624872000, 0.8643189000, 1.7881248000, 4.9146434000", \
"0.6412946000, 0.6762982000, 0.7663225000, 0.9843527000, 1.4258110000, 2.2887547000, 4.9732551000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
}
}
/* removed sky130_fd_sc_hd__nor3b_1 */
/* removed sky130_fd_sc_hd__nor3b_2 */
/* removed sky130_fd_sc_hd__nor3b_4 */
/* removed sky130_fd_sc_hd__nor4_1 */
/* removed sky130_fd_sc_hd__nor4_2 */
cell ("sky130_fd_sc_hd__nor4_4") {
leakage_power () {
value : 6.0550209000;
when : "!A&!B&!C&D";
}
leakage_power () {
value : 15.957859000;
when : "!A&!B&!C&!D";
}
leakage_power () {
value : 0.4187241000;
when : "!A&!B&C&D";
}
leakage_power () {
value : 3.9027515000;
when : "!A&!B&C&!D";
}
leakage_power () {
value : 0.4206438000;
when : "!A&B&!C&D";
}
leakage_power () {
value : 3.6882899000;
when : "!A&B&!C&!D";
}
leakage_power () {
value : 0.2159992000;
when : "!A&B&C&D";
}
leakage_power () {
value : 0.4060892000;
when : "!A&B&C&!D";
}
leakage_power () {
value : 0.4112538000;
when : "A&!B&!C&D";
}
leakage_power () {
value : 3.4640082000;
when : "A&!B&!C&!D";
}
leakage_power () {
value : 0.2134509000;
when : "A&!B&C&D";
}
leakage_power () {
value : 0.3971964000;
when : "A&!B&C&!D";
}
leakage_power () {
value : 0.2153376000;
when : "A&B&!C&D";
}
leakage_power () {
value : 0.3954297000;
when : "A&B&!C&!D";
}
leakage_power () {
value : 0.1459999000;
when : "A&B&C&D";
}
leakage_power () {
value : 0.2111452000;
when : "A&B&C&!D";
}
area : 21.270400000;
cell_footprint : "sky130_fd_sc_hd__nor4";
cell_leakage_power : 2.2824500000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0086840000;
clock : "false";
direction : "input";
fall_capacitance : 0.0083150000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0127610000, 0.0127586000, 0.0127541000, 0.0127504000, 0.0127417000, 0.0127196000, 0.0126593000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.010828700, -0.010940300, -0.011238500, -0.011279400, -0.011378400, -0.011641300, -0.012366300");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0090520000;
}
pin ("B") {
capacitance : 0.0086300000;
clock : "false";
direction : "input";
fall_capacitance : 0.0081310000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0130255000, 0.0130150000, 0.0129878000, 0.0129898000, 0.0129978000, 0.0130223000, 0.0130934000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.010901300, -0.010969300, -0.011145400, -0.011192200, -0.011308200, -0.011619400, -0.012480300");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0091290000;
}
pin ("C") {
capacitance : 0.0084410000;
clock : "false";
direction : "input";
fall_capacitance : 0.0078790000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0128585000, 0.0128402000, 0.0127909000, 0.0127906000, 0.0127921000, 0.0127982000, 0.0128176000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.011499000, -0.011665400, -0.012118300, -0.012145500, -0.012206400, -0.012362800, -0.012787700");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0090030000;
}
pin ("D") {
capacitance : 0.0085740000;
clock : "false";
direction : "input";
fall_capacitance : 0.0079470000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0093485000, 0.0093184000, 0.0092359000, 0.0092698000, 0.0093674000, 0.0096447000, 0.0104280000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.005825800, -0.005830900, -0.005831900, -0.005838800, -0.005844800, -0.005848600, -0.005846300");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0092000000;
}
pin ("Y") {
direction : "output";
function : "(!A&!B&!C&!D)";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0014038170, 0.0039414040, 0.0110660200, 0.0310693300, 0.0872313000, 0.2449135000");
values("0.0212948000, 0.0201383000, 0.0169528000, 0.0078550000, -0.017660800, -0.088808200, -0.289289700", \
"0.0210308000, 0.0198795000, 0.0166180000, 0.0075380000, -0.017961900, -0.089057600, -0.289490600", \
"0.0208138000, 0.0196632000, 0.0164225000, 0.0073326000, -0.018155700, -0.089267500, -0.289750900", \
"0.0200949000, 0.0189425000, 0.0157563000, 0.0068196000, -0.018617900, -0.089808400, -0.290299600", \
"0.0193825000, 0.0182484000, 0.0150959000, 0.0061628000, -0.019080400, -0.090080800, -0.290445600", \
"0.0213098000, 0.0201327000, 0.0168479000, 0.0076823000, -0.017896200, -0.089921100, -0.290401100", \
"0.0265783000, 0.0253213000, 0.0219678000, 0.0125829000, -0.013570100, -0.085830200, -0.288599600");
}
related_pin : "A";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0014038170, 0.0039414040, 0.0110660200, 0.0310693300, 0.0872313000, 0.2449135000");
values("0.0457755000, 0.0469388000, 0.0501597000, 0.0592141000, 0.0845877000, 0.1555916000, 0.3551430000", \
"0.0453282000, 0.0465123000, 0.0497181000, 0.0590202000, 0.0843675000, 0.1553352000, 0.3548602000", \
"0.0445448000, 0.0458303000, 0.0490644000, 0.0584459000, 0.0843728000, 0.1552595000, 0.3551797000", \
"0.0441323000, 0.0453002000, 0.0485534000, 0.0577471000, 0.0833963000, 0.1552302000, 0.3550693000", \
"0.0436807000, 0.0449110000, 0.0481701000, 0.0572194000, 0.0827759000, 0.1544794000, 0.3546821000", \
"0.0436751000, 0.0448210000, 0.0481022000, 0.0572731000, 0.0825023000, 0.1537690000, 0.3539351000", \
"0.0429657000, 0.0441179000, 0.0473610000, 0.0563927000, 0.0829771000, 0.1542483000, 0.3534145000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0014038170, 0.0039414040, 0.0110660200, 0.0310693300, 0.0872313000, 0.2449135000");
values("0.0166176000, 0.0154761000, 0.0122434000, 0.0031855000, -0.022182700, -0.093419900, -0.294465500", \
"0.0166417000, 0.0154818000, 0.0122570000, 0.0031442000, -0.022203600, -0.093370000, -0.294461600", \
"0.0165335000, 0.0153584000, 0.0121217000, 0.0030422000, -0.022267800, -0.093431700, -0.294495600", \
"0.0156831000, 0.0146218000, 0.0114506000, 0.0026027000, -0.022613400, -0.093741100, -0.294745300", \
"0.0149729000, 0.0139360000, 0.0106474000, 0.0017724000, -0.023154200, -0.093981400, -0.294970600", \
"0.0166729000, 0.0156394000, 0.0122946000, 0.0032589000, -0.022539800, -0.094332700, -0.294509800", \
"0.0223443000, 0.0202765000, 0.0176824000, 0.0081732000, -0.018465500, -0.091195400, -0.293482600");
}
related_pin : "B";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0014038170, 0.0039414040, 0.0110660200, 0.0310693300, 0.0872313000, 0.2449135000");
values("0.0386505000, 0.0399125000, 0.0431004000, 0.0523093000, 0.0775380000, 0.1485930000, 0.3482800000", \
"0.0380545000, 0.0392696000, 0.0426435000, 0.0519092000, 0.0772636000, 0.1483333000, 0.3480610000", \
"0.0374689000, 0.0385557000, 0.0419546000, 0.0511589000, 0.0768900000, 0.1486373000, 0.3482302000", \
"0.0368509000, 0.0380155000, 0.0412759000, 0.0506195000, 0.0761702000, 0.1477387000, 0.3475083000", \
"0.0364252000, 0.0375525000, 0.0408479000, 0.0499637000, 0.0753854000, 0.1470037000, 0.3472569000", \
"0.0363444000, 0.0374744000, 0.0407928000, 0.0498786000, 0.0751861000, 0.1468050000, 0.3466699000", \
"0.0357273000, 0.0368604000, 0.0399215000, 0.0490213000, 0.0752079000, 0.1467465000, 0.3469682000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0014038170, 0.0039414040, 0.0110660200, 0.0310693300, 0.0872313000, 0.2449135000");
values("0.0125782000, 0.0114326000, 0.0082228000, -0.000826100, -0.026162600, -0.097717300, -0.299272800", \
"0.0126553000, 0.0115134000, 0.0083129000, -0.000677700, -0.025996000, -0.097511100, -0.299041200", \
"0.0127997000, 0.0116889000, 0.0085271000, -0.000338800, -0.025552400, -0.096966400, -0.298398900", \
"0.0120562000, 0.0109477000, 0.0079434000, -0.000773500, -0.025691300, -0.096968200, -0.298251200", \
"0.0118599000, 0.0107678000, 0.0076660000, -0.001170900, -0.026609700, -0.097395900, -0.298340500", \
"0.0125481000, 0.0114274000, 0.0082584000, -0.000725000, -0.026037700, -0.097397300, -0.298374700", \
"0.0167322000, 0.0155449000, 0.0122473000, 0.0038415000, -0.023017600, -0.095959100, -0.297828400");
}
related_pin : "C";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0014038170, 0.0039414040, 0.0110660200, 0.0310693300, 0.0872313000, 0.2449135000");
values("0.0312692000, 0.0324210000, 0.0357106000, 0.0448022000, 0.0702092000, 0.1412040000, 0.3410968000", \
"0.0306218000, 0.0319385000, 0.0351558000, 0.0444305000, 0.0700081000, 0.1414027000, 0.3409755000", \
"0.0299835000, 0.0311489000, 0.0344592000, 0.0437591000, 0.0696455000, 0.1413063000, 0.3405024000", \
"0.0294196000, 0.0305975000, 0.0339624000, 0.0430896000, 0.0688745000, 0.1404496000, 0.3405645000", \
"0.0290276000, 0.0301996000, 0.0334653000, 0.0426028000, 0.0680412000, 0.1395141000, 0.3398253000", \
"0.0290022000, 0.0301379000, 0.0334279000, 0.0424574000, 0.0679680000, 0.1395696000, 0.3389916000", \
"0.0294660000, 0.0305422000, 0.0336782000, 0.0424582000, 0.0684923000, 0.1391900000, 0.3393949000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0014038170, 0.0039414040, 0.0110660200, 0.0310693300, 0.0872313000, 0.2449135000");
values("0.0019149000, 0.0007456000, -0.002558300, -0.011847600, -0.037701500, -0.109617300, -0.311455600", \
"0.0015051000, 0.0003904000, -0.002801000, -0.011908300, -0.037520800, -0.109302400, -0.311077700", \
"0.0005584000, -0.000514500, -0.003589600, -0.012350900, -0.037527600, -0.109026400, -0.310615100", \
"-0.000779200, -0.001799600, -0.004723300, -0.013246500, -0.037986100, -0.109014800, -0.310383100", \
"-0.001264700, -0.002341400, -0.005346400, -0.013843900, -0.038907300, -0.109596900, -0.310502700", \
"-0.000541600, -0.001680000, -0.004898500, -0.013858900, -0.039526000, -0.110322000, -0.311199100", \
"0.0044601000, 0.0031848000, -0.000399900, -0.010082700, -0.037179600, -0.109719700, -0.310755500");
}
related_pin : "D";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0014038170, 0.0039414040, 0.0110660200, 0.0310693300, 0.0872313000, 0.2449135000");
values("0.0274195000, 0.0285963000, 0.0320483000, 0.0411990000, 0.0667129000, 0.1379995000, 0.3376445000", \
"0.0265980000, 0.0278385000, 0.0313219000, 0.0406789000, 0.0663215000, 0.1376330000, 0.3375786000", \
"0.0259041000, 0.0270494000, 0.0304802000, 0.0397533000, 0.0658204000, 0.1373621000, 0.3371213000", \
"0.0252076000, 0.0263929000, 0.0297280000, 0.0390334000, 0.0648183000, 0.1367324000, 0.3370091000", \
"0.0251116000, 0.0262602000, 0.0295003000, 0.0384876000, 0.0642869000, 0.1355729000, 0.3364702000", \
"0.0260206000, 0.0271247000, 0.0302633000, 0.0391446000, 0.0643167000, 0.1350712000, 0.3352253000", \
"0.0299865000, 0.0310476000, 0.0340493000, 0.0425677000, 0.0671485000, 0.1365364000, 0.3353309000");
}
}
max_capacitance : 0.0650120000;
max_transition : 1.4961820000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0014038200, 0.0039414000, 0.0110660000, 0.0310693000, 0.0872313000, 0.2449140000");
values("0.0327597000, 0.0346106000, 0.0397439000, 0.0536014000, 0.0899367000, 0.1842211000, 0.4335139000", \
"0.0416317000, 0.0434951000, 0.0485285000, 0.0620790000, 0.0981776000, 0.1920513000, 0.4407104000", \
"0.0678530000, 0.0695086000, 0.0741084000, 0.0870037000, 0.1219703000, 0.2153977000, 0.4641778000", \
"0.1271701000, 0.1299026000, 0.1369855000, 0.1544817000, 0.1916166000, 0.2809829000, 0.5290551000", \
"0.2362927000, 0.2409150000, 0.2527036000, 0.2826805000, 0.3467177000, 0.4698986000, 0.7189584000", \
"0.4181383000, 0.4263589000, 0.4481217000, 0.5015238000, 0.6162486000, 0.8407403000, 1.2275398000", \
"0.6716889000, 0.6865812000, 0.7262647000, 0.8238900000, 1.0423808000, 1.4624948000, 2.1825846000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0014038200, 0.0039414000, 0.0110660000, 0.0310693000, 0.0872313000, 0.2449140000");
values("0.3796260000, 0.3930105000, 0.4301983000, 0.5360870000, 0.8275325000, 1.6454978000, 3.9526808000", \
"0.3821637000, 0.3963342000, 0.4351731000, 0.5420385000, 0.8348106000, 1.6635139000, 3.9475410000", \
"0.4003419000, 0.4145146000, 0.4530979000, 0.5618268000, 0.8589396000, 1.6865451000, 3.9728829000", \
"0.4596348000, 0.4735597000, 0.5110729000, 0.6175015000, 0.9179849000, 1.7401621000, 4.0348107000", \
"0.6035311000, 0.6177145000, 0.6561325000, 0.7627899000, 1.0563284000, 1.8817812000, 4.1780761000", \
"0.8916249000, 0.9086056000, 0.9546254000, 1.0802720000, 1.3996038000, 2.2270028000, 4.5248485000", \
"1.3890366000, 1.4156792000, 1.4919355000, 1.6664901000, 2.0912352000, 3.0713052000, 5.4229319000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0014038200, 0.0039414000, 0.0110660000, 0.0310693000, 0.0872313000, 0.2449140000");
values("0.0370780000, 0.0396725000, 0.0467615000, 0.0657938000, 0.1152058000, 0.2444375000, 0.5950007000", \
"0.0363400000, 0.0389182000, 0.0458143000, 0.0649026000, 0.1145750000, 0.2434747000, 0.5950400000", \
"0.0393419000, 0.0414098000, 0.0473421000, 0.0642081000, 0.1128327000, 0.2429709000, 0.5943274000", \
"0.0644671000, 0.0665726000, 0.0722858000, 0.0862340000, 0.1225449000, 0.2413302000, 0.5950263000", \
"0.1205285000, 0.1238259000, 0.1322830000, 0.1518982000, 0.2005592000, 0.2939867000, 0.6014003000", \
"0.2372456000, 0.2432317000, 0.2581545000, 0.2948012000, 0.3707766000, 0.5108280000, 0.7827751000", \
"0.4914810000, 0.5031465000, 0.5333395000, 0.6050787000, 0.7450847000, 0.9916814000, 1.3975071000");
}
related_pin : "A";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0014038200, 0.0039414000, 0.0110660000, 0.0310693000, 0.0872313000, 0.2449140000");
values("0.2417213000, 0.2581821000, 0.3067361000, 0.4451764000, 0.8289183000, 1.9046682000, 4.9144715000", \
"0.2409908000, 0.2585632000, 0.3071072000, 0.4453505000, 0.8286959000, 1.9114910000, 4.9347655000", \
"0.2419478000, 0.2587665000, 0.3073956000, 0.4456361000, 0.8333156000, 1.9114939000, 4.9144284000", \
"0.2423922000, 0.2600179000, 0.3071749000, 0.4433569000, 0.8309087000, 1.9074469000, 4.9145332000", \
"0.2470313000, 0.2639899000, 0.3115392000, 0.4460652000, 0.8294891000, 1.9083499000, 4.9094000000", \
"0.3145635000, 0.3322381000, 0.3790511000, 0.5149577000, 0.8715743000, 1.9121302000, 4.9126778000", \
"0.5161894000, 0.5353225000, 0.5896803000, 0.7350038000, 1.1267792000, 2.1368411000, 4.9883937000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0014038200, 0.0039414000, 0.0110660000, 0.0310693000, 0.0872313000, 0.2449140000");
values("0.0358680000, 0.0378043000, 0.0430319000, 0.0570051000, 0.0926511000, 0.1852729000, 0.4340610000", \
"0.0444942000, 0.0463690000, 0.0515953000, 0.0652587000, 0.1008179000, 0.1930855000, 0.4420258000", \
"0.0698299000, 0.0713140000, 0.0763079000, 0.0891433000, 0.1239469000, 0.2161041000, 0.4649770000", \
"0.1273784000, 0.1297939000, 0.1371521000, 0.1541500000, 0.1915871000, 0.2819978000, 0.5302986000", \
"0.2257300000, 0.2301844000, 0.2430836000, 0.2731986000, 0.3400439000, 0.4659166000, 0.7162223000", \
"0.3776737000, 0.3859267000, 0.4092849000, 0.4656751000, 0.5866759000, 0.8191787000, 1.2168976000", \
"0.5598184000, 0.5730434000, 0.6183214000, 0.7229508000, 0.9506458000, 1.3947830000, 2.1369695000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0014038200, 0.0039414000, 0.0110660000, 0.0310693000, 0.0872313000, 0.2449140000");
values("0.3548583000, 0.3692101000, 0.4077493000, 0.5135999000, 0.8053166000, 1.6225712000, 3.9218695000", \
"0.3554636000, 0.3693905000, 0.4093944000, 0.5163375000, 0.8094067000, 1.6281723000, 3.9241774000", \
"0.3698241000, 0.3839137000, 0.4230671000, 0.5298784000, 0.8261416000, 1.6474511000, 3.9431143000", \
"0.4253962000, 0.4389871000, 0.4766257000, 0.5845496000, 0.8800603000, 1.7030055000, 4.0014947000", \
"0.5660585000, 0.5804177000, 0.6197977000, 0.7266620000, 1.0222320000, 1.8428251000, 4.1451034000", \
"0.8451002000, 0.8631473000, 0.9158799000, 1.0486155000, 1.3825884000, 2.2149866000, 4.5270783000", \
"1.3134381000, 1.3477589000, 1.4447589000, 1.6583637000, 2.1419748000, 3.1738285000, 5.5372249000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0014038200, 0.0039414000, 0.0110660000, 0.0310693000, 0.0872313000, 0.2449140000");
values("0.0379492000, 0.0402909000, 0.0466201000, 0.0637266000, 0.1090881000, 0.2337795000, 0.5825681000", \
"0.0368435000, 0.0391931000, 0.0456286000, 0.0629240000, 0.1087955000, 0.2331159000, 0.5825914000", \
"0.0378747000, 0.0397506000, 0.0454265000, 0.0614398000, 0.1075533000, 0.2329365000, 0.5824658000", \
"0.0608684000, 0.0630580000, 0.0683202000, 0.0813831000, 0.1166011000, 0.2326868000, 0.5823698000", \
"0.1128970000, 0.1171437000, 0.1252540000, 0.1479814000, 0.1925721000, 0.2884073000, 0.5893858000", \
"0.2290820000, 0.2360837000, 0.2508671000, 0.2898569000, 0.3647166000, 0.5106551000, 0.7846411000", \
"0.4744048000, 0.4941398000, 0.5197938000, 0.5966570000, 0.7435110000, 0.9899046000, 1.4044600000");
}
related_pin : "B";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0014038200, 0.0039414000, 0.0110660000, 0.0310693000, 0.0872313000, 0.2449140000");
values("0.2411547000, 0.2585213000, 0.3069716000, 0.4454210000, 0.8288406000, 1.9037625000, 4.9118705000", \
"0.2414655000, 0.2588109000, 0.3076641000, 0.4455943000, 0.8284375000, 1.9079933000, 4.9191948000", \
"0.2410262000, 0.2583811000, 0.3079299000, 0.4431754000, 0.8290846000, 1.9058652000, 4.9156998000", \
"0.2424807000, 0.2586892000, 0.3072127000, 0.4455043000, 0.8289430000, 1.9035085000, 4.9355000000", \
"0.2562935000, 0.2721205000, 0.3184511000, 0.4491688000, 0.8302846000, 1.9045225000, 4.9133897000", \
"0.3479828000, 0.3655656000, 0.4150266000, 0.5456865000, 0.8909182000, 1.9221711000, 4.9286699000", \
"0.6431239000, 0.6649284000, 0.7198628000, 0.8643419000, 1.2345411000, 2.1930320000, 4.9653375000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0014038200, 0.0039414000, 0.0110660000, 0.0310693000, 0.0872313000, 0.2449140000");
values("0.0366440000, 0.0383759000, 0.0429874000, 0.0553047000, 0.0877708000, 0.1757011000, 0.4193638000", \
"0.0447795000, 0.0464566000, 0.0510521000, 0.0633316000, 0.0959300000, 0.1839438000, 0.4274246000", \
"0.0684874000, 0.0701081000, 0.0745718000, 0.0866989000, 0.1190585000, 0.2070657000, 0.4503439000", \
"0.1209972000, 0.1237532000, 0.1309321000, 0.1486196000, 0.1861849000, 0.2738908000, 0.5169657000", \
"0.2056390000, 0.2107857000, 0.2242957000, 0.2570910000, 0.3259420000, 0.4551617000, 0.7035947000", \
"0.3278364000, 0.3375696000, 0.3632029000, 0.4229868000, 0.5556605000, 0.7965333000, 1.1996110000", \
"0.4432154000, 0.4618629000, 0.5097852000, 0.6344585000, 0.8874212000, 1.3492878000, 2.1071325000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0014038200, 0.0039414000, 0.0110660000, 0.0310693000, 0.0872313000, 0.2449140000");
values("0.2867073000, 0.3006781000, 0.3381388000, 0.4442670000, 0.7417472000, 1.5569255000, 3.8483887000", \
"0.2857005000, 0.3006312000, 0.3390940000, 0.4469795000, 0.7407012000, 1.5672784000, 3.8550185000", \
"0.2987911000, 0.3121291000, 0.3512308000, 0.4588170000, 0.7570466000, 1.5815259000, 3.8743426000", \
"0.3512819000, 0.3647593000, 0.4045456000, 0.5115809000, 0.8072424000, 1.6300180000, 3.9289896000", \
"0.4912760000, 0.5067098000, 0.5483900000, 0.6559596000, 0.9534667000, 1.7739642000, 4.0762740000", \
"0.7713273000, 0.7943279000, 0.8556637000, 1.0061855000, 1.3613144000, 2.1974056000, 4.4947286000", \
"1.2242329000, 1.2657130000, 1.3763498000, 1.6426809000, 2.2058371000, 3.3062280000, 5.6792357000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0014038200, 0.0039414000, 0.0110660000, 0.0310693000, 0.0872313000, 0.2449140000");
values("0.0308548000, 0.0328334000, 0.0382293000, 0.0533427000, 0.0958456000, 0.2155695000, 0.5534884000", \
"0.0305154000, 0.0324458000, 0.0379102000, 0.0531327000, 0.0957930000, 0.2159640000, 0.5535081000", \
"0.0319190000, 0.0335466000, 0.0385524000, 0.0527833000, 0.0953305000, 0.2155026000, 0.5527722000", \
"0.0539713000, 0.0560752000, 0.0617562000, 0.0745127000, 0.1067182000, 0.2163879000, 0.5530095000", \
"0.1056383000, 0.1090494000, 0.1179319000, 0.1390288000, 0.1850359000, 0.2748572000, 0.5619142000", \
"0.2215142000, 0.2281942000, 0.2454636000, 0.2840649000, 0.3628097000, 0.5032256000, 0.7609417000", \
"0.4783573000, 0.4916598000, 0.5273457000, 0.5946208000, 0.7437175000, 0.9891375000, 1.3972052000");
}
related_pin : "C";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0014038200, 0.0039414000, 0.0110660000, 0.0310693000, 0.0872313000, 0.2449140000");
values("0.2414177000, 0.2580624000, 0.3065808000, 0.4443667000, 0.8311654000, 1.9057071000, 4.9141073000", \
"0.2409846000, 0.2584965000, 0.3068581000, 0.4451334000, 0.8301290000, 1.9136484000, 4.9099511000", \
"0.2417256000, 0.2584246000, 0.3069115000, 0.4469363000, 0.8306517000, 1.9067830000, 4.9342450000", \
"0.2410396000, 0.2586316000, 0.3076613000, 0.4447766000, 0.8305518000, 1.9070903000, 4.9103930000", \
"0.2674990000, 0.2837882000, 0.3272511000, 0.4569329000, 0.8305392000, 1.9038628000, 4.9135962000", \
"0.3985458000, 0.4171773000, 0.4637054000, 0.5906885000, 0.9193453000, 1.9225601000, 4.9351558000", \
"0.7493807000, 0.7738801000, 0.8404382000, 1.0015838000, 1.3743218000, 2.2791669000, 4.9812363000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0014038200, 0.0039414000, 0.0110660000, 0.0310693000, 0.0872313000, 0.2449140000");
values("0.0279637000, 0.0294558000, 0.0335573000, 0.0446635000, 0.0749618000, 0.1593703000, 0.3956100000", \
"0.0358475000, 0.0374487000, 0.0416486000, 0.0530039000, 0.0835852000, 0.1679761000, 0.4033960000", \
"0.0583772000, 0.0603613000, 0.0651150000, 0.0766657000, 0.1072522000, 0.1916982000, 0.4272320000", \
"0.0992952000, 0.1027972000, 0.1118496000, 0.1327833000, 0.1742498000, 0.2593261000, 0.4935197000", \
"0.1624129000, 0.1693719000, 0.1870066000, 0.2279198000, 0.3058122000, 0.4408417000, 0.6846531000", \
"0.2423489000, 0.2550389000, 0.2905798000, 0.3682857000, 0.5214050000, 0.7793256000, 1.1879847000", \
"0.2828752000, 0.3117609000, 0.3774290000, 0.5306535000, 0.8234972000, 1.3268940000, 2.1009225000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0014038200, 0.0039414000, 0.0110660000, 0.0310693000, 0.0872313000, 0.2449140000");
values("0.1612875000, 0.1753647000, 0.2136079000, 0.3215185000, 0.6143332000, 1.4415419000, 3.7284940000", \
"0.1598058000, 0.1737152000, 0.2122805000, 0.3210713000, 0.6195285000, 1.4379495000, 3.7331107000", \
"0.1711333000, 0.1845916000, 0.2223482000, 0.3302824000, 0.6287321000, 1.4538852000, 3.7508769000", \
"0.2258726000, 0.2381542000, 0.2731588000, 0.3785812000, 0.6766764000, 1.5021032000, 3.8048391000", \
"0.3804157000, 0.3962626000, 0.4379511000, 0.5384289000, 0.8271814000, 1.6480322000, 3.9534207000", \
"0.6423100000, 0.6684202000, 0.7399638000, 0.9100460000, 1.2819895000, 2.0887176000, 4.3858854000", \
"1.0859988000, 1.1302271000, 1.2465199000, 1.5305296000, 2.1313600000, 3.3010907000, 5.6375358000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0014038200, 0.0039414000, 0.0110660000, 0.0310693000, 0.0872313000, 0.2449140000");
values("0.0203378000, 0.0222029000, 0.0272172000, 0.0419845000, 0.0832367000, 0.1982982000, 0.5212537000", \
"0.0204303000, 0.0222430000, 0.0272788000, 0.0417138000, 0.0828685000, 0.1987195000, 0.5210168000", \
"0.0258567000, 0.0270957000, 0.0309428000, 0.0432971000, 0.0832554000, 0.1994968000, 0.5231742000", \
"0.0495810000, 0.0516164000, 0.0566915000, 0.0690034000, 0.0986698000, 0.1997964000, 0.5222338000", \
"0.1035362000, 0.1068943000, 0.1153335000, 0.1372625000, 0.1799655000, 0.2627989000, 0.5309899000", \
"0.2266250000, 0.2330937000, 0.2504086000, 0.2868827000, 0.3588931000, 0.4925975000, 0.7394647000", \
"0.4917851000, 0.5056988000, 0.5387535000, 0.6123306000, 0.7548697000, 0.9875727000, 1.3750182000");
}
related_pin : "D";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0014038200, 0.0039414000, 0.0110660000, 0.0310693000, 0.0872313000, 0.2449140000");
values("0.2286876000, 0.2473919000, 0.3001700000, 0.4418846000, 0.8301722000, 1.9092534000, 4.9139341000", \
"0.2266616000, 0.2451820000, 0.2984435000, 0.4401284000, 0.8298010000, 1.9075208000, 4.9138902000", \
"0.2208985000, 0.2402892000, 0.2941542000, 0.4394118000, 0.8304020000, 1.9038038000, 4.9336091000", \
"0.2142005000, 0.2321624000, 0.2830981000, 0.4320210000, 0.8285155000, 1.9052790000, 4.9134607000", \
"0.2764733000, 0.2896396000, 0.3296624000, 0.4494843000, 0.8190638000, 1.9030959000, 4.9134781000", \
"0.4274708000, 0.4478180000, 0.5039847000, 0.6391687000, 0.9665087000, 1.9147868000, 4.9131530000", \
"0.7406682000, 0.7743958000, 0.8605422000, 1.0658135000, 1.5103746000, 2.3982902000, 4.9880891000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
}
}
/* removed sky130_fd_sc_hd__nor4b_1 */
/* removed sky130_fd_sc_hd__nor4b_2 */
/* removed sky130_fd_sc_hd__nor4b_4 */
/* removed sky130_fd_sc_hd__nor4bb_1 */
/* removed sky130_fd_sc_hd__nor4bb_2 */
/* removed sky130_fd_sc_hd__nor4bb_4 */
/* removed sky130_fd_sc_hd__o2111a_1 */
/* removed sky130_fd_sc_hd__o2111a_2 */
/* removed sky130_fd_sc_hd__o2111a_4 */
/* removed sky130_fd_sc_hd__o2111ai_1 */
/* removed sky130_fd_sc_hd__o2111ai_2 */
/* removed sky130_fd_sc_hd__o2111ai_4 */
/* removed sky130_fd_sc_hd__o211a_1 */
/* removed sky130_fd_sc_hd__o211a_2 */
/* removed sky130_fd_sc_hd__o211a_4 */
/* removed sky130_fd_sc_hd__o211ai_1 */
/* removed sky130_fd_sc_hd__o211ai_2 */
/* removed sky130_fd_sc_hd__o211ai_4 */
/* removed sky130_fd_sc_hd__o21a_1 */
/* removed sky130_fd_sc_hd__o21a_2 */
cell ("sky130_fd_sc_hd__o21a_4") {
leakage_power () {
value : 8.2693949000;
when : "!A1&!A2&B1";
}
leakage_power () {
value : 5.8824554000;
when : "!A1&!A2&!B1";
}
leakage_power () {
value : 6.8866526000;
when : "!A1&A2&B1";
}
leakage_power () {
value : 7.2037123000;
when : "!A1&A2&!B1";
}
leakage_power () {
value : 6.7184680000;
when : "A1&!A2&B1";
}
leakage_power () {
value : 7.2037194000;
when : "A1&!A2&!B1";
}
leakage_power () {
value : 4.8849609000;
when : "A1&A2&B1";
}
leakage_power () {
value : 7.2037269000;
when : "A1&A2&!B1";
}
area : 15.014400000;
cell_footprint : "sky130_fd_sc_hd__o21a";
cell_leakage_power : 6.7816360000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A1") {
capacitance : 0.0048750000;
clock : "false";
direction : "input";
fall_capacitance : 0.0046860000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0067316000, 0.0067053000, 0.0066794000, 0.0066529000, 0.0066261000, 0.0065986000, 0.0065685000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.006729000, -0.006753400, -0.006772500, -0.006799600, -0.006826600, -0.006853100, -0.006878200");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0050650000;
}
pin ("A2") {
capacitance : 0.0044660000;
clock : "false";
direction : "input";
fall_capacitance : 0.0042040000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0062873000, 0.0062575000, 0.0062214000, 0.0061954000, 0.0061703000, 0.0061472000, 0.0061297000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.006278500, -0.006304800, -0.006329700, -0.006356300, -0.006382200, -0.006406300, -0.006425000");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0047280000;
}
pin ("B1") {
capacitance : 0.0045380000;
clock : "false";
direction : "input";
fall_capacitance : 0.0043960000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0075783000, 0.0075484000, 0.0075259000, 0.0074921000, 0.0074579000, 0.0074232000, 0.0073868000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.004243800, -0.004290100, -0.004376700, -0.004372400, -0.004316900, -0.004116700, -0.003509400");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0046800000;
}
pin ("X") {
direction : "output";
function : "(A1&B1) | (A2&B1)";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018032320, 0.0065032890, 0.0234538800, 0.0845855500, 0.3050547000, 1.1001690000");
values("0.0278103000, 0.0262745000, 0.0213376000, 0.0026899000, -0.072987800, -0.354244600, -1.371551900", \
"0.0276262000, 0.0261464000, 0.0213083000, 0.0025078000, -0.073111700, -0.354367000, -1.371664100", \
"0.0274858000, 0.0259449000, 0.0210681000, 0.0023123000, -0.073340300, -0.354526600, -1.371844400", \
"0.0272328000, 0.0257471000, 0.0206350000, 0.0019851000, -0.073633800, -0.354841100, -1.372150900", \
"0.0271299000, 0.0255520000, 0.0204817000, 0.0015440000, -0.074099300, -0.355129700, -1.372380600", \
"0.0296427000, 0.0280540000, 0.0222531000, 0.0010728000, -0.074761700, -0.355520600, -1.372555300", \
"0.0321004000, 0.0303798000, 0.0238093000, 0.0023879000, -0.074746500, -0.355895200, -1.372250800");
}
related_pin : "A1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018032320, 0.0065032890, 0.0234538800, 0.0845855500, 0.3050547000, 1.1001690000");
values("0.0287137000, 0.0305900000, 0.0371869000, 0.0597870000, 0.1380319000, 0.4174751000, 1.4268869000", \
"0.0285836000, 0.0304676000, 0.0370513000, 0.0595958000, 0.1379766000, 0.4171536000, 1.4253527000", \
"0.0283121000, 0.0301460000, 0.0367222000, 0.0593421000, 0.1377863000, 0.4176250000, 1.4295354000", \
"0.0279782000, 0.0298691000, 0.0364555000, 0.0589829000, 0.1374233000, 0.4172520000, 1.4291025000", \
"0.0277354000, 0.0295451000, 0.0361380000, 0.0583044000, 0.1367773000, 0.4184011000, 1.4248529000", \
"0.0282563000, 0.0299539000, 0.0361222000, 0.0584745000, 0.1363284000, 0.4151188000, 1.4241236000", \
"0.0292587000, 0.0309095000, 0.0369763000, 0.0585659000, 0.1372349000, 0.4165261000, 1.4272345000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018032320, 0.0065032890, 0.0234538800, 0.0845855500, 0.3050547000, 1.1001690000");
values("0.0242371000, 0.0227930000, 0.0177619000, -0.000884200, -0.076552000, -0.357713800, -1.375013000", \
"0.0241109000, 0.0225883000, 0.0176231000, -0.001042200, -0.076715000, -0.357876100, -1.375152900", \
"0.0238168000, 0.0223298000, 0.0174611000, -0.001327500, -0.076949000, -0.358137400, -1.375430900", \
"0.0234889000, 0.0221270000, 0.0171676000, -0.001633800, -0.077275500, -0.358404000, -1.375691400", \
"0.0232787000, 0.0218346000, 0.0167636000, -0.002144900, -0.077810200, -0.358731800, -1.375878000", \
"0.0262090000, 0.0246443000, 0.0187563000, -0.002570900, -0.078298900, -0.358937300, -1.375860000", \
"0.0299252000, 0.0281407000, 0.0220572000, 0.0003155000, -0.077271800, -0.358279300, -1.374447300");
}
related_pin : "A2";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018032320, 0.0065032890, 0.0234538800, 0.0845855500, 0.3050547000, 1.1001690000");
values("0.0260526000, 0.0279596000, 0.0345226000, 0.0570928000, 0.1353023000, 0.4169967000, 1.4203438000", \
"0.0261326000, 0.0280044000, 0.0346427000, 0.0572723000, 0.1353665000, 0.4152150000, 1.4208795000", \
"0.0259624000, 0.0278287000, 0.0344507000, 0.0569897000, 0.1352682000, 0.4165794000, 1.4289475000", \
"0.0254358000, 0.0273054000, 0.0339001000, 0.0564897000, 0.1346904000, 0.4145622000, 1.4241081000", \
"0.0249244000, 0.0266772000, 0.0332281000, 0.0553908000, 0.1337360000, 0.4136421000, 1.4179865000", \
"0.0252900000, 0.0270139000, 0.0332650000, 0.0554249000, 0.1331156000, 0.4118537000, 1.4187737000", \
"0.0260467000, 0.0277073000, 0.0336890000, 0.0555662000, 0.1340267000, 0.4135690000, 1.4218662000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018032320, 0.0065032890, 0.0234538800, 0.0845855500, 0.3050547000, 1.1001690000");
values("0.0211935000, 0.0200021000, 0.0157136000, -0.003026900, -0.079951400, -0.361926800, -1.379625300", \
"0.0211090000, 0.0198336000, 0.0156099000, -0.003083000, -0.080002900, -0.362017100, -1.379730500", \
"0.0206732000, 0.0194413000, 0.0151624000, -0.003470300, -0.080337900, -0.362331600, -1.380066600", \
"0.0201118000, 0.0188443000, 0.0144479000, -0.004264400, -0.080949400, -0.362825300, -1.380530200", \
"0.0196252000, 0.0182612000, 0.0135643000, -0.005283600, -0.081713400, -0.363265300, -1.380840200", \
"0.0234165000, 0.0217875000, 0.0159357000, -0.005286600, -0.082129600, -0.363267200, -1.380646900", \
"0.0256113000, 0.0241838000, 0.0181390000, -0.003581400, -0.080884000, -0.362251400, -1.378958700");
}
related_pin : "B1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018032320, 0.0065032890, 0.0234538800, 0.0845855500, 0.3050547000, 1.1001690000");
values("0.0264984000, 0.0283476000, 0.0350325000, 0.0576244000, 0.1359758000, 0.4157280000, 1.4276344000", \
"0.0264018000, 0.0281902000, 0.0348630000, 0.0575088000, 0.1359335000, 0.4157618000, 1.4276837000", \
"0.0262534000, 0.0281354000, 0.0347291000, 0.0572907000, 0.1357311000, 0.4152612000, 1.4231381000", \
"0.0257771000, 0.0276296000, 0.0342292000, 0.0567054000, 0.1351004000, 0.4150196000, 1.4271313000", \
"0.0251471000, 0.0268943000, 0.0334493000, 0.0556844000, 0.1340137000, 0.4136534000, 1.4221829000", \
"0.0257669000, 0.0274767000, 0.0335911000, 0.0555211000, 0.1330771000, 0.4122316000, 1.4222158000", \
"0.0271126000, 0.0287852000, 0.0346126000, 0.0562409000, 0.1346529000, 0.4150899000, 1.4254659000");
}
}
max_capacitance : 0.3250220000;
max_transition : 1.5058480000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018032300, 0.0065032900, 0.0234539000, 0.0845856000, 0.3050550000, 1.1001700000");
values("0.3391950000, 0.3471650000, 0.3693946000, 0.4224260000, 0.5470369000, 0.9014184000, 2.1392619000", \
"0.3466895000, 0.3545290000, 0.3765977000, 0.4300625000, 0.5545066000, 0.9092148000, 2.1462809000", \
"0.3700721000, 0.3779529000, 0.4001405000, 0.4535012000, 0.5779706000, 0.9326251000, 2.1701256000", \
"0.4305366000, 0.4385065000, 0.4606868000, 0.5141510000, 0.6386502000, 0.9938125000, 2.2281339000", \
"0.5924543000, 0.6004266000, 0.6229897000, 0.6761448000, 0.8006130000, 1.1558744000, 2.3916529000", \
"0.9581401000, 0.9678777000, 0.9945618000, 1.0559590000, 1.1916735000, 1.5536812000, 2.7890292000", \
"1.6368157000, 1.6508568000, 1.6892521000, 1.7713992000, 1.9349531000, 2.3178648000, 3.5606798000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018032300, 0.0065032900, 0.0234539000, 0.0845856000, 0.3050550000, 1.1001700000");
values("0.1896722000, 0.1978261000, 0.2216600000, 0.2869551000, 0.4891057000, 1.1997384000, 3.7482084000", \
"0.1976621000, 0.2057425000, 0.2295610000, 0.2950300000, 0.4967679000, 1.2040410000, 3.7471319000", \
"0.2195305000, 0.2277015000, 0.2513088000, 0.3168826000, 0.5191852000, 1.2275168000, 3.7793058000", \
"0.2749894000, 0.2831342000, 0.3069170000, 0.3722785000, 0.5745922000, 1.2831505000, 3.8336752000", \
"0.4112382000, 0.4199370000, 0.4451669000, 0.5121548000, 0.7148584000, 1.4247343000, 3.9703907000", \
"0.6718383000, 0.6825428000, 0.7128743000, 0.7879285000, 0.9945745000, 1.7033029000, 4.2534780000", \
"1.0829371000, 1.0999628000, 1.1453237000, 1.2437712000, 1.4667886000, 2.1790350000, 4.7194235000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018032300, 0.0065032900, 0.0234539000, 0.0845856000, 0.3050550000, 1.1001700000");
values("0.0462032000, 0.0510780000, 0.0659172000, 0.1058856000, 0.2291692000, 0.6818308000, 2.3840816000", \
"0.0456802000, 0.0502756000, 0.0650166000, 0.1056057000, 0.2290717000, 0.6820104000, 2.3808764000", \
"0.0461583000, 0.0507205000, 0.0658083000, 0.1061099000, 0.2291928000, 0.6818935000, 2.3846518000", \
"0.0458005000, 0.0506128000, 0.0656264000, 0.1056639000, 0.2289640000, 0.6803608000, 2.3787741000", \
"0.0467657000, 0.0513715000, 0.0652812000, 0.1054704000, 0.2286450000, 0.6814354000, 2.3827833000", \
"0.0616442000, 0.0665192000, 0.0818092000, 0.1233282000, 0.2450424000, 0.6866507000, 2.3785764000", \
"0.1027847000, 0.1097704000, 0.1252524000, 0.1700561000, 0.2918644000, 0.7094895000, 2.3768219000");
}
related_pin : "A1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018032300, 0.0065032900, 0.0234539000, 0.0845856000, 0.3050550000, 1.1001700000");
values("0.0388361000, 0.0455535000, 0.0669432000, 0.1391395000, 0.4102860000, 1.4091140000, 4.9931530000", \
"0.0388900000, 0.0454139000, 0.0666187000, 0.1393366000, 0.4101066000, 1.4060817000, 4.9923341000", \
"0.0385883000, 0.0450637000, 0.0670600000, 0.1394435000, 0.4102562000, 1.4096579000, 5.0003113000", \
"0.0386552000, 0.0453844000, 0.0668713000, 0.1393935000, 0.4103903000, 1.4091122000, 5.0003686000", \
"0.0431485000, 0.0496419000, 0.0716696000, 0.1421855000, 0.4100610000, 1.4104659000, 4.9987601000", \
"0.0588734000, 0.0666028000, 0.0875647000, 0.1558968000, 0.4158951000, 1.4066047000, 4.9971844000", \
"0.0993259000, 0.1090231000, 0.1334555000, 0.1991156000, 0.4329528000, 1.4138991000, 4.9937570000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018032300, 0.0065032900, 0.0234539000, 0.0845856000, 0.3050550000, 1.1001700000");
values("0.3122413000, 0.3201332000, 0.3424293000, 0.3956840000, 0.5202180000, 0.8752695000, 2.1133297000", \
"0.3171737000, 0.3252260000, 0.3476824000, 0.4007704000, 0.5253429000, 0.8803989000, 2.1205095000", \
"0.3367205000, 0.3445043000, 0.3665371000, 0.4199183000, 0.5445211000, 0.8989274000, 2.1367750000", \
"0.3989319000, 0.4062561000, 0.4286269000, 0.4818077000, 0.6063118000, 0.9614332000, 2.1981146000", \
"0.5802449000, 0.5882130000, 0.6104119000, 0.6636411000, 0.7881160000, 1.1434586000, 2.3797107000", \
"0.9903842000, 1.0014598000, 1.0293115000, 1.0904779000, 1.2235912000, 1.5848034000, 2.8256759000", \
"1.7038100000, 1.7188626000, 1.7610403000, 1.8494134000, 2.0122628000, 2.3884621000, 3.6275909000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018032300, 0.0065032900, 0.0234539000, 0.0845856000, 0.3050550000, 1.1001700000");
values("0.1711812000, 0.1792663000, 0.2030842000, 0.2687054000, 0.4701353000, 1.1758633000, 3.7177750000", \
"0.1798976000, 0.1880003000, 0.2119363000, 0.2774538000, 0.4789291000, 1.1856827000, 3.7311496000", \
"0.2013490000, 0.2096142000, 0.2335151000, 0.2988222000, 0.5001276000, 1.2099528000, 3.7574797000", \
"0.2539976000, 0.2620962000, 0.2858952000, 0.3512958000, 0.5524942000, 1.2584253000, 3.8047645000", \
"0.3758964000, 0.3848308000, 0.4107473000, 0.4786029000, 0.6810252000, 1.3945143000, 3.9323313000", \
"0.6008277000, 0.6123587000, 0.6444185000, 0.7211839000, 0.9286546000, 1.6366514000, 4.1787240000", \
"0.9233779000, 0.9416872000, 0.9906728000, 1.0961914000, 1.3210740000, 2.0287589000, 4.5719264000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018032300, 0.0065032900, 0.0234539000, 0.0845856000, 0.3050550000, 1.1001700000");
values("0.0458806000, 0.0505733000, 0.0659204000, 0.1066631000, 0.2286087000, 0.6820724000, 2.3872622000", \
"0.0460930000, 0.0508979000, 0.0647420000, 0.1063144000, 0.2283801000, 0.6820641000, 2.3849174000", \
"0.0456724000, 0.0502811000, 0.0649244000, 0.1057971000, 0.2289736000, 0.6815802000, 2.3842606000", \
"0.0459943000, 0.0505591000, 0.0646583000, 0.1062841000, 0.2285711000, 0.6820355000, 2.3850109000", \
"0.0463982000, 0.0512065000, 0.0648322000, 0.1061226000, 0.2291457000, 0.6821215000, 2.3806184000", \
"0.0707343000, 0.0760748000, 0.0887080000, 0.1254938000, 0.2448713000, 0.6873866000, 2.3756819000", \
"0.1244172000, 0.1324376000, 0.1493871000, 0.1881746000, 0.2952439000, 0.7078768000, 2.3787992000");
}
related_pin : "A2";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018032300, 0.0065032900, 0.0234539000, 0.0845856000, 0.3050550000, 1.1001700000");
values("0.0385780000, 0.0451606000, 0.0665402000, 0.1390640000, 0.4091184000, 1.4145703000, 4.9959779000", \
"0.0385606000, 0.0450954000, 0.0662754000, 0.1387506000, 0.4088680000, 1.4146172000, 4.9914979000", \
"0.0385776000, 0.0450731000, 0.0665200000, 0.1390531000, 0.4091305000, 1.4108099000, 5.0099715000", \
"0.0384470000, 0.0451020000, 0.0663973000, 0.1386767000, 0.4090231000, 1.4065848000, 4.9925153000", \
"0.0448483000, 0.0514754000, 0.0727018000, 0.1433698000, 0.4103032000, 1.4132477000, 4.9895905000", \
"0.0628544000, 0.0704288000, 0.0915964000, 0.1589604000, 0.4167086000, 1.4049393000, 4.9982534000", \
"0.1095921000, 0.1192709000, 0.1455403000, 0.2104870000, 0.4402847000, 1.4125016000, 4.9900604000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018032300, 0.0065032900, 0.0234539000, 0.0845856000, 0.3050550000, 1.1001700000");
values("0.1592939000, 0.1656646000, 0.1843467000, 0.2325133000, 0.3487728000, 0.6966572000, 1.9286603000", \
"0.1675479000, 0.1739253000, 0.1925951000, 0.2408804000, 0.3572304000, 0.7051268000, 1.9396948000", \
"0.1914714000, 0.1977944000, 0.2164803000, 0.2647820000, 0.3811258000, 0.7289690000, 1.9634083000", \
"0.2624121000, 0.2687174000, 0.2871919000, 0.3354650000, 0.4520566000, 0.8001176000, 2.0321456000", \
"0.4474987000, 0.4547862000, 0.4754936000, 0.5273193000, 0.6462353000, 0.9949201000, 2.2399953000", \
"0.7952965000, 0.8061710000, 0.8360724000, 0.9068014000, 1.0499174000, 1.4092673000, 2.6412346000", \
"1.4045909000, 1.4223601000, 1.4693725000, 1.5775566000, 1.7843915000, 2.1774398000, 3.4127290000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018032300, 0.0065032900, 0.0234539000, 0.0845856000, 0.3050550000, 1.1001700000");
values("0.1761420000, 0.1842437000, 0.2081593000, 0.2736277000, 0.4753357000, 1.1842016000, 3.7345580000", \
"0.1829052000, 0.1909481000, 0.2148006000, 0.2802719000, 0.4826093000, 1.1908196000, 3.7421044000", \
"0.2039581000, 0.2120383000, 0.2359540000, 0.3014262000, 0.5032512000, 1.2109348000, 3.7530246000", \
"0.2637316000, 0.2718479000, 0.2956233000, 0.3608451000, 0.5630769000, 1.2717372000, 3.8228833000", \
"0.4137845000, 0.4220799000, 0.4471291000, 0.5141734000, 0.7165398000, 1.4249798000, 3.9685477000", \
"0.6676412000, 0.6791696000, 0.7104364000, 0.7828377000, 0.9875725000, 1.6973358000, 4.2424095000", \
"1.0346642000, 1.0528003000, 1.1005583000, 1.2012369000, 1.4194079000, 2.1298623000, 4.6708317000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018032300, 0.0065032900, 0.0234539000, 0.0845856000, 0.3050550000, 1.1001700000");
values("0.0307450000, 0.0356087000, 0.0504014000, 0.0932468000, 0.2150010000, 0.6712909000, 2.3626809000", \
"0.0310038000, 0.0354304000, 0.0502838000, 0.0931365000, 0.2148591000, 0.6719841000, 2.3659854000", \
"0.0309192000, 0.0356180000, 0.0504625000, 0.0930157000, 0.2150050000, 0.6710645000, 2.3656521000", \
"0.0310301000, 0.0356589000, 0.0503770000, 0.0931496000, 0.2147588000, 0.6717005000, 2.3637545000", \
"0.0399933000, 0.0439346000, 0.0586799000, 0.0997169000, 0.2176487000, 0.6720718000, 2.3819196000", \
"0.0689915000, 0.0753112000, 0.0930520000, 0.1386291000, 0.2481395000, 0.6786290000, 2.3710427000", \
"0.1265263000, 0.1349724000, 0.1600448000, 0.2272540000, 0.3373505000, 0.7147885000, 2.3840170000");
}
related_pin : "B1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018032300, 0.0065032900, 0.0234539000, 0.0845856000, 0.3050550000, 1.1001700000");
values("0.0385373000, 0.0450262000, 0.0668486000, 0.1391462000, 0.4100662000, 1.4086108000, 4.9998259000", \
"0.0389143000, 0.0453652000, 0.0670268000, 0.1392997000, 0.4106518000, 1.4100940000, 4.9980993000", \
"0.0389403000, 0.0454496000, 0.0668347000, 0.1393435000, 0.4101198000, 1.4069976000, 4.9924189000", \
"0.0387120000, 0.0453207000, 0.0668480000, 0.1393182000, 0.4106545000, 1.4096060000, 5.0003690000", \
"0.0445058000, 0.0515927000, 0.0721753000, 0.1426915000, 0.4104395000, 1.4051363000, 4.9912128000", \
"0.0671412000, 0.0734449000, 0.0917887000, 0.1579638000, 0.4167080000, 1.4074730000, 4.9990501000", \
"0.1164091000, 0.1253754000, 0.1482988000, 0.2064442000, 0.4333116000, 1.4162268000, 4.9911479000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
/* removed sky130_fd_sc_hd__o21ai_0 */
/* removed sky130_fd_sc_hd__o21ai_1 */
/* removed sky130_fd_sc_hd__o21ai_2 */
cell ("sky130_fd_sc_hd__o21ai_4") {
leakage_power () {
value : 5.9745742000;
when : "!A1&!A2&B1";
}
leakage_power () {
value : 0.4994206000;
when : "!A1&!A2&!B1";
}
leakage_power () {
value : 9.0722692000;
when : "!A1&A2&B1";
}
leakage_power () {
value : 3.6458336000;
when : "!A1&A2&!B1";
}
leakage_power () {
value : 9.0575805000;
when : "A1&!A2&B1";
}
leakage_power () {
value : 3.6458498000;
when : "A1&!A2&!B1";
}
leakage_power () {
value : 5.9503327000;
when : "A1&A2&B1";
}
leakage_power () {
value : 3.6458785000;
when : "A1&A2&!B1";
}
area : 16.265600000;
cell_footprint : "sky130_fd_sc_hd__o21ai";
cell_leakage_power : 5.1864670000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A1") {
capacitance : 0.0091820000;
clock : "false";
direction : "input";
fall_capacitance : 0.0087870000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0131817000, 0.0131641000, 0.0131468000, 0.0131306000, 0.0131174000, 0.0131132000, 0.0131338000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.013163200, -0.013178500, -0.013188900, -0.013205800, -0.013220700, -0.013229800, -0.013222800");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0095760000;
}
pin ("A2") {
capacitance : 0.0085220000;
clock : "false";
direction : "input";
fall_capacitance : 0.0080000000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0125164000, 0.0124942000, 0.0124642000, 0.0124470000, 0.0124310000, 0.0124189000, 0.0124171000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.012503400, -0.012513700, -0.012509900, -0.012526000, -0.012538800, -0.012541900, -0.012518000");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0090450000;
}
pin ("B1") {
capacitance : 0.0087330000;
clock : "false";
direction : "input";
fall_capacitance : 0.0084740000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0150099000, 0.0149867000, 0.0149655000, 0.0149427000, 0.0149227000, 0.0149107000, 0.0149213000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.008922700, -0.008942700, -0.008995100, -0.008947500, -0.008809500, -0.008416900, -0.007307600");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0089910000;
}
pin ("Y") {
direction : "output";
function : "(!A1&!A2) | (!B1)";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0015724360, 0.0049451110, 0.0155517500, 0.0489082500, 0.1538102000, 0.4837135000");
values("0.0168252000, 0.0154854000, 0.0112194000, -0.002204300, -0.044626400, -0.178608400, -0.600553100", \
"0.0164449000, 0.0150876000, 0.0108457000, -0.002563800, -0.044974400, -0.178987800, -0.600987300", \
"0.0158186000, 0.0144970000, 0.0102958000, -0.003015900, -0.045345200, -0.179285700, -0.601295700", \
"0.0149868000, 0.0136854000, 0.0095622000, -0.003653600, -0.045764700, -0.179542200, -0.601447400", \
"0.0148958000, 0.0135487000, 0.0091814000, -0.004151000, -0.046105900, -0.179655100, -0.601446100", \
"0.0148424000, 0.0135117000, 0.0092316000, -0.004204300, -0.046740600, -0.180335700, -0.601885900", \
"0.0169071000, 0.0153951000, 0.0109908000, -0.002749200, -0.045641300, -0.179998800, -0.602074300");
}
related_pin : "A1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0015724360, 0.0049451110, 0.0155517500, 0.0489082500, 0.1538102000, 0.4837135000");
values("0.0298029000, 0.0312293000, 0.0355950000, 0.0491805000, 0.0916213000, 0.2245490000, 0.6421853000", \
"0.0294291000, 0.0308134000, 0.0351869000, 0.0489224000, 0.0913650000, 0.2242605000, 0.6421028000", \
"0.0288130000, 0.0301889000, 0.0346581000, 0.0484463000, 0.0910897000, 0.2242573000, 0.6417957000", \
"0.0282226000, 0.0296096000, 0.0340166000, 0.0477929000, 0.0906446000, 0.2238088000, 0.6421800000", \
"0.0277642000, 0.0291249000, 0.0336192000, 0.0473441000, 0.0902428000, 0.2232567000, 0.6416829000", \
"0.0267739000, 0.0281439000, 0.0328238000, 0.0470243000, 0.0899214000, 0.2234504000, 0.6411461000", \
"0.0286705000, 0.0300333000, 0.0341515000, 0.0474250000, 0.0890458000, 0.2236068000, 0.6409443000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0015724360, 0.0049451110, 0.0155517500, 0.0489082500, 0.1538102000, 0.4837135000");
values("0.0111730000, 0.0098542000, 0.0056662000, -0.007837700, -0.050473600, -0.184727100, -0.607020500", \
"0.0108420000, 0.0095748000, 0.0055182000, -0.007736000, -0.050258600, -0.184461500, -0.606690900", \
"0.0099373000, 0.0087564000, 0.0048786000, -0.008047700, -0.050229200, -0.184268500, -0.606486000", \
"0.0088790000, 0.0076598000, 0.0037598000, -0.008981700, -0.050658800, -0.184349400, -0.606440200", \
"0.0087478000, 0.0074613000, 0.0033582000, -0.010019700, -0.051610100, -0.184739500, -0.606591400", \
"0.0085420000, 0.0071693000, 0.0029464000, -0.010398200, -0.052481700, -0.185885900, -0.607082900", \
"0.0103780000, 0.0092377000, 0.0046333000, -0.009232700, -0.052187700, -0.186149100, -0.607801900");
}
related_pin : "A2";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0015724360, 0.0049451110, 0.0155517500, 0.0489082500, 0.1538102000, 0.4837135000");
values("0.0226896000, 0.0240733000, 0.0284272000, 0.0420932000, 0.0845099000, 0.2173753000, 0.6351127000", \
"0.0221393000, 0.0235657000, 0.0279569000, 0.0416893000, 0.0842273000, 0.2170584000, 0.6349879000", \
"0.0214493000, 0.0228353000, 0.0273260000, 0.0411114000, 0.0839046000, 0.2168190000, 0.6348760000", \
"0.0206123000, 0.0220420000, 0.0265127000, 0.0405019000, 0.0833215000, 0.2168441000, 0.6348015000", \
"0.0204641000, 0.0218883000, 0.0262334000, 0.0399883000, 0.0827336000, 0.2159070000, 0.6343014000", \
"0.0216642000, 0.0228896000, 0.0271442000, 0.0404191000, 0.0827927000, 0.2156304000, 0.6334527000", \
"0.0255303000, 0.0267805000, 0.0312351000, 0.0432120000, 0.0853516000, 0.2171923000, 0.6353245000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0015724360, 0.0049451110, 0.0155517500, 0.0489082500, 0.1538102000, 0.4837135000");
values("0.0125175000, 0.0112381000, 0.0071610000, -0.006046200, -0.048374300, -0.182291800, -0.604285700", \
"0.0119509000, 0.0107498000, 0.0066520000, -0.006382100, -0.048510300, -0.182345600, -0.604242200", \
"0.0113340000, 0.0100851000, 0.0060205000, -0.006926200, -0.048774200, -0.182378300, -0.604253500", \
"0.0104085000, 0.0091295000, 0.0049864000, -0.007887200, -0.049490400, -0.182753000, -0.604395900", \
"0.0098663000, 0.0085251000, 0.0042915000, -0.008853000, -0.050697600, -0.183672400, -0.604961800", \
"0.0109098000, 0.0094890000, 0.0051122000, -0.008546500, -0.051504200, -0.184614400, -0.605791000", \
"0.0150300000, 0.0133839000, 0.0086702000, -0.005768500, -0.049391300, -0.184499500, -0.607180500");
}
related_pin : "B1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0015724360, 0.0049451110, 0.0155517500, 0.0489082500, 0.1538102000, 0.4837135000");
values("0.0157987000, 0.0174287000, 0.0221432000, 0.0360643000, 0.0786323000, 0.2110964000, 0.6280216000", \
"0.0149147000, 0.0164824000, 0.0214902000, 0.0357363000, 0.0786466000, 0.2112604000, 0.6279215000", \
"0.0141114000, 0.0156615000, 0.0204807000, 0.0348606000, 0.0781057000, 0.2114681000, 0.6282751000", \
"0.0136668000, 0.0151375000, 0.0196462000, 0.0338095000, 0.0771047000, 0.2098129000, 0.6277845000", \
"0.0138227000, 0.0152186000, 0.0194650000, 0.0330893000, 0.0760809000, 0.2096557000, 0.6266266000", \
"0.0145752000, 0.0159164000, 0.0203526000, 0.0333334000, 0.0762419000, 0.2084700000, 0.6250283000", \
"0.0202160000, 0.0214175000, 0.0252255000, 0.0390490000, 0.0787219000, 0.2107719000, 0.6245004000");
}
}
max_capacitance : 0.1373390000;
max_transition : 1.4979410000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015724400, 0.0049451100, 0.0155517000, 0.0489083000, 0.1538100000, 0.4837140000");
values("0.0696459000, 0.0734543000, 0.0851995000, 0.1197707000, 0.2226478000, 0.5408138000, 1.5369847000", \
"0.0772438000, 0.0811605000, 0.0927735000, 0.1273114000, 0.2303973000, 0.5483711000, 1.5424596000", \
"0.0980781000, 0.1019643000, 0.1137170000, 0.1483841000, 0.2517035000, 0.5698711000, 1.5660221000", \
"0.1476375000, 0.1519651000, 0.1652629000, 0.2014632000, 0.3052577000, 0.6238647000, 1.6196251000", \
"0.2445751000, 0.2511598000, 0.2706287000, 0.3220015000, 0.4483265000, 0.7725070000, 1.7691901000", \
"0.4031984000, 0.4152853000, 0.4521427000, 0.5433333000, 0.7450040000, 1.1672422000, 2.1860613000", \
"0.5897597000, 0.6130496000, 0.6845782000, 0.8693081000, 1.2596716000, 1.9690196000, 3.3018390000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015724400, 0.0049451100, 0.0155517000, 0.0489083000, 0.1538100000, 0.4837140000");
values("0.1636640000, 0.1722358000, 0.1993694000, 0.2800703000, 0.5292488000, 1.3055038000, 3.7421359000", \
"0.1707837000, 0.1796027000, 0.2062591000, 0.2873221000, 0.5368433000, 1.3133964000, 3.7515151000", \
"0.1928333000, 0.2016882000, 0.2282568000, 0.3100504000, 0.5608234000, 1.3379772000, 3.7744203000", \
"0.2530229000, 0.2614878000, 0.2887598000, 0.3702792000, 0.6216388000, 1.4002474000, 3.8355621000", \
"0.3931636000, 0.4039185000, 0.4372390000, 0.5280900000, 0.7796889000, 1.5596222000, 3.9977142000", \
"0.6644972000, 0.6822595000, 0.7342819000, 0.8693907000, 1.1991930000, 2.0046573000, 4.4450283000", \
"1.1263784000, 1.1590376000, 1.2598689000, 1.5067093000, 2.0531066000, 3.1638800000, 5.6964544000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015724400, 0.0049451100, 0.0155517000, 0.0489083000, 0.1538100000, 0.4837140000");
values("0.0468517000, 0.0511910000, 0.0647107000, 0.1074712000, 0.2427348000, 0.6698418000, 2.0147031000", \
"0.0468328000, 0.0510734000, 0.0646390000, 0.1075148000, 0.2430066000, 0.6692172000, 2.0130517000", \
"0.0464535000, 0.0508277000, 0.0644873000, 0.1073609000, 0.2427121000, 0.6696983000, 2.0149808000", \
"0.0546060000, 0.0589542000, 0.0713909000, 0.1105813000, 0.2427608000, 0.6698428000, 2.0159805000", \
"0.0871349000, 0.0923440000, 0.1075107000, 0.1518251000, 0.2722608000, 0.6730357000, 2.0169246000", \
"0.1764860000, 0.1847616000, 0.2078488000, 0.2686687000, 0.4118643000, 0.7775853000, 2.0253179000", \
"0.3935098000, 0.4092535000, 0.4538359000, 0.5586535000, 0.7668345000, 1.2047023000, 2.3288953000");
}
related_pin : "A1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015724400, 0.0049451100, 0.0155517000, 0.0489083000, 0.1538100000, 0.4837140000");
values("0.1101305000, 0.1212388000, 0.1557176000, 0.2628477000, 0.5983157000, 1.6462863000, 4.9413131000", \
"0.1102166000, 0.1212913000, 0.1558877000, 0.2633438000, 0.5981115000, 1.6468324000, 4.9417119000", \
"0.1101677000, 0.1213719000, 0.1557632000, 0.2634607000, 0.5981214000, 1.6492097000, 4.9422506000", \
"0.1102222000, 0.1213640000, 0.1557365000, 0.2629464000, 0.5977199000, 1.6483718000, 4.9424798000", \
"0.1467473000, 0.1574720000, 0.1903630000, 0.2844565000, 0.6019023000, 1.6480746000, 4.9435767000", \
"0.2520957000, 0.2660190000, 0.3050376000, 0.4156938000, 0.7133305000, 1.6672337000, 4.9463011000", \
"0.5301243000, 0.5505007000, 0.6101116000, 0.7666169000, 1.1204727000, 2.0292359000, 4.9955218000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015724400, 0.0049451100, 0.0155517000, 0.0489083000, 0.1538100000, 0.4837140000");
values("0.0534883000, 0.0569598000, 0.0678799000, 0.1010389000, 0.2038014000, 0.5297572000, 1.5428602000", \
"0.0610401000, 0.0647869000, 0.0758645000, 0.1096990000, 0.2127732000, 0.5358389000, 1.5537063000", \
"0.0809157000, 0.0846774000, 0.0962459000, 0.1304955000, 0.2345150000, 0.5581864000, 1.5851243000", \
"0.1220502000, 0.1272956000, 0.1417161000, 0.1809630000, 0.2869986000, 0.6110215000, 1.6281622000", \
"0.1945384000, 0.2032104000, 0.2268429000, 0.2867421000, 0.4219575000, 0.7553950000, 1.7767913000", \
"0.2868764000, 0.3047001000, 0.3540677000, 0.4673637000, 0.6942373000, 1.1379686000, 2.1798079000", \
"0.3177200000, 0.3537287000, 0.4577056000, 0.6971609000, 1.1387324000, 1.8943211000, 3.2751455000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015724400, 0.0049451100, 0.0155517000, 0.0489083000, 0.1538100000, 0.4837140000");
values("0.1332000000, 0.1417012000, 0.1680877000, 0.2490547000, 0.4984491000, 1.2753738000, 3.7113426000", \
"0.1374971000, 0.1460558000, 0.1724147000, 0.2540930000, 0.5037135000, 1.2805648000, 3.7165493000", \
"0.1549044000, 0.1639592000, 0.1905739000, 0.2720220000, 0.5229684000, 1.3007549000, 3.7360484000", \
"0.2159949000, 0.2246894000, 0.2516101000, 0.3322088000, 0.5820976000, 1.3637831000, 3.8019317000", \
"0.3727157000, 0.3853831000, 0.4216957000, 0.5146149000, 0.7642408000, 1.5442178000, 3.9809338000", \
"0.6524354000, 0.6741014000, 0.7404192000, 0.9035189000, 1.2651498000, 2.0539022000, 4.4882551000", \
"1.1540421000, 1.1927906000, 1.3053801000, 1.5912622000, 2.2326434000, 3.4550345000, 5.9368914000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015724400, 0.0049451100, 0.0155517000, 0.0489083000, 0.1538100000, 0.4837140000");
values("0.0293697000, 0.0337886000, 0.0480424000, 0.0923985000, 0.2317835000, 0.6716684000, 2.0447422000", \
"0.0294032000, 0.0338802000, 0.0479982000, 0.0927499000, 0.2318431000, 0.6703367000, 2.0472011000", \
"0.0297437000, 0.0341226000, 0.0480069000, 0.0922447000, 0.2320900000, 0.6697382000, 2.0675639000", \
"0.0409758000, 0.0453231000, 0.0587642000, 0.0984429000, 0.2331775000, 0.6685270000, 2.0496413000", \
"0.0761823000, 0.0817352000, 0.0981891000, 0.1435424000, 0.2659731000, 0.6764960000, 2.0501834000", \
"0.1699189000, 0.1791402000, 0.2049787000, 0.2668552000, 0.4091802000, 0.7854829000, 2.0594195000", \
"0.4104018000, 0.4249447000, 0.4659735000, 0.5691491000, 0.7782563000, 1.2137782000, 2.3734792000");
}
related_pin : "A2";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015724400, 0.0049451100, 0.0155517000, 0.0489083000, 0.1538100000, 0.4837140000");
values("0.1098737000, 0.1208850000, 0.1556482000, 0.2634130000, 0.5977898000, 1.6478854000, 4.9440820000", \
"0.1099998000, 0.1210809000, 0.1556446000, 0.2628523000, 0.5986606000, 1.6467910000, 4.9434372000", \
"0.1101047000, 0.1210660000, 0.1556216000, 0.2632496000, 0.5976198000, 1.6486580000, 4.9430615000", \
"0.1131986000, 0.1236281000, 0.1565076000, 0.2628621000, 0.5988937000, 1.6475300000, 4.9458428000", \
"0.1730016000, 0.1841976000, 0.2158459000, 0.3005110000, 0.6017148000, 1.6498675000, 4.9435540000", \
"0.3149880000, 0.3351705000, 0.3830975000, 0.5038281000, 0.7745002000, 1.6676494000, 4.9425362000", \
"0.5884648000, 0.6216235000, 0.7131594000, 0.9317844000, 1.3545882000, 2.1923847000, 4.9891962000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015724400, 0.0049451100, 0.0155517000, 0.0489083000, 0.1538100000, 0.4837140000");
values("0.0559064000, 0.0599123000, 0.0718942000, 0.1067201000, 0.2101430000, 0.5284425000, 1.5232209000", \
"0.0620340000, 0.0661233000, 0.0781155000, 0.1134947000, 0.2171982000, 0.5354208000, 1.5304903000", \
"0.0822488000, 0.0862393000, 0.0981728000, 0.1331861000, 0.2381718000, 0.5563819000, 1.5513595000", \
"0.1363889000, 0.1414651000, 0.1558333000, 0.1935565000, 0.2971217000, 0.6163760000, 1.6123431000", \
"0.2271298000, 0.2361036000, 0.2616105000, 0.3258499000, 0.4661053000, 0.7864260000, 1.7824511000", \
"0.3565860000, 0.3727000000, 0.4191255000, 0.5347392000, 0.7844996000, 1.2590161000, 2.2654660000", \
"0.5009342000, 0.5276058000, 0.6084239000, 0.8213093000, 1.2764670000, 2.1303526000, 3.6106860000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015724400, 0.0049451100, 0.0155517000, 0.0489083000, 0.1538100000, 0.4837140000");
values("0.0420967000, 0.0464137000, 0.0590317000, 0.0958262000, 0.2075154000, 0.5570399000, 1.6531642000", \
"0.0487949000, 0.0530244000, 0.0663170000, 0.1037252000, 0.2161357000, 0.5654296000, 1.6619892000", \
"0.0725507000, 0.0765838000, 0.0891112000, 0.1262630000, 0.2400449000, 0.5901379000, 1.6903919000", \
"0.1257753000, 0.1331048000, 0.1524836000, 0.1955163000, 0.3089171000, 0.6562380000, 1.7566474000", \
"0.2183998000, 0.2323474000, 0.2689511000, 0.3516258000, 0.5063412000, 0.8579232000, 1.9518729000", \
"0.3756332000, 0.4013822000, 0.4726582000, 0.6303014000, 0.9241033000, 1.4208712000, 2.5135662000", \
"0.6547961000, 0.6966580000, 0.8193348000, 1.1082781000, 1.6697058000, 2.6142559000, 4.1143466000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015724400, 0.0049451100, 0.0155517000, 0.0489083000, 0.1538100000, 0.4837140000");
values("0.0469706000, 0.0511985000, 0.0647760000, 0.1074022000, 0.2429701000, 0.6709102000, 2.0146689000", \
"0.0468076000, 0.0512113000, 0.0646442000, 0.1073412000, 0.2432759000, 0.6696709000, 2.0150162000", \
"0.0453712000, 0.0497481000, 0.0635458000, 0.1072726000, 0.2431638000, 0.6708989000, 2.0138720000", \
"0.0628924000, 0.0673218000, 0.0797948000, 0.1147095000, 0.2425836000, 0.6701188000, 2.0152482000", \
"0.1121702000, 0.1192368000, 0.1376919000, 0.1841718000, 0.2938130000, 0.6736793000, 2.0137580000", \
"0.2186253000, 0.2318740000, 0.2649851000, 0.3454158000, 0.5121052000, 0.8422441000, 2.0271771000", \
"0.4365874000, 0.4618092000, 0.5294031000, 0.6794759000, 0.9680207000, 1.4814481000, 2.4917943000");
}
related_pin : "B1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015724400, 0.0049451100, 0.0155517000, 0.0489083000, 0.1538100000, 0.4837140000");
values("0.0401005000, 0.0454342000, 0.0624274000, 0.1162971000, 0.2814034000, 0.7734261000, 2.3027513000", \
"0.0400758000, 0.0454562000, 0.0626591000, 0.1163696000, 0.2814090000, 0.7731232000, 2.3036515000", \
"0.0427457000, 0.0471543000, 0.0629476000, 0.1170632000, 0.2814783000, 0.7738277000, 2.3093509000", \
"0.0788975000, 0.0819862000, 0.0901579000, 0.1283889000, 0.2816049000, 0.7734277000, 2.3062747000", \
"0.1706830000, 0.1736962000, 0.1861513000, 0.2226349000, 0.3307649000, 0.7736652000, 2.3087026000", \
"0.3713855000, 0.3762280000, 0.3945833000, 0.4515533000, 0.5890775000, 0.9143155000, 2.3052376000", \
"0.7364016000, 0.7478864000, 0.7862027000, 0.8960775000, 1.1461734000, 1.6132931000, 2.6551683000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
}
}
/* removed sky130_fd_sc_hd__o21ba_1 */
/* removed sky130_fd_sc_hd__o21ba_2 */
/* removed sky130_fd_sc_hd__o21ba_4 */
/* removed sky130_fd_sc_hd__o21bai_1 */
/* removed sky130_fd_sc_hd__o21bai_2 */
/* removed sky130_fd_sc_hd__o21bai_4 */
/* removed sky130_fd_sc_hd__o221a_1 */
/* removed sky130_fd_sc_hd__o221a_2 */
/* removed sky130_fd_sc_hd__o221a_4 */
/* removed sky130_fd_sc_hd__o221ai_1 */
/* removed sky130_fd_sc_hd__o221ai_2 */
/* removed sky130_fd_sc_hd__o221ai_4 */
/* removed sky130_fd_sc_hd__o22a_1 */
/* removed sky130_fd_sc_hd__o22a_2 */
cell ("sky130_fd_sc_hd__o22a_4") {
leakage_power () {
value : 8.1072109000;
when : "!A1&!A2&!B1&B2";
}
leakage_power () {
value : 5.6741869000;
when : "!A1&!A2&!B1&!B2";
}
leakage_power () {
value : 8.1685760000;
when : "!A1&!A2&B1&B2";
}
leakage_power () {
value : 8.0945872000;
when : "!A1&!A2&B1&!B2";
}
leakage_power () {
value : 6.7380577000;
when : "!A1&A2&!B1&B2";
}
leakage_power () {
value : 8.9162384000;
when : "!A1&A2&!B1&!B2";
}
leakage_power () {
value : 5.1858762000;
when : "!A1&A2&B1&B2";
}
leakage_power () {
value : 6.1365140000;
when : "!A1&A2&B1&!B2";
}
leakage_power () {
value : 6.5729302000;
when : "A1&!A2&!B1&B2";
}
leakage_power () {
value : 8.9162633000;
when : "A1&!A2&!B1&!B2";
}
leakage_power () {
value : 5.0207380000;
when : "A1&!A2&B1&B2";
}
leakage_power () {
value : 5.9713763000;
when : "A1&!A2&B1&!B2";
}
leakage_power () {
value : 4.7715667000;
when : "A1&A2&!B1&B2";
}
leakage_power () {
value : 8.9162988000;
when : "A1&A2&!B1&!B2";
}
leakage_power () {
value : 3.2193979000;
when : "A1&A2&B1&B2";
}
leakage_power () {
value : 4.1700225000;
when : "A1&A2&B1&!B2";
}
area : 17.516800000;
cell_footprint : "sky130_fd_sc_hd__o22a";
cell_leakage_power : 6.5362400000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A1") {
capacitance : 0.0048330000;
clock : "false";
direction : "input";
fall_capacitance : 0.0046370000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0066235000, 0.0065960000, 0.0065631000, 0.0065379000, 0.0065116000, 0.0064821000, 0.0064435000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.006546300, -0.006570200, -0.006589200, -0.006617800, -0.006649700, -0.006691600, -0.006760900");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0050290000;
}
pin ("A2") {
capacitance : 0.0043750000;
clock : "false";
direction : "input";
fall_capacitance : 0.0041110000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0062704000, 0.0062399000, 0.0061983000, 0.0061743000, 0.0061513000, 0.0061310000, 0.0061181000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.006235100, -0.006258200, -0.006275300, -0.006301700, -0.006328200, -0.006355000, -0.006382800");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0046390000;
}
pin ("B1") {
capacitance : 0.0048700000;
clock : "false";
direction : "input";
fall_capacitance : 0.0047150000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0077700000, 0.0077390000, 0.0076953000, 0.0076727000, 0.0076527000, 0.0076406000, 0.0076506000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.003249200, -0.003323100, -0.003484000, -0.003470300, -0.003383900, -0.003093400, -0.002227800");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0050260000;
}
pin ("B2") {
capacitance : 0.0043770000;
clock : "false";
direction : "input";
fall_capacitance : 0.0041720000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0072058000, 0.0071772000, 0.0071403000, 0.0071157000, 0.0070905000, 0.0070636000, 0.0070321000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.002600900, -0.002665200, -0.002802100, -0.002788300, -0.002705100, -0.002426100, -0.001595300");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0045820000;
}
pin ("X") {
direction : "output";
function : "(A1&B1) | (A2&B1) | (A1&B2) | (A2&B2)";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018110660, 0.0065599200, 0.0237608900, 0.0860650900, 0.3117391000, 1.1291600000");
values("0.0303543000, 0.0291171000, 0.0252229000, 0.0076092000, -0.068040700, -0.355489300, -1.401285100", \
"0.0302086000, 0.0289809000, 0.0250936000, 0.0074634000, -0.068170000, -0.355641700, -1.401388100", \
"0.0300455000, 0.0287965000, 0.0248898000, 0.0072479000, -0.068401400, -0.355844100, -1.401564400", \
"0.0297955000, 0.0284932000, 0.0245381000, 0.0069002000, -0.068698000, -0.356144700, -1.401880800", \
"0.0295333000, 0.0282809000, 0.0242673000, 0.0064682000, -0.069221300, -0.356523600, -1.402195300", \
"0.0340129000, 0.0324067000, 0.0265381000, 0.0049107000, -0.069889400, -0.356896700, -1.402325400", \
"0.0391801000, 0.0374431000, 0.0313016000, 0.0094938000, -0.069645100, -0.357320600, -1.402097800");
}
related_pin : "A1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018110660, 0.0065599200, 0.0237608900, 0.0860650900, 0.3117391000, 1.1291600000");
values("0.0313395000, 0.0331771000, 0.0398758000, 0.0628738000, 0.1427675000, 0.4311318000, 1.4670157000", \
"0.0311647000, 0.0330129000, 0.0396876000, 0.0626948000, 0.1426532000, 0.4293269000, 1.4611925000", \
"0.0309710000, 0.0327887000, 0.0394448000, 0.0625333000, 0.1424677000, 0.4290448000, 1.4682250000", \
"0.0307134000, 0.0325628000, 0.0392147000, 0.0621687000, 0.1422623000, 0.4288632000, 1.4693700000", \
"0.0305492000, 0.0323434000, 0.0389893000, 0.0617164000, 0.1416760000, 0.4282832000, 1.4659040000", \
"0.0312425000, 0.0329929000, 0.0392762000, 0.0617289000, 0.1414627000, 0.4274226000, 1.4672589000", \
"0.0322538000, 0.0339035000, 0.0400167000, 0.0621925000, 0.1423226000, 0.4288627000, 1.4616833000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018110660, 0.0065599200, 0.0237608900, 0.0860650900, 0.3117391000, 1.1291600000");
values("0.0267703000, 0.0255293000, 0.0216201000, 0.0038781000, -0.071716400, -0.359130400, -1.404863700", \
"0.0265157000, 0.0255177000, 0.0214461000, 0.0037951000, -0.071831100, -0.359288800, -1.405012600", \
"0.0262675000, 0.0251008000, 0.0211896000, 0.0035126000, -0.072142400, -0.359543600, -1.405179200", \
"0.0261043000, 0.0248289000, 0.0208768000, 0.0032186000, -0.072475200, -0.359853700, -1.405538700", \
"0.0259584000, 0.0247302000, 0.0206457000, 0.0027897000, -0.073019800, -0.360272600, -1.405870800", \
"0.0303707000, 0.0287375000, 0.0227634000, 0.0019687000, -0.073231700, -0.360207700, -1.405538700", \
"0.0375962000, 0.0357945000, 0.0295830000, 0.0074429000, -0.071819300, -0.359505000, -1.404392300");
}
related_pin : "A2";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018110660, 0.0065599200, 0.0237608900, 0.0860650900, 0.3117391000, 1.1291600000");
values("0.0286186000, 0.0304531000, 0.0370959000, 0.0601211000, 0.1400776000, 0.4264114000, 1.4670970000", \
"0.0286825000, 0.0305516000, 0.0371434000, 0.0602038000, 0.1400308000, 0.4264998000, 1.4665882000", \
"0.0286103000, 0.0305206000, 0.0371263000, 0.0601182000, 0.1401429000, 0.4265195000, 1.4670618000", \
"0.0282596000, 0.0301564000, 0.0367937000, 0.0597576000, 0.1397619000, 0.4282160000, 1.4669142000", \
"0.0277931000, 0.0295360000, 0.0361562000, 0.0589955000, 0.1388121000, 0.4258385000, 1.4658616000", \
"0.0283034000, 0.0300612000, 0.0362840000, 0.0589535000, 0.1379058000, 0.4235431000, 1.4654162000", \
"0.0291064000, 0.0307919000, 0.0368870000, 0.0591250000, 0.1391266000, 0.4256981000, 1.4577886000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018110660, 0.0065599200, 0.0237608900, 0.0860650900, 0.3117391000, 1.1291600000");
values("0.0224472000, 0.0212805000, 0.0172175000, -0.000471800, -0.076523700, -0.364605800, -1.410920000", \
"0.0223071000, 0.0211909000, 0.0171802000, -0.000544400, -0.076570400, -0.364665900, -1.410897900", \
"0.0222186000, 0.0209833000, 0.0169182000, -0.000755300, -0.076800300, -0.364911500, -1.411126900", \
"0.0216641000, 0.0204334000, 0.0164683000, -0.001322400, -0.077352600, -0.365393300, -1.411654700", \
"0.0211644000, 0.0198913000, 0.0158914000, -0.001995500, -0.077975700, -0.365840600, -1.411979100", \
"0.0257701000, 0.0241521000, 0.0183428000, -0.003322600, -0.078515900, -0.366103200, -1.412098500", \
"0.0296275000, 0.0279258000, 0.0218077000, -2.65000e-05, -0.078961100, -0.366630900, -1.411940400");
}
related_pin : "B1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018110660, 0.0065599200, 0.0237608900, 0.0860650900, 0.3117391000, 1.1291600000");
values("0.0281821000, 0.0300818000, 0.0367381000, 0.0595824000, 0.1395972000, 0.4261255000, 1.4674362000", \
"0.0280791000, 0.0299374000, 0.0366360000, 0.0594814000, 0.1394906000, 0.4260114000, 1.4664619000", \
"0.0279222000, 0.0298037000, 0.0364925000, 0.0594980000, 0.1395468000, 0.4262728000, 1.4580739000", \
"0.0275550000, 0.0294296000, 0.0360928000, 0.0591182000, 0.1390143000, 0.4259762000, 1.4663512000", \
"0.0270004000, 0.0288545000, 0.0354384000, 0.0582490000, 0.1380202000, 0.4251786000, 1.4656255000", \
"0.0276766000, 0.0294109000, 0.0356513000, 0.0578486000, 0.1373975000, 0.4226239000, 1.4645964000", \
"0.0287721000, 0.0304934000, 0.0364673000, 0.0587165000, 0.1369236000, 0.4245921000, 1.4616071000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018110660, 0.0065599200, 0.0237608900, 0.0860650900, 0.3117391000, 1.1291600000");
values("0.0190313000, 0.0178906000, 0.0138345000, -0.003851400, -0.079794700, -0.367750500, -1.413804200", \
"0.0191015000, 0.0179241000, 0.0138216000, -0.003900500, -0.079841700, -0.367831300, -1.413996600", \
"0.0185859000, 0.0174146000, 0.0134248000, -0.004278200, -0.080184500, -0.368148900, -1.414306600", \
"0.0181296000, 0.0169504000, 0.0129574000, -0.004789400, -0.080743100, -0.368636500, -1.414798000", \
"0.0177345000, 0.0165025000, 0.0123953000, -0.005501000, -0.081541800, -0.369205800, -1.415192000", \
"0.0227705000, 0.0211243000, 0.0151609000, -0.006479000, -0.081897900, -0.369187200, -1.415043600", \
"0.0284572000, 0.0266617000, 0.0204221000, -0.001140700, -0.080330200, -0.368060300, -1.413347000");
}
related_pin : "B2";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018110660, 0.0065599200, 0.0237608900, 0.0860650900, 0.3117391000, 1.1291600000");
values("0.0250409000, 0.0268893000, 0.0335004000, 0.0566057000, 0.1364358000, 0.4228417000, 1.4626004000", \
"0.0252037000, 0.0270826000, 0.0337387000, 0.0566076000, 0.1364875000, 0.4228037000, 1.4627096000", \
"0.0252217000, 0.0271123000, 0.0337535000, 0.0566577000, 0.1365923000, 0.4232835000, 1.4636629000", \
"0.0248008000, 0.0266797000, 0.0333454000, 0.0562417000, 0.1360745000, 0.4243829000, 1.4587157000", \
"0.0240219000, 0.0258762000, 0.0324665000, 0.0550865000, 0.1347898000, 0.4217158000, 1.4619877000", \
"0.0246200000, 0.0263585000, 0.0325189000, 0.0549452000, 0.1340935000, 0.4191145000, 1.4585919000", \
"0.0255104000, 0.0272675000, 0.0332309000, 0.0554846000, 0.1346287000, 0.4199158000, 1.4583409000");
}
}
max_capacitance : 0.3332620000;
max_transition : 1.5023530000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018110700, 0.0065599200, 0.0237609000, 0.0860651000, 0.3117390000, 1.1291600000");
values("0.3754722000, 0.3847780000, 0.4112773000, 0.4794726000, 0.6326516000, 1.0187751000, 2.3114408000", \
"0.3830247000, 0.3923306000, 0.4188105000, 0.4870487000, 0.6402563000, 1.0263480000, 2.3157962000", \
"0.4064180000, 0.4157404000, 0.4422965000, 0.5103404000, 0.6634367000, 1.0496539000, 2.3425395000", \
"0.4668954000, 0.4761872000, 0.5030835000, 0.5712665000, 0.7244170000, 1.1105671000, 2.3992177000", \
"0.6295823000, 0.6389848000, 0.6657329000, 0.7335928000, 0.8868378000, 1.2730478000, 2.5627283000", \
"1.0079654000, 1.0189741000, 1.0502119000, 1.1266210000, 1.2923294000, 1.6870048000, 2.9777203000", \
"1.7202593000, 1.7355867000, 1.7775877000, 1.8768185000, 2.0819411000, 2.5105382000, 3.8066408000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018110700, 0.0065599200, 0.0237609000, 0.0860651000, 0.3117390000, 1.1291600000");
values("0.2208397000, 0.2291497000, 0.2536856000, 0.3203600000, 0.5240405000, 1.2383533000, 3.8094443000", \
"0.2287080000, 0.2370053000, 0.2614969000, 0.3283049000, 0.5320193000, 1.2452080000, 3.8184806000", \
"0.2512495000, 0.2594918000, 0.2840211000, 0.3508858000, 0.5542253000, 1.2669108000, 3.8365102000", \
"0.3080942000, 0.3164219000, 0.3408526000, 0.4075517000, 0.6114016000, 1.3247607000, 3.8991206000", \
"0.4526751000, 0.4613945000, 0.4870678000, 0.5545412000, 0.7583010000, 1.4723357000, 4.0420849000", \
"0.7403696000, 0.7511595000, 0.7815902000, 0.8567228000, 1.0649986000, 1.7789168000, 4.3478137000", \
"1.2148810000, 1.2311057000, 1.2746278000, 1.3726148000, 1.5945886000, 2.3113931000, 4.8783129000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018110700, 0.0065599200, 0.0237609000, 0.0860651000, 0.3117390000, 1.1291600000");
values("0.0523411000, 0.0591327000, 0.0783251000, 0.1329846000, 0.2657493000, 0.7168069000, 2.4638502000", \
"0.0523394000, 0.0590731000, 0.0784517000, 0.1330050000, 0.2656751000, 0.7167931000, 2.4635247000", \
"0.0529182000, 0.0590524000, 0.0779524000, 0.1329181000, 0.2655417000, 0.7168177000, 2.4643929000", \
"0.0529907000, 0.0590340000, 0.0781605000, 0.1328805000, 0.2656171000, 0.7160055000, 2.4584110000", \
"0.0532297000, 0.0588900000, 0.0788050000, 0.1326847000, 0.2655077000, 0.7167063000, 2.4624718000", \
"0.0680526000, 0.0745791000, 0.0950970000, 0.1516716000, 0.2830965000, 0.7224225000, 2.4578874000", \
"0.1082806000, 0.1163614000, 0.1394266000, 0.2057220000, 0.3420487000, 0.7603834000, 2.4576947000");
}
related_pin : "A1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018110700, 0.0065599200, 0.0237609000, 0.0860651000, 0.3117390000, 1.1291600000");
values("0.0405643000, 0.0469818000, 0.0687943000, 0.1401326000, 0.4076117000, 1.4071109000, 4.9995283000", \
"0.0407835000, 0.0475214000, 0.0687779000, 0.1400664000, 0.4083372000, 1.4016173000, 4.9913704000", \
"0.0405022000, 0.0475950000, 0.0687018000, 0.1404693000, 0.4087094000, 1.4035968000, 5.0013615000", \
"0.0403100000, 0.0473493000, 0.0686585000, 0.1401898000, 0.4081423000, 1.4042155000, 5.0008597000", \
"0.0436223000, 0.0507564000, 0.0718564000, 0.1424143000, 0.4083857000, 1.4072847000, 5.0018576000", \
"0.0572656000, 0.0643931000, 0.0865064000, 0.1551645000, 0.4136598000, 1.4038458000, 5.0001900000", \
"0.0954427000, 0.1033749000, 0.1284977000, 0.1935715000, 0.4304760000, 1.4100776000, 4.9906514000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018110700, 0.0065599200, 0.0237609000, 0.0860651000, 0.3117390000, 1.1291600000");
values("0.3473413000, 0.3566585000, 0.3834242000, 0.4511189000, 0.6046606000, 0.9906986000, 2.2801079000", \
"0.3524439000, 0.3613876000, 0.3884694000, 0.4562919000, 0.6096019000, 0.9958228000, 2.2829232000", \
"0.3710725000, 0.3801947000, 0.4071060000, 0.4751777000, 0.6285440000, 1.0147052000, 2.3066202000", \
"0.4325284000, 0.4418323000, 0.4687626000, 0.5366150000, 0.6899273000, 1.0763059000, 2.3657867000", \
"0.6141648000, 0.6234320000, 0.6502064000, 0.7180684000, 0.8713166000, 1.2578710000, 2.5466660000", \
"1.0428669000, 1.0545294000, 1.0870747000, 1.1644410000, 1.3299345000, 1.7242529000, 3.0134342000", \
"1.7944084000, 1.8122297000, 1.8601279000, 1.9696385000, 2.1862232000, 2.6121125000, 3.9107668000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018110700, 0.0065599200, 0.0237609000, 0.0860651000, 0.3117390000, 1.1291600000");
values("0.2009044000, 0.2092066000, 0.2337362000, 0.3004408000, 0.5036067000, 1.2156213000, 3.7900647000", \
"0.2096660000, 0.2180523000, 0.2423177000, 0.3092104000, 0.5122830000, 1.2244589000, 3.7970911000", \
"0.2323761000, 0.2406756000, 0.2652077000, 0.3319205000, 0.5352278000, 1.2472313000, 3.8214449000", \
"0.2871170000, 0.2955045000, 0.3199321000, 0.3865340000, 0.5898628000, 1.3017581000, 3.8765640000", \
"0.4210307000, 0.4296973000, 0.4556043000, 0.5239962000, 0.7273263000, 1.4390213000, 4.0059351000", \
"0.6772035000, 0.6883261000, 0.7198216000, 0.7962284000, 1.0052826000, 1.7179488000, 4.2842148000", \
"1.0776779000, 1.0946543000, 1.1407525000, 1.2436481000, 1.4697910000, 2.1842519000, 4.7502966000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018110700, 0.0065599200, 0.0237609000, 0.0860651000, 0.3117390000, 1.1291600000");
values("0.0526312000, 0.0587543000, 0.0780945000, 0.1329212000, 0.2655045000, 0.7164601000, 2.4613356000", \
"0.0525682000, 0.0595489000, 0.0780883000, 0.1330955000, 0.2655569000, 0.7153568000, 2.4634337000", \
"0.0525688000, 0.0587258000, 0.0780528000, 0.1326248000, 0.2649361000, 0.7166692000, 2.4588531000", \
"0.0526395000, 0.0587073000, 0.0785238000, 0.1327994000, 0.2652399000, 0.7155029000, 2.4529669000", \
"0.0534557000, 0.0597153000, 0.0791775000, 0.1331260000, 0.2658633000, 0.7153968000, 2.4579299000", \
"0.0761146000, 0.0820474000, 0.1018624000, 0.1549813000, 0.2841882000, 0.7228914000, 2.4599951000", \
"0.1334990000, 0.1426974000, 0.1667380000, 0.2327093000, 0.3566792000, 0.7594396000, 2.4586835000");
}
related_pin : "A2";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018110700, 0.0065599200, 0.0237609000, 0.0860651000, 0.3117390000, 1.1291600000");
values("0.0400006000, 0.0463368000, 0.0684196000, 0.1397528000, 0.4073772000, 1.4043422000, 5.0037943000", \
"0.0400750000, 0.0465978000, 0.0683437000, 0.1397150000, 0.4078225000, 1.4022321000, 4.9969371000", \
"0.0399603000, 0.0468387000, 0.0684741000, 0.1398386000, 0.4079186000, 1.4043549000, 5.0037099000", \
"0.0399776000, 0.0468806000, 0.0682963000, 0.1397844000, 0.4079740000, 1.4060350000, 5.0040549000", \
"0.0443662000, 0.0513114000, 0.0730895000, 0.1424293000, 0.4081879000, 1.4047268000, 5.0012141000", \
"0.0618567000, 0.0687353000, 0.0900901000, 0.1574306000, 0.4142156000, 1.4017068000, 5.0020637000", \
"0.1010619000, 0.1107641000, 0.1361166000, 0.2017879000, 0.4335269000, 1.4088997000, 4.9908429000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018110700, 0.0065599200, 0.0237609000, 0.0860651000, 0.3117390000, 1.1291600000");
values("0.3336726000, 0.3432553000, 0.3705945000, 0.4392199000, 0.5932126000, 0.9791334000, 2.2682047000", \
"0.3420414000, 0.3515604000, 0.3789465000, 0.4474106000, 0.6015353000, 0.9873934000, 2.2719078000", \
"0.3650021000, 0.3743826000, 0.4017881000, 0.4705841000, 0.6244615000, 1.0105577000, 2.2999218000", \
"0.4264749000, 0.4358858000, 0.4631114000, 0.5319672000, 0.6859997000, 1.0721005000, 2.3591307000", \
"0.5940183000, 0.6034024000, 0.6304765000, 0.6995161000, 0.8536667000, 1.2399849000, 2.5300428000", \
"0.9730244000, 0.9845681000, 1.0173838000, 1.0976819000, 1.2669829000, 1.6641361000, 2.9537419000", \
"1.6949820000, 1.7116413000, 1.7577059000, 1.8658708000, 2.0836550000, 2.5207851000, 3.8164707000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018110700, 0.0065599200, 0.0237609000, 0.0860651000, 0.3117390000, 1.1291600000");
values("0.2034992000, 0.2117366000, 0.2362480000, 0.3028803000, 0.5066791000, 1.2185971000, 3.7867980000", \
"0.2101035000, 0.2183504000, 0.2428757000, 0.3095067000, 0.5133078000, 1.2260625000, 3.7988586000", \
"0.2310406000, 0.2393941000, 0.2640221000, 0.3307056000, 0.5345275000, 1.2479003000, 3.8217625000", \
"0.2917724000, 0.3001139000, 0.3246816000, 0.3914053000, 0.5946639000, 1.3073307000, 3.8753689000", \
"0.4516610000, 0.4604866000, 0.4856905000, 0.5532397000, 0.7566939000, 1.4698337000, 4.0385695000", \
"0.7354840000, 0.7466582000, 0.7774528000, 0.8509738000, 1.0579495000, 1.7727745000, 4.3433217000", \
"1.1574954000, 1.1745591000, 1.2206749000, 1.3204932000, 1.5341439000, 2.2504678000, 4.8174904000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018110700, 0.0065599200, 0.0237609000, 0.0860651000, 0.3117390000, 1.1291600000");
values("0.0526655000, 0.0591570000, 0.0788674000, 0.1338998000, 0.2665648000, 0.7158786000, 2.4562579000", \
"0.0526870000, 0.0592809000, 0.0784872000, 0.1338979000, 0.2665234000, 0.7154514000, 2.4609463000", \
"0.0525414000, 0.0593013000, 0.0792244000, 0.1341345000, 0.2672461000, 0.7163256000, 2.4550164000", \
"0.0525301000, 0.0589929000, 0.0785132000, 0.1338411000, 0.2661722000, 0.7143509000, 2.4521627000", \
"0.0534611000, 0.0595376000, 0.0799442000, 0.1341436000, 0.2673830000, 0.7163320000, 2.4540596000", \
"0.0720373000, 0.0790482000, 0.1004004000, 0.1584164000, 0.2903355000, 0.7256439000, 2.4562963000", \
"0.1196814000, 0.1270181000, 0.1525049000, 0.2233163000, 0.3559246000, 0.7677564000, 2.4564568000");
}
related_pin : "B1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018110700, 0.0065599200, 0.0237609000, 0.0860651000, 0.3117390000, 1.1291600000");
values("0.0407517000, 0.0471792000, 0.0682646000, 0.1401683000, 0.4075145000, 1.4026693000, 5.0003179000", \
"0.0407115000, 0.0473302000, 0.0683885000, 0.1402070000, 0.4077228000, 1.4022695000, 4.9964264000", \
"0.0405998000, 0.0474485000, 0.0687327000, 0.1400587000, 0.4082690000, 1.4024213000, 4.9894879000", \
"0.0405444000, 0.0472622000, 0.0685226000, 0.1404505000, 0.4086246000, 1.4051990000, 5.0017701000", \
"0.0447351000, 0.0513089000, 0.0719405000, 0.1425234000, 0.4087814000, 1.4059907000, 5.0020099000", \
"0.0644396000, 0.0703718000, 0.0902225000, 0.1550975000, 0.4140072000, 1.4016125000, 5.0010787000", \
"0.1097635000, 0.1180773000, 0.1408711000, 0.1999555000, 0.4304334000, 1.4135996000, 4.9947237000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018110700, 0.0065599200, 0.0237609000, 0.0860651000, 0.3117390000, 1.1291600000");
values("0.3020118000, 0.3115194000, 0.3388859000, 0.4074094000, 0.5613927000, 0.9472695000, 2.2370086000", \
"0.3075271000, 0.3169003000, 0.3443389000, 0.4132050000, 0.5668611000, 0.9532598000, 2.2430207000", \
"0.3256884000, 0.3350689000, 0.3624873000, 0.4315417000, 0.5849563000, 0.9709978000, 2.2580906000", \
"0.3861851000, 0.3955274000, 0.4229498000, 0.4920219000, 0.6449343000, 1.0323068000, 2.3194291000", \
"0.5676703000, 0.5770425000, 0.6042515000, 0.6731536000, 0.8263883000, 1.2132271000, 2.4990447000", \
"0.9658544000, 0.9787560000, 1.0138461000, 1.0970748000, 1.2690808000, 1.6627406000, 2.9515168000", \
"1.6615229000, 1.6812409000, 1.7337185000, 1.8561126000, 2.0908915000, 2.5272875000, 3.8240531000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018110700, 0.0065599200, 0.0237609000, 0.0860651000, 0.3117390000, 1.1291600000");
values("0.1847166000, 0.1930880000, 0.2176016000, 0.2847376000, 0.4875594000, 1.1996926000, 3.7696783000", \
"0.1923890000, 0.2005467000, 0.2251685000, 0.2920202000, 0.4953655000, 1.2064061000, 3.7759342000", \
"0.2137319000, 0.2221224000, 0.2466785000, 0.3135940000, 0.5167630000, 1.2273396000, 3.7927372000", \
"0.2728567000, 0.2811407000, 0.3057929000, 0.3724510000, 0.5757845000, 1.2901338000, 3.8611772000", \
"0.4231776000, 0.4321118000, 0.4577737000, 0.5260024000, 0.7294941000, 1.4423649000, 4.0088164000", \
"0.6753513000, 0.6875638000, 0.7197232000, 0.7952274000, 1.0026433000, 1.7149280000, 4.2881516000", \
"1.0268993000, 1.0454164000, 1.0951033000, 1.2004331000, 1.4188327000, 2.1270194000, 4.6948490000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018110700, 0.0065599200, 0.0237609000, 0.0860651000, 0.3117390000, 1.1291600000");
values("0.0525422000, 0.0592752000, 0.0785482000, 0.1338619000, 0.2672405000, 0.7163645000, 2.4537614000", \
"0.0528877000, 0.0591542000, 0.0792825000, 0.1340426000, 0.2674297000, 0.7159241000, 2.4550950000", \
"0.0523545000, 0.0591260000, 0.0785135000, 0.1339940000, 0.2672893000, 0.7161977000, 2.4605651000", \
"0.0524002000, 0.0591677000, 0.0785043000, 0.1340135000, 0.2665582000, 0.7141243000, 2.4520708000", \
"0.0534638000, 0.0601818000, 0.0791415000, 0.1344636000, 0.2676311000, 0.7153809000, 2.4595586000", \
"0.0844545000, 0.0915295000, 0.1112015000, 0.1665972000, 0.2928242000, 0.7274953000, 2.4519839000", \
"0.1464940000, 0.1575342000, 0.1861909000, 0.2576115000, 0.3843632000, 0.7706559000, 2.4618303000");
}
related_pin : "B2";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018110700, 0.0065599200, 0.0237609000, 0.0860651000, 0.3117390000, 1.1291600000");
values("0.0404833000, 0.0466969000, 0.0687178000, 0.1403662000, 0.4078215000, 1.3997915000, 4.9953162000", \
"0.0406096000, 0.0471981000, 0.0684972000, 0.1400011000, 0.4067173000, 1.3992697000, 4.9960551000", \
"0.0406031000, 0.0470960000, 0.0685529000, 0.1401717000, 0.4072339000, 1.4030733000, 4.9986193000", \
"0.0405894000, 0.0472178000, 0.0681646000, 0.1400785000, 0.4079717000, 1.4049574000, 4.9969016000", \
"0.0465254000, 0.0530068000, 0.0736836000, 0.1432891000, 0.4079441000, 1.4020468000, 4.9981983000", \
"0.0698042000, 0.0754374000, 0.0940063000, 0.1586096000, 0.4145403000, 1.3989933000, 4.9945572000", \
"0.1210700000, 0.1272296000, 0.1514057000, 0.2117824000, 0.4336948000, 1.4051824000, 4.9935411000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
/* removed sky130_fd_sc_hd__o22ai_1 */
/* removed sky130_fd_sc_hd__o22ai_2 */
/* removed sky130_fd_sc_hd__o22ai_4 */
/* removed sky130_fd_sc_hd__o2bb2a_1 */
/* removed sky130_fd_sc_hd__o2bb2a_2 */
/* removed sky130_fd_sc_hd__o2bb2a_4 */
/* removed sky130_fd_sc_hd__o2bb2ai_1 */
/* removed sky130_fd_sc_hd__o2bb2ai_2 */
/* removed sky130_fd_sc_hd__o2bb2ai_4 */
/* removed sky130_fd_sc_hd__o311a_1 */
/* removed sky130_fd_sc_hd__o311a_2 */
/* removed sky130_fd_sc_hd__o311a_4 */
/* removed sky130_fd_sc_hd__o311ai_0 */
/* removed sky130_fd_sc_hd__o311ai_1 */
/* removed sky130_fd_sc_hd__o311ai_2 */
/* removed sky130_fd_sc_hd__o311ai_4 */
/* removed sky130_fd_sc_hd__o31a_1 */
/* removed sky130_fd_sc_hd__o31a_2 */
/* removed sky130_fd_sc_hd__o31a_4 */
/* removed sky130_fd_sc_hd__o31ai_1 */
/* removed sky130_fd_sc_hd__o31ai_2 */
/* removed sky130_fd_sc_hd__o31ai_4 */
/* removed sky130_fd_sc_hd__o32a_1 */
/* removed sky130_fd_sc_hd__o32a_2 */
cell ("sky130_fd_sc_hd__o32a_4") {
leakage_power () {
value : 12.993511200;
when : "!A1&!A2&!A3&!B1&B2";
}
leakage_power () {
value : 9.6530686000;
when : "!A1&!A2&!A3&!B1&!B2";
}
leakage_power () {
value : 13.087349900;
when : "!A1&!A2&!A3&B1&B2";
}
leakage_power () {
value : 12.992915200;
when : "!A1&!A2&!A3&B1&!B2";
}
leakage_power () {
value : 15.530353900;
when : "!A1&!A2&A3&!B1&B2";
}
leakage_power () {
value : 12.218469800;
when : "!A1&!A2&A3&!B1&!B2";
}
leakage_power () {
value : 11.257869300;
when : "!A1&!A2&A3&B1&B2";
}
leakage_power () {
value : 13.946782400;
when : "!A1&!A2&A3&B1&!B2";
}
leakage_power () {
value : 10.646345100;
when : "!A1&A2&!A3&!B1&B2";
}
leakage_power () {
value : 12.218468900;
when : "!A1&A2&!A3&!B1&!B2";
}
leakage_power () {
value : 6.3738095000;
when : "!A1&A2&!A3&B1&B2";
}
leakage_power () {
value : 9.0627186000;
when : "!A1&A2&!A3&B1&!B2";
}
leakage_power () {
value : 7.8487554000;
when : "!A1&A2&A3&!B1&B2";
}
leakage_power () {
value : 12.218512400;
when : "!A1&A2&A3&!B1&!B2";
}
leakage_power () {
value : 3.5762853000;
when : "!A1&A2&A3&B1&B2";
}
leakage_power () {
value : 6.2651297000;
when : "!A1&A2&A3&B1&!B2";
}
leakage_power () {
value : 10.416069800;
when : "A1&!A2&!A3&!B1&B2";
}
leakage_power () {
value : 12.218464500;
when : "A1&!A2&!A3&!B1&!B2";
}
leakage_power () {
value : 6.1435279000;
when : "A1&!A2&!A3&B1&B2";
}
leakage_power () {
value : 8.8324406000;
when : "A1&!A2&!A3&B1&!B2";
}
leakage_power () {
value : 7.8538829000;
when : "A1&!A2&A3&!B1&B2";
}
leakage_power () {
value : 12.218517800;
when : "A1&!A2&A3&!B1&!B2";
}
leakage_power () {
value : 3.5814121000;
when : "A1&!A2&A3&B1&B2";
}
leakage_power () {
value : 6.2702559000;
when : "A1&!A2&A3&B1&!B2";
}
leakage_power () {
value : 7.8240054000;
when : "A1&A2&!A3&!B1&B2";
}
leakage_power () {
value : 12.218512400;
when : "A1&A2&!A3&!B1&!B2";
}
leakage_power () {
value : 3.5515255000;
when : "A1&A2&!A3&B1&B2";
}
leakage_power () {
value : 6.2403740000;
when : "A1&A2&!A3&B1&!B2";
}
leakage_power () {
value : 7.6700157000;
when : "A1&A2&A3&!B1&B2";
}
leakage_power () {
value : 12.218530200;
when : "A1&A2&A3&!B1&!B2";
}
leakage_power () {
value : 3.3975573000;
when : "A1&A2&A3&B1&B2";
}
leakage_power () {
value : 6.0863883000;
when : "A1&A2&A3&B1&!B2";
}
area : 22.521600000;
cell_footprint : "sky130_fd_sc_hd__o32a";
cell_leakage_power : 9.2697450000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A1") {
capacitance : 0.0043950000;
clock : "false";
direction : "input";
fall_capacitance : 0.0042200000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0066051000, 0.0065686000, 0.0065234000, 0.0064928000, 0.0064646000, 0.0064426000, 0.0064387000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.006397800, -0.006434800, -0.006464300, -0.006510300, -0.006565300, -0.006645700, -0.006797200");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0045700000;
}
pin ("A2") {
capacitance : 0.0042930000;
clock : "false";
direction : "input";
fall_capacitance : 0.0040450000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0065586000, 0.0065179000, 0.0064607000, 0.0064296000, 0.0063997000, 0.0063732000, 0.0063565000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.006459700, -0.006493500, -0.006514600, -0.006557600, -0.006604700, -0.006663000, -0.006752900");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0045410000;
}
pin ("A3") {
capacitance : 0.0043600000;
clock : "false";
direction : "input";
fall_capacitance : 0.0040540000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0068161000, 0.0067714000, 0.0067037000, 0.0066726000, 0.0066433000, 0.0066183000, 0.0066058000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.006774000, -0.006804100, -0.006822600, -0.006858000, -0.006891800, -0.006921200, -0.006938100");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0046660000;
}
pin ("B1") {
capacitance : 0.0043190000;
clock : "false";
direction : "input";
fall_capacitance : 0.0041900000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0074915000, 0.0074605000, 0.0074258000, 0.0073948000, 0.0073602000, 0.0073153000, 0.0072418000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.002082800, -0.002209900, -0.002493200, -0.002475700, -0.002351800, -0.001928500, -0.000661200");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0044480000;
}
pin ("B2") {
capacitance : 0.0043000000;
clock : "false";
direction : "input";
fall_capacitance : 0.0041020000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0075550000, 0.0075202000, 0.0074748000, 0.0074462000, 0.0074184000, 0.0073925000, 0.0073724000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.002068600, -0.002175200, -0.002410300, -0.002391000, -0.002271000, -0.001867500, -0.000665400");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0044980000;
}
pin ("X") {
direction : "output";
function : "(A1&B1) | (A1&B2) | (A2&B1) | (A3&B1) | (A2&B2) | (A3&B2)";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018299420, 0.0066973770, 0.0245116200, 0.0897097100, 0.3283272000, 1.2016390000");
values("0.0337963000, 0.0324611000, 0.0281697000, 0.0101731000, -0.067524200, -0.370450600, -1.487499300", \
"0.0336736000, 0.0323379000, 0.0281203000, 0.0101110000, -0.067647600, -0.370578400, -1.487619100", \
"0.0336233000, 0.0322798000, 0.0280179000, 0.0099018000, -0.067807400, -0.370761100, -1.487774100", \
"0.0334313000, 0.0319294000, 0.0279562000, 0.0096648000, -0.068059500, -0.371001600, -1.488018300", \
"0.0329303000, 0.0316150000, 0.0274157000, 0.0092060000, -0.068471800, -0.371324100, -1.488335200", \
"0.0331756000, 0.0314518000, 0.0266088000, 0.0090381000, -0.068848300, -0.371598900, -1.488471300", \
"0.0447823000, 0.0430188000, 0.0366896000, 0.0142602000, -0.068408600, -0.372151700, -1.488601000");
}
related_pin : "A1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018299420, 0.0066973770, 0.0245116200, 0.0897097100, 0.3283272000, 1.2016390000");
values("0.0353870000, 0.0372405000, 0.0440878000, 0.0682724000, 0.1522995000, 0.4574542000, 1.5646838000", \
"0.0352683000, 0.0371456000, 0.0440124000, 0.0680015000, 0.1521719000, 0.4554400000, 1.5624514000", \
"0.0350390000, 0.0368786000, 0.0436794000, 0.0677722000, 0.1519129000, 0.4571891000, 1.5641271000", \
"0.0347602000, 0.0366389000, 0.0435472000, 0.0676159000, 0.1517101000, 0.4548710000, 1.5674238000", \
"0.0347723000, 0.0366282000, 0.0433662000, 0.0671257000, 0.1512731000, 0.4547182000, 1.5622269000", \
"0.0361418000, 0.0378907000, 0.0442814000, 0.0672109000, 0.1510250000, 0.4559697000, 1.5665391000", \
"0.0377333000, 0.0394248000, 0.0456671000, 0.0685677000, 0.1522223000, 0.4564940000, 1.5608571000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018299420, 0.0066973770, 0.0245116200, 0.0897097100, 0.3283272000, 1.2016390000");
values("0.0298868000, 0.0288202000, 0.0244723000, 0.0064215000, -0.071326000, -0.374252900, -1.491319100", \
"0.0299630000, 0.0287800000, 0.0245803000, 0.0062056000, -0.071455300, -0.374418000, -1.491399200", \
"0.0297373000, 0.0285024000, 0.0242076000, 0.0061588000, -0.071635200, -0.374541200, -1.491577500", \
"0.0293697000, 0.0280812000, 0.0238275000, 0.0057388000, -0.071897300, -0.374805900, -1.491826400", \
"0.0290782000, 0.0277783000, 0.0236087000, 0.0053991000, -0.072281100, -0.375188600, -1.492101400", \
"0.0291725000, 0.0274116000, 0.0227954000, 0.0050678000, -0.072815800, -0.375480200, -1.492288200", \
"0.0417145000, 0.0399428000, 0.0335297000, 0.0107490000, -0.071952300, -0.375669900, -1.492047500");
}
related_pin : "A2";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018299420, 0.0066973770, 0.0245116200, 0.0897097100, 0.3283272000, 1.2016390000");
values("0.0327612000, 0.0346804000, 0.0414933000, 0.0654036000, 0.1490144000, 0.4520116000, 1.5641394000", \
"0.0328927000, 0.0347467000, 0.0415625000, 0.0653393000, 0.1490662000, 0.4540002000, 1.5589450000", \
"0.0328058000, 0.0346614000, 0.0414392000, 0.0652602000, 0.1490008000, 0.4538936000, 1.5596283000", \
"0.0326041000, 0.0344619000, 0.0411793000, 0.0650840000, 0.1488879000, 0.4520694000, 1.5593541000", \
"0.0323673000, 0.0341721000, 0.0408333000, 0.0643939000, 0.1482971000, 0.4515780000, 1.5590241000", \
"0.0331811000, 0.0349326000, 0.0412365000, 0.0644202000, 0.1481585000, 0.4520520000, 1.5577801000", \
"0.0344631000, 0.0361550000, 0.0423579000, 0.0651782000, 0.1489255000, 0.4515813000, 1.5597474000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018299420, 0.0066973770, 0.0245116200, 0.0897097100, 0.3283272000, 1.2016390000");
values("0.0259014000, 0.0245751000, 0.0204495000, 0.0023712000, -0.075252300, -0.378186300, -1.495206900", \
"0.0258031000, 0.0245428000, 0.0202590000, 0.0022603000, -0.075411700, -0.378320800, -1.495380300", \
"0.0255642000, 0.0242277000, 0.0200682000, 0.0020110000, -0.075603500, -0.378559400, -1.495608100", \
"0.0252642000, 0.0239633000, 0.0197602000, 0.0016324000, -0.076020200, -0.378931000, -1.495954600", \
"0.0249973000, 0.0236529000, 0.0194986000, 0.0014728000, -0.076421400, -0.379267500, -1.496199200", \
"0.0274460000, 0.0257172000, 0.0194194000, 0.0012474000, -0.076696400, -0.379321600, -1.496018400", \
"0.0404109000, 0.0385632000, 0.0320398000, 0.0091128000, -0.073787500, -0.377637500, -1.493879800");
}
related_pin : "A3";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018299420, 0.0066973770, 0.0245116200, 0.0897097100, 0.3283272000, 1.2016390000");
values("0.0297701000, 0.0316554000, 0.0384542000, 0.0621128000, 0.1457610000, 0.4505070000, 1.5565715000", \
"0.0298548000, 0.0317325000, 0.0385762000, 0.0623574000, 0.1459559000, 0.4507030000, 1.5568988000", \
"0.0298814000, 0.0317570000, 0.0385939000, 0.0623843000, 0.1460158000, 0.4507836000, 1.5570936000", \
"0.0295895000, 0.0314456000, 0.0382508000, 0.0621473000, 0.1457148000, 0.4484871000, 1.5593754000", \
"0.0291141000, 0.0309367000, 0.0375341000, 0.0613390000, 0.1447993000, 0.4478557000, 1.5593363000", \
"0.0296678000, 0.0314119000, 0.0377441000, 0.0609687000, 0.1442864000, 0.4459616000, 1.5592398000", \
"0.0310659000, 0.0327746000, 0.0389373000, 0.0616053000, 0.1454708000, 0.4486170000, 1.5585710000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018299420, 0.0066973770, 0.0245116200, 0.0897097100, 0.3283272000, 1.2016390000");
values("0.0238059000, 0.0226877000, 0.0185106000, 0.0009902000, -0.076175200, -0.380085200, -1.497720500", \
"0.0236034000, 0.0225251000, 0.0184509000, 0.0009255000, -0.076184100, -0.380083000, -1.497746300", \
"0.0233640000, 0.0222982000, 0.0181480000, 0.0006509000, -0.076392400, -0.380288800, -1.497961000", \
"0.0229088000, 0.0218702000, 0.0177013000, 0.0002233000, -0.076891700, -0.380718600, -1.498418300", \
"0.0225661000, 0.0215097000, 0.0171884000, -0.000477600, -0.077513000, -0.381178400, -1.498787200", \
"0.0288041000, 0.0271048000, 0.0213729000, -0.001217900, -0.078190000, -0.381474300, -1.498932800", \
"0.0364796000, 0.0346834000, 0.0283181000, 0.0055352000, -0.077292300, -0.381464700, -1.498399500");
}
related_pin : "B1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018299420, 0.0066973770, 0.0245116200, 0.0897097100, 0.3283272000, 1.2016390000");
values("0.0312458000, 0.0332027000, 0.0398717000, 0.0640445000, 0.1481501000, 0.4532163000, 1.5604368000", \
"0.0311152000, 0.0330336000, 0.0398992000, 0.0640036000, 0.1481424000, 0.4513003000, 1.5637261000", \
"0.0311872000, 0.0330264000, 0.0398231000, 0.0641049000, 0.1481137000, 0.4532744000, 1.5605597000", \
"0.0307781000, 0.0326286000, 0.0395137000, 0.0636610000, 0.1477110000, 0.4512975000, 1.5628117000", \
"0.0303813000, 0.0322382000, 0.0389588000, 0.0628337000, 0.1468396000, 0.4501328000, 1.5620842000", \
"0.0315708000, 0.0332857000, 0.0396798000, 0.0625451000, 0.1461813000, 0.4510389000, 1.5585356000", \
"0.0332788000, 0.0351609000, 0.0411171000, 0.0638407000, 0.1472055000, 0.4509770000, 1.5606345000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018299420, 0.0066973770, 0.0245116200, 0.0897097100, 0.3283272000, 1.2016390000");
values("0.0197891000, 0.0186827000, 0.0145951000, -0.002859000, -0.079896100, -0.383711500, -1.501363900", \
"0.0197130000, 0.0187433000, 0.0146024000, -0.002951100, -0.079944100, -0.383758700, -1.501386600", \
"0.0194639000, 0.0183877000, 0.0143013000, -0.003152000, -0.080234300, -0.384052200, -1.501746800", \
"0.0189923000, 0.0178439000, 0.0137912000, -0.003796300, -0.080784100, -0.384529200, -1.502201600", \
"0.0191541000, 0.0179886000, 0.0136568000, -0.004261900, -0.081483400, -0.385085100, -1.502583900", \
"0.0253698000, 0.0236579000, 0.0175210000, -0.004891700, -0.081999800, -0.385099900, -1.502390600", \
"0.0348956000, 0.0330311000, 0.0265486000, 0.0037139000, -0.079262700, -0.383354000, -1.500109200");
}
related_pin : "B2";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018299420, 0.0066973770, 0.0245116200, 0.0897097100, 0.3283272000, 1.2016390000");
values("0.0278819000, 0.0297099000, 0.0366067000, 0.0606254000, 0.1445982000, 0.4471310000, 1.5560238000", \
"0.0280003000, 0.0298818000, 0.0368042000, 0.0607488000, 0.1448409000, 0.4477260000, 1.5598171000", \
"0.0281572000, 0.0299558000, 0.0368420000, 0.0609357000, 0.1450175000, 0.4498779000, 1.5556943000", \
"0.0278312000, 0.0296732000, 0.0365138000, 0.0605900000, 0.1444943000, 0.4474527000, 1.5595109000", \
"0.0272261000, 0.0291093000, 0.0357987000, 0.0593575000, 0.1434058000, 0.4462399000, 1.5549271000", \
"0.0286047000, 0.0302710000, 0.0365005000, 0.0593015000, 0.1423837000, 0.4448205000, 1.5580293000", \
"0.0300961000, 0.0317414000, 0.0375079000, 0.0605215000, 0.1435046000, 0.4474615000, 1.5505375000");
}
}
max_capacitance : 0.3534030000;
max_transition : 1.4996830000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018299400, 0.0066973800, 0.0245116000, 0.0897097000, 0.3283270000, 1.2016400000");
values("0.5916118000, 0.6039590000, 0.6399663000, 0.7303453000, 0.9275932000, 1.3778816000, 2.7757543000", \
"0.5981713000, 0.6105169000, 0.6465253000, 0.7369287000, 0.9341845000, 1.3844695000, 2.7822651000", \
"0.6199007000, 0.6321930000, 0.6681436000, 0.7594806000, 0.9563955000, 1.4066639000, 2.8045164000", \
"0.6793313000, 0.6919876000, 0.7275954000, 0.8188832000, 1.0151848000, 1.4659645000, 2.8640192000", \
"0.8236336000, 0.8359946000, 0.8719886000, 0.9630652000, 1.1598937000, 1.6102243000, 3.0081819000", \
"1.1657245000, 1.1790020000, 1.2174060000, 1.3124943000, 1.5162460000, 1.9702984000, 3.3689533000", \
"1.8237573000, 1.8403552000, 1.8873307000, 2.0030841000, 2.2419144000, 2.7338438000, 4.1433532000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018299400, 0.0066973800, 0.0245116000, 0.0897097000, 0.3283270000, 1.2016400000");
values("0.2727301000, 0.2818714000, 0.3087291000, 0.3792272000, 0.5845201000, 1.2962540000, 3.8679215000", \
"0.2805573000, 0.2896533000, 0.3164544000, 0.3869184000, 0.5926671000, 1.3020905000, 3.8796966000", \
"0.3030749000, 0.3121587000, 0.3389535000, 0.4095193000, 0.6148874000, 1.3267442000, 3.9006571000", \
"0.3596911000, 0.3688046000, 0.3956208000, 0.4660487000, 0.6717009000, 1.3798642000, 3.9580561000", \
"0.5065267000, 0.5158698000, 0.5425571000, 0.6130034000, 0.8181631000, 1.5280751000, 4.1053783000", \
"0.8205550000, 0.8314797000, 0.8626607000, 0.9396758000, 1.1493472000, 1.8596337000, 4.4293778000", \
"1.3754626000, 1.3911322000, 1.4337622000, 1.5304965000, 1.7544216000, 2.4669149000, 5.0368785000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018299400, 0.0066973800, 0.0245116000, 0.0897097000, 0.3283270000, 1.2016400000");
values("0.0743283000, 0.0824794000, 0.1085855000, 0.1781641000, 0.3285770000, 0.7995294000, 2.6433824000", \
"0.0743365000, 0.0824774000, 0.1086324000, 0.1782164000, 0.3285902000, 0.7990354000, 2.6428371000", \
"0.0748114000, 0.0830405000, 0.1090613000, 0.1785054000, 0.3286543000, 0.7993780000, 2.6425204000", \
"0.0741110000, 0.0822063000, 0.1082654000, 0.1786724000, 0.3299413000, 0.8003185000, 2.6443652000", \
"0.0744350000, 0.0826041000, 0.1084614000, 0.1778903000, 0.3288085000, 0.7995986000, 2.6439955000", \
"0.0822356000, 0.0906016000, 0.1183013000, 0.1898013000, 0.3369579000, 0.8024896000, 2.6443270000", \
"0.1117327000, 0.1218195000, 0.1514694000, 0.2334617000, 0.3892329000, 0.8457320000, 2.6461962000");
}
related_pin : "A1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018299400, 0.0066973800, 0.0245116000, 0.0897097000, 0.3283270000, 1.2016400000");
values("0.0462963000, 0.0526928000, 0.0752377000, 0.1453662000, 0.4060215000, 1.3923246000, 5.0021436000", \
"0.0459351000, 0.0527267000, 0.0749052000, 0.1455889000, 0.4054031000, 1.3931445000, 4.9984954000", \
"0.0459705000, 0.0526977000, 0.0750029000, 0.1455433000, 0.4064676000, 1.3940500000, 4.9978075000", \
"0.0458534000, 0.0526721000, 0.0747991000, 0.1453487000, 0.4054062000, 1.3895131000, 5.0047815000", \
"0.0472301000, 0.0538455000, 0.0755252000, 0.1459124000, 0.4063591000, 1.3941192000, 4.9954032000", \
"0.0585502000, 0.0657275000, 0.0882226000, 0.1574345000, 0.4099210000, 1.3935093000, 4.9990359000", \
"0.0909380000, 0.0993643000, 0.1248594000, 0.1918830000, 0.4260271000, 1.3976288000, 4.9905675000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018299400, 0.0066973800, 0.0245116000, 0.0897097000, 0.3283270000, 1.2016400000");
values("0.5612957000, 0.5732910000, 0.6090524000, 0.7005214000, 0.8973869000, 1.3475822000, 2.7455973000", \
"0.5655152000, 0.5778180000, 0.6137446000, 0.7046126000, 0.9016310000, 1.3520233000, 2.7501561000", \
"0.5847405000, 0.5971932000, 0.6331811000, 0.7239235000, 0.9204569000, 1.3715102000, 2.7673257000", \
"0.6409643000, 0.6532905000, 0.6893786000, 0.7798711000, 0.9769565000, 1.4273174000, 2.8253850000", \
"0.7913139000, 0.8036331000, 0.8395846000, 0.9305945000, 1.1272696000, 1.5779767000, 2.9739924000", \
"1.1758132000, 1.1894283000, 1.2283374000, 1.3252731000, 1.5289061000, 1.9843576000, 3.3829421000", \
"1.9049857000, 1.9229609000, 1.9741395000, 2.0961217000, 2.3393929000, 2.8306439000, 4.2418380000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018299400, 0.0066973800, 0.0245116000, 0.0897097000, 0.3283270000, 1.2016400000");
values("0.2478555000, 0.2566183000, 0.2819313000, 0.3500297000, 0.5519659000, 1.2574558000, 3.8253325000", \
"0.2562265000, 0.2648298000, 0.2903071000, 0.3582883000, 0.5600502000, 1.2695749000, 3.8422221000", \
"0.2792250000, 0.2878364000, 0.3132914000, 0.3813668000, 0.5831479000, 1.2922224000, 3.8645092000", \
"0.3347474000, 0.3433510000, 0.3686662000, 0.4367341000, 0.6388277000, 1.3450406000, 3.9139949000", \
"0.4745319000, 0.4833094000, 0.5094395000, 0.5781018000, 0.7803329000, 1.4868607000, 4.0554160000", \
"0.7589522000, 0.7697518000, 0.8003069000, 0.8766509000, 1.0837378000, 1.7911752000, 4.3673509000", \
"1.2350092000, 1.2505053000, 1.2943046000, 1.3927011000, 1.6166980000, 2.3272158000, 4.8938085000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018299400, 0.0066973800, 0.0245116000, 0.0897097000, 0.3283270000, 1.2016400000");
values("0.0737253000, 0.0832578000, 0.1091490000, 0.1781392000, 0.3288457000, 0.8001480000, 2.6444897000", \
"0.0747471000, 0.0830312000, 0.1085331000, 0.1783713000, 0.3291824000, 0.7998920000, 2.6442655000", \
"0.0736568000, 0.0827149000, 0.1078533000, 0.1785650000, 0.3297062000, 0.8008969000, 2.6366487000", \
"0.0744616000, 0.0826963000, 0.1085680000, 0.1777674000, 0.3290027000, 0.8002231000, 2.6444935000", \
"0.0737469000, 0.0818651000, 0.1085383000, 0.1775505000, 0.3290225000, 0.7991409000, 2.6423690000", \
"0.0851447000, 0.0930863000, 0.1192433000, 0.1911982000, 0.3385534000, 0.8025534000, 2.6428908000", \
"0.1263134000, 0.1379958000, 0.1678679000, 0.2474468000, 0.4020768000, 0.8467319000, 2.6430078000");
}
related_pin : "A2";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018299400, 0.0066973800, 0.0245116000, 0.0897097000, 0.3283270000, 1.2016400000");
values("0.0430382000, 0.0500547000, 0.0708312000, 0.1409122000, 0.4021399000, 1.3889572000, 4.9967110000", \
"0.0428996000, 0.0494820000, 0.0710601000, 0.1410209000, 0.4021142000, 1.3922440000, 4.9955027000", \
"0.0428628000, 0.0494106000, 0.0710337000, 0.1409959000, 0.4018157000, 1.3887260000, 4.9929199000", \
"0.0430989000, 0.0497501000, 0.0706722000, 0.1409236000, 0.4019577000, 1.3917199000, 5.0001319000", \
"0.0458468000, 0.0525764000, 0.0730069000, 0.1423752000, 0.4022176000, 1.3917973000, 4.9997610000", \
"0.0578447000, 0.0650326000, 0.0876635000, 0.1555258000, 0.4067706000, 1.3872127000, 4.9922284000", \
"0.0933578000, 0.1018444000, 0.1275613000, 0.1938955000, 0.4252716000, 1.3951552000, 4.9898627000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018299400, 0.0066973800, 0.0245116000, 0.0897097000, 0.3283270000, 1.2016400000");
values("0.4898703000, 0.5022395000, 0.5382820000, 0.6295552000, 0.8271499000, 1.2779164000, 2.6739337000", \
"0.4932131000, 0.5056315000, 0.5417110000, 0.6326064000, 0.8307436000, 1.2813650000, 2.6794005000", \
"0.5076713000, 0.5200346000, 0.5562191000, 0.6474858000, 0.8451013000, 1.2962651000, 2.6935378000", \
"0.5555700000, 0.5679866000, 0.6041252000, 0.6956110000, 0.8932510000, 1.3438562000, 2.7418838000", \
"0.7112969000, 0.7236317000, 0.7598121000, 0.8513035000, 1.0489594000, 1.4996413000, 2.8978062000", \
"1.1073943000, 1.1210165000, 1.1601468000, 1.2561761000, 1.4609515000, 1.9170199000, 3.3159979000", \
"1.7593793000, 1.7789767000, 1.8339618000, 1.9629367000, 2.2133697000, 2.6983327000, 4.1101909000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018299400, 0.0066973800, 0.0245116000, 0.0897097000, 0.3283270000, 1.2016400000");
values("0.2229861000, 0.2315587000, 0.2567652000, 0.3241813000, 0.5253081000, 1.2314111000, 3.8000638000", \
"0.2319852000, 0.2405290000, 0.2657525000, 0.3331780000, 0.5343515000, 1.2401347000, 3.8097077000", \
"0.2558857000, 0.2644306000, 0.2896256000, 0.3570677000, 0.5582931000, 1.2639148000, 3.8333146000", \
"0.3128198000, 0.3213454000, 0.3466457000, 0.4141164000, 0.6147143000, 1.3205549000, 3.8920227000", \
"0.4524515000, 0.4614749000, 0.4875286000, 0.5561493000, 0.7574020000, 1.4637882000, 4.0369614000", \
"0.7303210000, 0.7415436000, 0.7729978000, 0.8508648000, 1.0579267000, 1.7633606000, 4.3328510000", \
"1.1910531000, 1.2076220000, 1.2539980000, 1.3578695000, 1.5859032000, 2.2950319000, 4.8607935000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018299400, 0.0066973800, 0.0245116000, 0.0897097000, 0.3283270000, 1.2016400000");
values("0.0736399000, 0.0819270000, 0.1082503000, 0.1786799000, 0.3291911000, 0.7996687000, 2.6421885000", \
"0.0745333000, 0.0825798000, 0.1087731000, 0.1788471000, 0.3300864000, 0.7999679000, 2.6439275000", \
"0.0739093000, 0.0821137000, 0.1081031000, 0.1786532000, 0.3292142000, 0.8007695000, 2.6395421000", \
"0.0745815000, 0.0828406000, 0.1087672000, 0.1792966000, 0.3295198000, 0.8003267000, 2.6439311000", \
"0.0744233000, 0.0827837000, 0.1081310000, 0.1785667000, 0.3296123000, 0.7998662000, 2.6440141000", \
"0.0901751000, 0.0991045000, 0.1226834000, 0.1926208000, 0.3410912000, 0.8043633000, 2.6436895000", \
"0.1462363000, 0.1568494000, 0.1890760000, 0.2710847000, 0.4097961000, 0.8443309000, 2.6507083000");
}
related_pin : "A3";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018299400, 0.0066973800, 0.0245116000, 0.0897097000, 0.3283270000, 1.2016400000");
values("0.0422433000, 0.0487150000, 0.0699822000, 0.1396286000, 0.4006916000, 1.3912999000, 4.9992597000", \
"0.0418147000, 0.0483492000, 0.0696471000, 0.1397180000, 0.3996277000, 1.3916560000, 4.9989732000", \
"0.0418713000, 0.0484059000, 0.0698359000, 0.1397574000, 0.3998616000, 1.3916478000, 4.9992144000", \
"0.0419064000, 0.0483233000, 0.0700131000, 0.1399012000, 0.4007786000, 1.3868728000, 4.9944031000", \
"0.0453297000, 0.0519019000, 0.0737506000, 0.1419252000, 0.4004248000, 1.3894000000, 4.9980882000", \
"0.0606380000, 0.0679893000, 0.0901898000, 0.1574674000, 0.4078116000, 1.3870741000, 4.9932756000", \
"0.1000452000, 0.1094550000, 0.1363156000, 0.2039581000, 0.4299419000, 1.3940727000, 4.9954507000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018299400, 0.0066973800, 0.0245116000, 0.0897097000, 0.3283270000, 1.2016400000");
values("0.3326168000, 0.3424807000, 0.3717318000, 0.4480762000, 0.6336349000, 1.0814795000, 2.4687203000", \
"0.3405936000, 0.3504936000, 0.3800115000, 0.4559474000, 0.6415833000, 1.0894904000, 2.4768925000", \
"0.3631336000, 0.3730140000, 0.4023757000, 0.4782748000, 0.6640117000, 1.1119525000, 2.5004145000", \
"0.4220702000, 0.4320194000, 0.4613656000, 0.5375118000, 0.7231928000, 1.1707104000, 2.5604328000", \
"0.5774403000, 0.5874434000, 0.6165394000, 0.6926499000, 0.8786696000, 1.3270637000, 2.7146478000", \
"0.9101155000, 0.9223933000, 0.9585468000, 1.0476767000, 1.2518110000, 1.7136020000, 3.1031240000", \
"1.4917654000, 1.5094689000, 1.5601558000, 1.6825012000, 1.9441200000, 2.4621747000, 3.8718708000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018299400, 0.0066973800, 0.0245116000, 0.0897097000, 0.3283270000, 1.2016400000");
values("0.2502234000, 0.2593796000, 0.2858553000, 0.3564733000, 0.5617789000, 1.2735903000, 3.8455887000", \
"0.2564473000, 0.2655542000, 0.2922984000, 0.3628322000, 0.5685047000, 1.2768684000, 3.8545949000", \
"0.2773838000, 0.2864844000, 0.3130688000, 0.3837196000, 0.5889718000, 1.3008447000, 3.8724943000", \
"0.3375157000, 0.3465113000, 0.3734545000, 0.4437907000, 0.6493982000, 1.3585143000, 3.9332710000", \
"0.5110142000, 0.5201068000, 0.5464680000, 0.6165127000, 0.8214375000, 1.5302756000, 4.1054165000", \
"0.8479322000, 0.8591764000, 0.8903831000, 0.9658213000, 1.1725115000, 1.8837332000, 4.4593245000", \
"1.3859663000, 1.4034411000, 1.4484354000, 1.5471913000, 1.7648241000, 2.4758695000, 5.0482232000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018299400, 0.0066973800, 0.0245116000, 0.0897097000, 0.3283270000, 1.2016400000");
values("0.0545485000, 0.0614402000, 0.0838327000, 0.1475081000, 0.3169572000, 0.7897050000, 2.6270417000", \
"0.0543557000, 0.0613001000, 0.0835050000, 0.1472843000, 0.3170295000, 0.7894948000, 2.6264906000", \
"0.0543545000, 0.0614234000, 0.0834178000, 0.1479429000, 0.3170258000, 0.7888796000, 2.6296130000", \
"0.0543977000, 0.0612110000, 0.0832495000, 0.1473303000, 0.3164615000, 0.7892711000, 2.6264544000", \
"0.0557384000, 0.0622591000, 0.0851628000, 0.1482945000, 0.3173480000, 0.7895283000, 2.6282869000", \
"0.0749536000, 0.0829788000, 0.1079500000, 0.1750322000, 0.3442244000, 0.8002917000, 2.6268523000", \
"0.1231981000, 0.1334971000, 0.1658811000, 0.2495463000, 0.4285735000, 0.8642105000, 2.6368694000");
}
related_pin : "B1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018299400, 0.0066973800, 0.0245116000, 0.0897097000, 0.3283270000, 1.2016400000");
values("0.0460239000, 0.0529205000, 0.0750913000, 0.1456330000, 0.4064491000, 1.3910045000, 5.0018474000", \
"0.0459940000, 0.0526575000, 0.0748800000, 0.1454380000, 0.4059436000, 1.3895088000, 5.0038804000", \
"0.0458738000, 0.0526422000, 0.0751756000, 0.1455817000, 0.4061967000, 1.3925222000, 5.0021307000", \
"0.0457631000, 0.0526591000, 0.0748108000, 0.1453034000, 0.4059745000, 1.3915822000, 4.9991278000", \
"0.0473954000, 0.0536802000, 0.0751173000, 0.1455324000, 0.4052555000, 1.3913474000, 4.9986264000", \
"0.0657343000, 0.0719216000, 0.0909368000, 0.1567872000, 0.4099231000, 1.3932030000, 5.0016129000", \
"0.1078523000, 0.1161624000, 0.1385183000, 0.1973139000, 0.4242247000, 1.3990191000, 4.9990434000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018299400, 0.0066973800, 0.0245116000, 0.0897097000, 0.3283270000, 1.2016400000");
values("0.2965564000, 0.3064758000, 0.3360731000, 0.4120831000, 0.5982183000, 1.0465705000, 2.4346949000", \
"0.3020253000, 0.3120612000, 0.3414154000, 0.4178072000, 0.6041088000, 1.0523274000, 2.4425788000", \
"0.3192208000, 0.3291248000, 0.3586806000, 0.4351221000, 0.6212827000, 1.0695859000, 2.4591222000", \
"0.3762765000, 0.3863280000, 0.4157274000, 0.4921562000, 0.6782799000, 1.1267119000, 2.5164693000", \
"0.5471339000, 0.5568728000, 0.5860258000, 0.6621316000, 0.8485847000, 1.2977071000, 2.6867346000", \
"0.8957203000, 0.9093660000, 0.9476981000, 1.0407046000, 1.2494410000, 1.7137342000, 3.1053160000", \
"1.4592735000, 1.4787404000, 1.5362026000, 1.6733533000, 1.9582704000, 2.4855495000, 3.8961505000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018299400, 0.0066973800, 0.0245116000, 0.0897097000, 0.3283270000, 1.2016400000");
values("0.2218973000, 0.2309685000, 0.2576354000, 0.3280631000, 0.5329064000, 1.2430821000, 3.8154200000", \
"0.2293266000, 0.2384239000, 0.2651578000, 0.3355078000, 0.5406804000, 1.2476710000, 3.8248809000", \
"0.2512399000, 0.2601315000, 0.2868162000, 0.3572652000, 0.5620573000, 1.2723819000, 3.8414543000", \
"0.3120487000, 0.3210745000, 0.3480026000, 0.4181901000, 0.6227703000, 1.3307455000, 3.9054555000", \
"0.4805666000, 0.4897435000, 0.5164852000, 0.5845000000, 0.7883434000, 1.4992666000, 4.0726253000", \
"0.7914370000, 0.8027147000, 0.8353032000, 0.9116118000, 1.1192268000, 1.8276043000, 4.3967638000", \
"1.2723738000, 1.2903701000, 1.3413183000, 1.4428573000, 1.6640406000, 2.3738198000, 4.9415427000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018299400, 0.0066973800, 0.0245116000, 0.0897097000, 0.3283270000, 1.2016400000");
values("0.0541446000, 0.0609767000, 0.0836005000, 0.1479835000, 0.3176893000, 0.7892161000, 2.6289095000", \
"0.0540505000, 0.0617752000, 0.0835240000, 0.1481213000, 0.3177906000, 0.7883901000, 2.6234894000", \
"0.0541197000, 0.0617277000, 0.0841333000, 0.1477503000, 0.3173931000, 0.7887023000, 2.6227098000", \
"0.0542224000, 0.0613484000, 0.0843763000, 0.1482588000, 0.3174253000, 0.7886828000, 2.6228839000", \
"0.0561933000, 0.0630234000, 0.0857530000, 0.1501907000, 0.3192120000, 0.7897885000, 2.6300104000", \
"0.0875334000, 0.0956171000, 0.1195116000, 0.1846891000, 0.3500838000, 0.8022906000, 2.6240987000", \
"0.1494984000, 0.1605710000, 0.1953360000, 0.2868116000, 0.4639454000, 0.8723720000, 2.6373601000");
}
related_pin : "B2";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018299400, 0.0066973800, 0.0245116000, 0.0897097000, 0.3283270000, 1.2016400000");
values("0.0457909000, 0.0526007000, 0.0744122000, 0.1449504000, 0.4053030000, 1.3922278000, 4.9988915000", \
"0.0455226000, 0.0523252000, 0.0742180000, 0.1447965000, 0.4046508000, 1.3887026000, 5.0034088000", \
"0.0455639000, 0.0528274000, 0.0743725000, 0.1446490000, 0.4046175000, 1.3917740000, 5.0004760000", \
"0.0452200000, 0.0524267000, 0.0741290000, 0.1446899000, 0.4047017000, 1.3889231000, 4.9998770000", \
"0.0480114000, 0.0544579000, 0.0753240000, 0.1460087000, 0.4049080000, 1.3929008000, 4.9944968000", \
"0.0696091000, 0.0756876000, 0.0947076000, 0.1593328000, 0.4103745000, 1.3908406000, 4.9989115000", \
"0.1145485000, 0.1233382000, 0.1467086000, 0.2063999000, 0.4280380000, 1.3983816000, 4.9965814000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
/* removed sky130_fd_sc_hd__o32ai_1 */
/* removed sky130_fd_sc_hd__o32ai_2 */
cell ("sky130_fd_sc_hd__o32ai_4") {
leakage_power () {
value : 9.4812833000;
when : "!A1&!A2&!A3&!B1&B2";
}
leakage_power () {
value : 0.8609023000;
when : "!A1&!A2&!A3&!B1&!B2";
}
leakage_power () {
value : 9.7590389000;
when : "!A1&!A2&!A3&B1&B2";
}
leakage_power () {
value : 9.5500718000;
when : "!A1&!A2&!A3&B1&!B2";
}
leakage_power () {
value : 12.104966800;
when : "!A1&!A2&A3&!B1&B2";
}
leakage_power () {
value : 8.0444833000;
when : "!A1&!A2&A3&!B1&!B2";
}
leakage_power () {
value : 6.4728392000;
when : "!A1&!A2&A3&B1&B2";
}
leakage_power () {
value : 9.9540109000;
when : "!A1&!A2&A3&B1&!B2";
}
leakage_power () {
value : 9.9523616000;
when : "!A1&A2&!A3&!B1&B2";
}
leakage_power () {
value : 8.0443563000;
when : "!A1&A2&!A3&!B1&!B2";
}
leakage_power () {
value : 4.3201771000;
when : "!A1&A2&!A3&B1&B2";
}
leakage_power () {
value : 7.8013773000;
when : "!A1&A2&!A3&B1&!B2";
}
leakage_power () {
value : 6.4681029000;
when : "!A1&A2&A3&!B1&B2";
}
leakage_power () {
value : 8.0446405000;
when : "!A1&A2&A3&!B1&!B2";
}
leakage_power () {
value : 0.8358803000;
when : "!A1&A2&A3&B1&B2";
}
leakage_power () {
value : 4.3170898000;
when : "!A1&A2&A3&B1&!B2";
}
leakage_power () {
value : 11.518145500;
when : "A1&!A2&!A3&!B1&B2";
}
leakage_power () {
value : 8.0443048000;
when : "A1&!A2&!A3&!B1&!B2";
}
leakage_power () {
value : 5.8859788000;
when : "A1&!A2&!A3&B1&B2";
}
leakage_power () {
value : 9.3671764000;
when : "A1&!A2&!A3&B1&!B2";
}
leakage_power () {
value : 6.6817751000;
when : "A1&!A2&A3&!B1&B2";
}
leakage_power () {
value : 8.0446583000;
when : "A1&!A2&A3&!B1&!B2";
}
leakage_power () {
value : 1.0495804000;
when : "A1&!A2&A3&B1&B2";
}
leakage_power () {
value : 4.5307891000;
when : "A1&!A2&A3&B1&!B2";
}
leakage_power () {
value : 6.6533650000;
when : "A1&A2&!A3&!B1&B2";
}
leakage_power () {
value : 8.0445490000;
when : "A1&A2&!A3&!B1&!B2";
}
leakage_power () {
value : 1.0211385000;
when : "A1&A2&!A3&B1&B2";
}
leakage_power () {
value : 4.5023474000;
when : "A1&A2&!A3&B1&!B2";
}
leakage_power () {
value : 6.3100769000;
when : "A1&A2&A3&!B1&B2";
}
leakage_power () {
value : 8.0447053000;
when : "A1&A2&A3&!B1&!B2";
}
leakage_power () {
value : 0.6778423000;
when : "A1&A2&A3&B1&B2";
}
leakage_power () {
value : 4.1590522000;
when : "A1&A2&A3&B1&!B2";
}
area : 27.526400000;
cell_footprint : "sky130_fd_sc_hd__o32ai";
cell_leakage_power : 6.5795960000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A1") {
capacitance : 0.0087420000;
clock : "false";
direction : "input";
fall_capacitance : 0.0084010000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0131268000, 0.0131072000, 0.0130906000, 0.0130715000, 0.0130563000, 0.0130522000, 0.0130792000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.012964300, -0.012980500, -0.012976100, -0.013009100, -0.013052100, -0.013123800, -0.013275800");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0090840000;
}
pin ("A2") {
capacitance : 0.0083570000;
clock : "false";
direction : "input";
fall_capacitance : 0.0078660000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0129013000, 0.0128755000, 0.0128415000, 0.0128180000, 0.0127901000, 0.0127499000, 0.0126750000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.012843800, -0.012865800, -0.012875200, -0.012904900, -0.012935800, -0.012969900, -0.013013300");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0088480000;
}
pin ("A3") {
capacitance : 0.0085720000;
clock : "false";
direction : "input";
fall_capacitance : 0.0079850000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0130370000, 0.0130126000, 0.0129822000, 0.0129610000, 0.0129396000, 0.0129178000, 0.0128947000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.013010500, -0.013035500, -0.013056700, -0.013083300, -0.013109000, -0.013132300, -0.013149000");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0091580000;
}
pin ("B1") {
capacitance : 0.0085100000;
clock : "false";
direction : "input";
fall_capacitance : 0.0082620000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0148966000, 0.0148790000, 0.0148619000, 0.0148461000, 0.0148344000, 0.0148338000, 0.0148648000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.002967400, -0.003144600, -0.003585900, -0.003505600, -0.003221500, -0.002363500, 0.0001117000");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0087580000;
}
pin ("B2") {
capacitance : 0.0084140000;
clock : "false";
direction : "input";
fall_capacitance : 0.0080360000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0149354000, 0.0149164000, 0.0148955000, 0.0148797000, 0.0148679000, 0.0148673000, 0.0148981000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.002999700, -0.003140600, -0.003492600, -0.003414200, -0.003148000, -0.002352300, -6.5720171e-05");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0087920000;
}
pin ("Y") {
direction : "output";
function : "(!A1&!A2&!A3) | (!B1&!B2)";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0014806850, 0.0043848530, 0.0129851700, 0.0384538800, 0.1138761000, 0.3372292000");
values("0.0289132000, 0.0277063000, 0.0239672000, 0.0130894000, -0.019177100, -0.114787900, -0.399717800", \
"0.0285276000, 0.0272912000, 0.0235878000, 0.0127178000, -0.019460300, -0.115177000, -0.400122000", \
"0.0280547000, 0.0268089000, 0.0231584000, 0.0122220000, -0.019925500, -0.115746700, -0.400510000", \
"0.0274809000, 0.0262299000, 0.0225610000, 0.0117121000, -0.020411600, -0.116138200, -0.400746200", \
"0.0271126000, 0.0258873000, 0.0222583000, 0.0115508000, -0.020512200, -0.116085600, -0.401036000", \
"0.0274775000, 0.0262236000, 0.0225241000, 0.0116475000, -0.021144000, -0.116527200, -0.401065600", \
"0.0300780000, 0.0288127000, 0.0249880000, 0.0138661000, -0.018801300, -0.115543600, -0.401089600");
}
related_pin : "A1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0014806850, 0.0043848530, 0.0129851700, 0.0384538800, 0.1138761000, 0.3372292000");
values("0.0530669000, 0.0543539000, 0.0581015000, 0.0692761000, 0.1019560000, 0.1975022000, 0.4809082000", \
"0.0528926000, 0.0540679000, 0.0578155000, 0.0688979000, 0.1015178000, 0.1975738000, 0.4806301000", \
"0.0523024000, 0.0535722000, 0.0573718000, 0.0685275000, 0.1012869000, 0.1974284000, 0.4806909000", \
"0.0516226000, 0.0529474000, 0.0567111000, 0.0679058000, 0.1009274000, 0.1971474000, 0.4803376000", \
"0.0511822000, 0.0525007000, 0.0562461000, 0.0674923000, 0.1002717000, 0.1964803000, 0.4802872000", \
"0.0508364000, 0.0522564000, 0.0559561000, 0.0670948000, 0.0998185000, 0.1959109000, 0.4798584000", \
"0.0512072000, 0.0523939000, 0.0560630000, 0.0669583000, 0.0993784000, 0.1966054000, 0.4793964000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0014806850, 0.0043848530, 0.0129851700, 0.0384538800, 0.1138761000, 0.3372292000");
values("0.0240538000, 0.0228288000, 0.0191115000, 0.0083999000, -0.023866800, -0.120005900, -0.405191800", \
"0.0240087000, 0.0228385000, 0.0191470000, 0.0083057000, -0.023862400, -0.119907800, -0.405132000", \
"0.0237773000, 0.0225748000, 0.0189080000, 0.0081144000, -0.023967600, -0.120008200, -0.405334500", \
"0.0231039000, 0.0218862000, 0.0182854000, 0.0076113000, -0.024334500, -0.120147100, -0.405350600", \
"0.0222309000, 0.0210261000, 0.0174491000, 0.0068068000, -0.024828600, -0.120368000, -0.405373000", \
"0.0223934000, 0.0211513000, 0.0174898000, 0.0065778000, -0.025822000, -0.121357700, -0.405929200", \
"0.0240979000, 0.0228293000, 0.0191123000, 0.0081411000, -0.024682800, -0.121026100, -0.406330600");
}
related_pin : "A2";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0014806850, 0.0043848530, 0.0129851700, 0.0384538800, 0.1138761000, 0.3372292000");
values("0.0458429000, 0.0471080000, 0.0508678000, 0.0619574000, 0.0945723000, 0.1906357000, 0.4735980000", \
"0.0455206000, 0.0467934000, 0.0506582000, 0.0616844000, 0.0944196000, 0.1899429000, 0.4737495000", \
"0.0449611000, 0.0462385000, 0.0500259000, 0.0612144000, 0.0939743000, 0.1898409000, 0.4731565000", \
"0.0442638000, 0.0455426000, 0.0494252000, 0.0605955000, 0.0935905000, 0.1897665000, 0.4733605000", \
"0.0437306000, 0.0449647000, 0.0487627000, 0.0599054000, 0.0927614000, 0.1891733000, 0.4730758000", \
"0.0435634000, 0.0448418000, 0.0486740000, 0.0597843000, 0.0928367000, 0.1890604000, 0.4723359000", \
"0.0443960000, 0.0456673000, 0.0493482000, 0.0600364000, 0.0929415000, 0.1884717000, 0.4727443000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0014806850, 0.0043848530, 0.0129851700, 0.0384538800, 0.1138761000, 0.3372292000");
values("0.0177838000, 0.0165430000, 0.0129123000, 0.0021155000, -0.030197900, -0.126442700, -0.411979300", \
"0.0177768000, 0.0165897000, 0.0129964000, 0.0022892000, -0.029918200, -0.126075800, -0.411612700", \
"0.0176390000, 0.0164444000, 0.0129160000, 0.0023194000, -0.029713000, -0.125689700, -0.411275900", \
"0.0169186000, 0.0157486000, 0.0122639000, 0.0017834000, -0.029935000, -0.125643600, -0.410920900", \
"0.0160045000, 0.0148203000, 0.0112474000, 0.0007223000, -0.030768100, -0.126039100, -0.411071900", \
"0.0159117000, 0.0146645000, 0.0110402000, 0.0001828000, -0.031902100, -0.127328300, -0.411661000", \
"0.0176985000, 0.0164391000, 0.0125517000, 0.0015061000, -0.031264400, -0.127466600, -0.412511300");
}
related_pin : "A3";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0014806850, 0.0043848530, 0.0129851700, 0.0384538800, 0.1138761000, 0.3372292000");
values("0.0389054000, 0.0402278000, 0.0439600000, 0.0550601000, 0.0877665000, 0.1836891000, 0.4666754000", \
"0.0385099000, 0.0397723000, 0.0435690000, 0.0546589000, 0.0874033000, 0.1834460000, 0.4665203000", \
"0.0375255000, 0.0388796000, 0.0426893000, 0.0539346000, 0.0869163000, 0.1831123000, 0.4663533000", \
"0.0366879000, 0.0379607000, 0.0416892000, 0.0530063000, 0.0860834000, 0.1826427000, 0.4661748000", \
"0.0361912000, 0.0374257000, 0.0412202000, 0.0524924000, 0.0853780000, 0.1819680000, 0.4656421000", \
"0.0370182000, 0.0382352000, 0.0419319000, 0.0539545000, 0.0860967000, 0.1811527000, 0.4648865000", \
"0.0419397000, 0.0432396000, 0.0473884000, 0.0559995000, 0.0901032000, 0.1838651000, 0.4657563000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0014806850, 0.0043848530, 0.0129851700, 0.0384538800, 0.1138761000, 0.3372292000");
values("0.0194611000, 0.0182032000, 0.0144688000, 0.0036159000, -0.028542100, -0.124335600, -0.409126400", \
"0.0192622000, 0.0180362000, 0.0142503000, 0.0034168000, -0.028748100, -0.124495200, -0.409292100", \
"0.0190939000, 0.0178499000, 0.0142319000, 0.0033830000, -0.028627400, -0.124274900, -0.409274000", \
"0.0182491000, 0.0170289000, 0.0134608000, 0.0025012000, -0.029345100, -0.124689600, -0.409500100", \
"0.0174323000, 0.0161624000, 0.0125203000, 0.0017501000, -0.029858400, -0.125300900, -0.409867200", \
"0.0175823000, 0.0163334000, 0.0126244000, 0.0015950000, -0.031076100, -0.126618500, -0.411040900", \
"0.0195256000, 0.0182178000, 0.0143802000, 0.0031056000, -0.030105400, -0.127116500, -0.413151800");
}
related_pin : "B1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0014806850, 0.0043848530, 0.0129851700, 0.0384538800, 0.1138761000, 0.3372292000");
values("0.0353931000, 0.0367024000, 0.0405538000, 0.0516705000, 0.0841632000, 0.1796024000, 0.4613633000", \
"0.0349211000, 0.0362966000, 0.0402172000, 0.0515791000, 0.0842319000, 0.1796954000, 0.4615925000", \
"0.0341032000, 0.0354722000, 0.0394447000, 0.0509457000, 0.0839792000, 0.1796866000, 0.4623724000", \
"0.0334497000, 0.0347601000, 0.0386210000, 0.0499942000, 0.0831250000, 0.1793764000, 0.4622516000", \
"0.0329592000, 0.0342446000, 0.0380726000, 0.0492291000, 0.0820629000, 0.1782305000, 0.4616685000", \
"0.0329597000, 0.0341816000, 0.0379577000, 0.0489490000, 0.0815779000, 0.1778590000, 0.4609947000", \
"0.0342449000, 0.0354525000, 0.0389887000, 0.0496808000, 0.0814155000, 0.1773907000, 0.4605918000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0014806850, 0.0043848530, 0.0129851700, 0.0384538800, 0.1138761000, 0.3372292000");
values("0.0130814000, 0.0119082000, 0.0083515000, -0.002434900, -0.034515300, -0.130303700, -0.415445800", \
"0.0131294000, 0.0119496000, 0.0084256000, -0.002220000, -0.034090200, -0.129814000, -0.415046400", \
"0.0132229000, 0.0120021000, 0.0084614000, -0.002072400, -0.033804900, -0.129297900, -0.414438100", \
"0.0124400000, 0.0112740000, 0.0076853000, -0.002875600, -0.034375900, -0.129588600, -0.414424500", \
"0.0112684000, 0.0100509000, 0.0064666000, -0.003903600, -0.035420600, -0.130497400, -0.414941800", \
"0.0113291000, 0.0101066000, 0.0063865000, -0.004632100, -0.037041100, -0.132287200, -0.416526300", \
"0.0134003000, 0.0120494000, 0.0081756000, -0.003238100, -0.036473300, -0.133508500, -0.418857200");
}
related_pin : "B2";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0014806850, 0.0043848530, 0.0129851700, 0.0384538800, 0.1138761000, 0.3372292000");
values("0.0280693000, 0.0294191000, 0.0333289000, 0.0445309000, 0.0770982000, 0.1724009000, 0.4541562000", \
"0.0273623000, 0.0288422000, 0.0329024000, 0.0443092000, 0.0770273000, 0.1726469000, 0.4551328000", \
"0.0264983000, 0.0278810000, 0.0318080000, 0.0433813000, 0.0766627000, 0.1725364000, 0.4552904000", \
"0.0257528000, 0.0270809000, 0.0310086000, 0.0425628000, 0.0756459000, 0.1720488000, 0.4551417000", \
"0.0256304000, 0.0268992000, 0.0306196000, 0.0416482000, 0.0747295000, 0.1710666000, 0.4539421000", \
"0.0280471000, 0.0293586000, 0.0322393000, 0.0425739000, 0.0748178000, 0.1701914000, 0.4529355000", \
"0.0312643000, 0.0329185000, 0.0359261000, 0.0469159000, 0.0776148000, 0.1720493000, 0.4520481000");
}
}
max_capacitance : 0.0906470000;
max_transition : 1.5213620000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0014806800, 0.0043848500, 0.0129852000, 0.0384539000, 0.1138760000, 0.3372290000");
values("0.1259854000, 0.1302222000, 0.1421642000, 0.1756658000, 0.2679108000, 0.5235703000, 1.2640917000", \
"0.1332659000, 0.1374955000, 0.1496671000, 0.1829786000, 0.2752065000, 0.5310393000, 1.2717942000", \
"0.1553648000, 0.1596607000, 0.1718598000, 0.2052310000, 0.2970608000, 0.5532406000, 1.2936942000", \
"0.2103185000, 0.2145909000, 0.2264011000, 0.2598877000, 0.3513884000, 0.6080286000, 1.3486595000", \
"0.3357825000, 0.3408187000, 0.3554491000, 0.3945877000, 0.4935074000, 0.7510494000, 1.4930376000", \
"0.5749280000, 0.5829224000, 0.6053745000, 0.6645859000, 0.8065700000, 1.1288549000, 1.8965822000", \
"0.9403389000, 0.9552921000, 0.9976111000, 1.1081847000, 1.3686391000, 1.8958697000, 2.9208753000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0014806800, 0.0043848500, 0.0129852000, 0.0384539000, 0.1138760000, 0.3372290000");
values("0.3666586000, 0.3777661000, 0.4082638000, 0.5030549000, 0.7743955000, 1.5687888000, 3.9092352000", \
"0.3726336000, 0.3840995000, 0.4147779000, 0.5095440000, 0.7808620000, 1.5750904000, 3.9167936000", \
"0.3939511000, 0.4049649000, 0.4377885000, 0.5304016000, 0.8032088000, 1.5982420000, 3.9393537000", \
"0.4481542000, 0.4590748000, 0.4918868000, 0.5859670000, 0.8599697000, 1.6562954000, 3.9995758000", \
"0.5862075000, 0.5973194000, 0.6296391000, 0.7232211000, 0.9954110000, 1.7938114000, 4.1369348000", \
"0.8692023000, 0.8840512000, 0.9261465000, 1.0373934000, 1.3418940000, 2.1444040000, 4.4884843000", \
"1.3858475000, 1.4072623000, 1.4707167000, 1.6402163000, 2.0548634000, 3.0465159000, 5.4604534000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0014806800, 0.0043848500, 0.0129852000, 0.0384539000, 0.1138760000, 0.3372290000");
values("0.0933032000, 0.0980804000, 0.1120772000, 0.1522501000, 0.2684724000, 0.6073928000, 1.6099166000", \
"0.0930429000, 0.0978158000, 0.1117840000, 0.1520725000, 0.2677884000, 0.6074267000, 1.6112636000", \
"0.0926603000, 0.0974676000, 0.1115367000, 0.1517459000, 0.2680802000, 0.6081577000, 1.6106420000", \
"0.0930445000, 0.0976701000, 0.1114163000, 0.1512922000, 0.2671407000, 0.6069073000, 1.6110816000", \
"0.1207612000, 0.1255978000, 0.1394131000, 0.1781893000, 0.2839198000, 0.6089421000, 1.6124742000", \
"0.2102238000, 0.2163422000, 0.2334739000, 0.2829765000, 0.4008876000, 0.7062745000, 1.6283954000", \
"0.4404167000, 0.4510198000, 0.4789951000, 0.5553710000, 0.7263515000, 1.0872453000, 1.9697217000");
}
related_pin : "A1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0014806800, 0.0043848500, 0.0129852000, 0.0384539000, 0.1138760000, 0.3372290000");
values("0.2419180000, 0.2557391000, 0.2973092000, 0.4220654000, 0.7813518000, 1.8355724000, 4.9488342000", \
"0.2427148000, 0.2555884000, 0.2977177000, 0.4208019000, 0.7800167000, 1.8375036000, 4.9510014000", \
"0.2411086000, 0.2555467000, 0.2975003000, 0.4205253000, 0.7804231000, 1.8366212000, 4.9467181000", \
"0.2409633000, 0.2551862000, 0.2974778000, 0.4202831000, 0.7802674000, 1.8360008000, 4.9490634000", \
"0.2502593000, 0.2641027000, 0.3041774000, 0.4240376000, 0.7808151000, 1.8375570000, 4.9443107000", \
"0.3298401000, 0.3471914000, 0.3886318000, 0.5088920000, 0.8407193000, 1.8483620000, 4.9526991000", \
"0.5683790000, 0.5872055000, 0.6379931000, 0.7772498000, 1.1446109000, 2.1188837000, 5.0104352000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0014806800, 0.0043848500, 0.0129852000, 0.0384539000, 0.1138760000, 0.3372290000");
values("0.1160268000, 0.1197231000, 0.1305045000, 0.1612141000, 0.2470742000, 0.4941924000, 1.2169106000", \
"0.1240827000, 0.1279381000, 0.1383947000, 0.1694957000, 0.2553098000, 0.5022122000, 1.2247729000", \
"0.1466904000, 0.1502991000, 0.1611182000, 0.1917566000, 0.2778271000, 0.5250342000, 1.2474087000", \
"0.2003830000, 0.2040768000, 0.2151680000, 0.2461360000, 0.3324988000, 0.5800491000, 1.3034589000", \
"0.3176048000, 0.3223919000, 0.3365171000, 0.3750685000, 0.4737549000, 0.7242265000, 1.4484017000", \
"0.5244221000, 0.5329854000, 0.5570529000, 0.6196502000, 0.7688095000, 1.0955328000, 1.8542288000", \
"0.8050961000, 0.8218624000, 0.8688216000, 0.9915940000, 1.2713396000, 1.8276942000, 2.8688365000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0014806800, 0.0043848500, 0.0129852000, 0.0384539000, 0.1138760000, 0.3372290000");
values("0.3432145000, 0.3546311000, 0.3846396000, 0.4790481000, 0.7508461000, 1.5449731000, 3.8864894000", \
"0.3467707000, 0.3575209000, 0.3909855000, 0.4834049000, 0.7554465000, 1.5519726000, 3.8909498000", \
"0.3654303000, 0.3766375000, 0.4073476000, 0.5015361000, 0.7754331000, 1.5716396000, 3.9119779000", \
"0.4210740000, 0.4314559000, 0.4648046000, 0.5584133000, 0.8323555000, 1.6296202000, 3.9717580000", \
"0.5753358000, 0.5858263000, 0.6186527000, 0.7131100000, 0.9859813000, 1.7832575000, 4.1277506000", \
"0.9167255000, 0.9331426000, 0.9794896000, 1.1015221000, 1.4162883000, 2.2184834000, 4.5643640000", \
"1.5412616000, 1.5713889000, 1.6481042000, 1.8515480000, 2.3389719000, 3.3807936000, 5.7962950000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0014806800, 0.0043848500, 0.0129852000, 0.0384539000, 0.1138760000, 0.3372290000");
values("0.0748444000, 0.0793397000, 0.0924976000, 0.1312531000, 0.2439151000, 0.5765800000, 1.5604084000", \
"0.0746537000, 0.0794599000, 0.0926201000, 0.1310345000, 0.2441464000, 0.5767248000, 1.5591411000", \
"0.0747893000, 0.0792654000, 0.0926266000, 0.1309530000, 0.2440878000, 0.5774763000, 1.5600526000", \
"0.0768341000, 0.0811060000, 0.0937407000, 0.1313255000, 0.2434445000, 0.5763261000, 1.5604511000", \
"0.1065995000, 0.1115147000, 0.1249460000, 0.1627729000, 0.2651138000, 0.5795844000, 1.5586731000", \
"0.1998624000, 0.2064365000, 0.2252228000, 0.2732017000, 0.3945342000, 0.6883822000, 1.5843237000", \
"0.4317687000, 0.4431045000, 0.4752492000, 0.5557695000, 0.7322831000, 1.0891166000, 1.9467938000");
}
related_pin : "A2";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0014806800, 0.0043848500, 0.0129852000, 0.0384539000, 0.1138760000, 0.3372290000");
values("0.2409883000, 0.2556713000, 0.2979162000, 0.4206508000, 0.7806902000, 1.8367935000, 4.9518687000", \
"0.2406612000, 0.2550316000, 0.2984306000, 0.4207341000, 0.7807678000, 1.8384901000, 4.9460036000", \
"0.2412208000, 0.2555592000, 0.2976994000, 0.4206496000, 0.7810189000, 1.8375810000, 4.9492312000", \
"0.2410396000, 0.2548424000, 0.2982698000, 0.4207999000, 0.7809189000, 1.8363788000, 4.9530549000", \
"0.2564889000, 0.2699878000, 0.3099518000, 0.4266254000, 0.7810939000, 1.8355347000, 4.9442117000", \
"0.3741832000, 0.3885092000, 0.4332055000, 0.5451379000, 0.8582302000, 1.8492015000, 4.9521596000", \
"0.6975286000, 0.7174649000, 0.7736810000, 0.9282259000, 1.2859003000, 2.1758297000, 4.9889475000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0014806800, 0.0043848500, 0.0129852000, 0.0384539000, 0.1138760000, 0.3372290000");
values("0.0892784000, 0.0929142000, 0.1030884000, 0.1314954000, 0.2119789000, 0.4452264000, 1.1306067000", \
"0.0976696000, 0.1012144000, 0.1114679000, 0.1402762000, 0.2209111000, 0.4542873000, 1.1397714000", \
"0.1204986000, 0.1239276000, 0.1343812000, 0.1633153000, 0.2445279000, 0.4782845000, 1.1639686000", \
"0.1748535000, 0.1785723000, 0.1894942000, 0.2188448000, 0.3006675000, 0.5353509000, 1.2219690000", \
"0.2804362000, 0.2862221000, 0.3011618000, 0.3422338000, 0.4419734000, 0.6835348000, 1.3715340000", \
"0.4527589000, 0.4631060000, 0.4904793000, 0.5629117000, 0.7253806000, 1.0576926000, 1.7877994000", \
"0.6507458000, 0.6749847000, 0.7285936000, 0.8714092000, 1.1835639000, 1.7708578000, 2.8212446000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0014806800, 0.0043848500, 0.0129852000, 0.0384539000, 0.1138760000, 0.3372290000");
values("0.2714829000, 0.2825086000, 0.3155600000, 0.4082359000, 0.6801443000, 1.4747938000, 3.8157926000", \
"0.2761320000, 0.2872657000, 0.3186838000, 0.4118546000, 0.6843532000, 1.4790678000, 3.8212091000", \
"0.2870219000, 0.2982600000, 0.3313569000, 0.4257617000, 0.7005812000, 1.4965384000, 3.8384091000", \
"0.3389757000, 0.3489896000, 0.3812398000, 0.4754346000, 0.7518282000, 1.5500896000, 3.8943275000", \
"0.5038954000, 0.5156292000, 0.5477929000, 0.6410851000, 0.9104041000, 1.7093512000, 4.0552677000", \
"0.8618538000, 0.8801126000, 0.9310528000, 1.0647045000, 1.3796050000, 2.1744200000, 4.5171999000", \
"1.4727273000, 1.5028099000, 1.5885948000, 1.8133234000, 2.3541749000, 3.4678118000, 5.8388485000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0014806800, 0.0043848500, 0.0129852000, 0.0384539000, 0.1138760000, 0.3372290000");
values("0.0553032000, 0.0594127000, 0.0716843000, 0.1077142000, 0.2141269000, 0.5296976000, 1.4614329000", \
"0.0552313000, 0.0593570000, 0.0716547000, 0.1078778000, 0.2144733000, 0.5302329000, 1.4620455000", \
"0.0551814000, 0.0594044000, 0.0716508000, 0.1076312000, 0.2141312000, 0.5295621000, 1.4634549000", \
"0.0600703000, 0.0637485000, 0.0751105000, 0.1094333000, 0.2142270000, 0.5293828000, 1.4604945000", \
"0.0937043000, 0.0983472000, 0.1114709000, 0.1475645000, 0.2427168000, 0.5344026000, 1.4627393000", \
"0.1888242000, 0.1957668000, 0.2148778000, 0.2638638000, 0.3801862000, 0.6596137000, 1.4943120000", \
"0.4231787000, 0.4362027000, 0.4679322000, 0.5511939000, 0.7310522000, 1.0851812000, 1.8921260000");
}
related_pin : "A3";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0014806800, 0.0043848500, 0.0129852000, 0.0384539000, 0.1138760000, 0.3372290000");
values("0.2410140000, 0.2552234000, 0.2973370000, 0.4206237000, 0.7807966000, 1.8376661000, 4.9506581000", \
"0.2409958000, 0.2554680000, 0.2973305000, 0.4202440000, 0.7794611000, 1.8361703000, 4.9506945000", \
"0.2405678000, 0.2549298000, 0.2973982000, 0.4203046000, 0.7802612000, 1.8352968000, 4.9563220000", \
"0.2395949000, 0.2540970000, 0.2967127000, 0.4204872000, 0.7801840000, 1.8349468000, 4.9453970000", \
"0.2658574000, 0.2782897000, 0.3154697000, 0.4271182000, 0.7798486000, 1.8376369000, 4.9502344000", \
"0.4197439000, 0.4366031000, 0.4832568000, 0.6095178000, 0.9014949000, 1.8474104000, 4.9504614000", \
"0.7477753000, 0.7772116000, 0.8531750000, 1.0453374000, 1.4650310000, 2.3309354000, 5.0145492000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0014806800, 0.0043848500, 0.0129852000, 0.0384539000, 0.1138760000, 0.3372290000");
values("0.1015019000, 0.1057028000, 0.1177991000, 0.1510565000, 0.2427597000, 0.4990633000, 1.2397595000", \
"0.1079039000, 0.1119713000, 0.1237029000, 0.1573005000, 0.2493740000, 0.5053081000, 1.2459961000", \
"0.1274183000, 0.1316583000, 0.1438821000, 0.1773659000, 0.2696303000, 0.5256021000, 1.2666357000", \
"0.1878653000, 0.1915709000, 0.2028126000, 0.2349745000, 0.3265861000, 0.5830910000, 1.3248472000", \
"0.3271082000, 0.3332137000, 0.3501906000, 0.3946427000, 0.4985802000, 0.7532318000, 1.4944159000", \
"0.5455799000, 0.5560675000, 0.5849164000, 0.6611256000, 0.8419089000, 1.2110773000, 1.9745032000", \
"0.8357293000, 0.8541534000, 0.9056504000, 1.0424499000, 1.3651023000, 2.0227406000, 3.2247229000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0014806800, 0.0043848500, 0.0129852000, 0.0384539000, 0.1138760000, 0.3372290000");
values("0.1314787000, 0.1390809000, 0.1617741000, 0.2262992000, 0.4150020000, 0.9683474000, 2.5959042000", \
"0.1375613000, 0.1455329000, 0.1683835000, 0.2339678000, 0.4233523000, 0.9798019000, 2.6059230000", \
"0.1585113000, 0.1663943000, 0.1895878000, 0.2559767000, 0.4458692000, 0.9994400000, 2.6313391000", \
"0.2186587000, 0.2262083000, 0.2485411000, 0.3144187000, 0.5074398000, 1.0610471000, 2.6953313000", \
"0.3450367000, 0.3561375000, 0.3863206000, 0.4665767000, 0.6623038000, 1.2216593000, 2.8549723000", \
"0.5704716000, 0.5906174000, 0.6468184000, 0.7756801000, 1.0568915000, 1.6655278000, 3.3040277000", \
"0.9255095000, 0.9642768000, 1.0711098000, 1.3210152000, 1.8212886000, 2.7396778000, 4.5648045000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0014806800, 0.0043848500, 0.0129852000, 0.0384539000, 0.1138760000, 0.3372290000");
values("0.0919481000, 0.0967782000, 0.1105188000, 0.1513690000, 0.2676865000, 0.6081378000, 1.6111686000", \
"0.0923460000, 0.0971608000, 0.1110580000, 0.1515781000, 0.2673257000, 0.6072715000, 1.6108935000", \
"0.0922863000, 0.0970383000, 0.1110282000, 0.1516806000, 0.2677605000, 0.6069507000, 1.6110238000", \
"0.0940042000, 0.0984985000, 0.1117006000, 0.1504026000, 0.2662253000, 0.6061884000, 1.6121432000", \
"0.1454354000, 0.1510308000, 0.1664156000, 0.2058287000, 0.3022807000, 0.6097028000, 1.6119925000", \
"0.2671126000, 0.2762696000, 0.3011897000, 0.3639674000, 0.5013097000, 0.7839147000, 1.6395793000", \
"0.5262891000, 0.5432970000, 0.5902625000, 0.7037778000, 0.9383332000, 1.3749869000, 2.2024553000");
}
related_pin : "B1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0014806800, 0.0043848500, 0.0129852000, 0.0384539000, 0.1138760000, 0.3372290000");
values("0.1586081000, 0.1692269000, 0.2006253000, 0.2929352000, 0.5643534000, 1.3316492000, 3.5178369000", \
"0.1586097000, 0.1692552000, 0.2006592000, 0.2929737000, 0.5643440000, 1.3320363000, 3.5177521000", \
"0.1586952000, 0.1692775000, 0.2006138000, 0.2930795000, 0.5637374000, 1.3307945000, 3.5142804000", \
"0.1624542000, 0.1722962000, 0.2019550000, 0.2933265000, 0.5642022000, 1.3309743000, 3.5152048000", \
"0.2247831000, 0.2324199000, 0.2528789000, 0.3266926000, 0.5727457000, 1.3317247000, 3.5152090000", \
"0.4128799000, 0.4198968000, 0.4420007000, 0.5116673000, 0.7226152000, 1.3749831000, 3.5173544000", \
"0.8980323000, 0.9035697000, 0.9217652000, 0.9920387000, 1.2126660000, 1.8213163000, 3.6605283000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0014806800, 0.0043848500, 0.0129852000, 0.0384539000, 0.1138760000, 0.3372290000");
values("0.0786380000, 0.0833237000, 0.0964109000, 0.1325157000, 0.2296484000, 0.4999628000, 1.2824987000", \
"0.0854694000, 0.0901218000, 0.1034984000, 0.1398219000, 0.2371516000, 0.5076959000, 1.2911356000", \
"0.1061585000, 0.1107206000, 0.1240054000, 0.1605874000, 0.2583933000, 0.5296053000, 1.3133645000", \
"0.1701132000, 0.1745534000, 0.1866469000, 0.2203256000, 0.3169525000, 0.5900583000, 1.3739262000", \
"0.2946518000, 0.3019968000, 0.3223206000, 0.3733041000, 0.4897273000, 0.7589911000, 1.5431056000", \
"0.4861365000, 0.4987679000, 0.5337598000, 0.6236338000, 0.8239784000, 1.2220829000, 2.0219224000", \
"0.7363628000, 0.7586211000, 0.8184005000, 0.9784504000, 1.3378886000, 2.0458721000, 3.3063918000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0014806800, 0.0043848500, 0.0129852000, 0.0384539000, 0.1138760000, 0.3372290000");
values("0.0957011000, 0.1034997000, 0.1263959000, 0.1913781000, 0.3813952000, 0.9348725000, 2.5616833000", \
"0.0987445000, 0.1071069000, 0.1306474000, 0.1970835000, 0.3864764000, 0.9383935000, 2.5687463000", \
"0.1151144000, 0.1229541000, 0.1457720000, 0.2130765000, 0.4067807000, 0.9580476000, 2.5904903000", \
"0.1745115000, 0.1828967000, 0.2045677000, 0.2701255000, 0.4600854000, 1.0150938000, 2.6502887000", \
"0.2916025000, 0.3061555000, 0.3443667000, 0.4352306000, 0.6330013000, 1.1878409000, 2.8247928000", \
"0.4878529000, 0.5134999000, 0.5819795000, 0.7435361000, 1.0709887000, 1.6762648000, 3.3131797000", \
"0.8265504000, 0.8698055000, 0.9842367000, 1.2641103000, 1.8410565000, 2.8885023000, 4.7178168000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0014806800, 0.0043848500, 0.0129852000, 0.0384539000, 0.1138760000, 0.3372290000");
values("0.0828233000, 0.0878187000, 0.1021804000, 0.1439247000, 0.2650447000, 0.6225360000, 1.6809859000", \
"0.0833924000, 0.0885019000, 0.1026663000, 0.1439905000, 0.2650928000, 0.6221036000, 1.6848261000", \
"0.0808968000, 0.0860140000, 0.1014121000, 0.1439104000, 0.2650295000, 0.6222688000, 1.6848978000", \
"0.0879417000, 0.0917989000, 0.1044642000, 0.1429650000, 0.2633329000, 0.6225540000, 1.6835138000", \
"0.1404319000, 0.1463963000, 0.1638196000, 0.2056747000, 0.3015228000, 0.6251073000, 1.6843245000", \
"0.2629718000, 0.2731747000, 0.3006981000, 0.3670367000, 0.5083753000, 0.8049812000, 1.7081194000", \
"0.5184952000, 0.5366900000, 0.5908597000, 0.7076648000, 0.9507120000, 1.4092587000, 2.2620352000");
}
related_pin : "B2";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0014806800, 0.0043848500, 0.0129852000, 0.0384539000, 0.1138760000, 0.3372290000");
values("0.1590258000, 0.1696820000, 0.2010729000, 0.2937188000, 0.5653086000, 1.3328022000, 3.5173915000", \
"0.1588478000, 0.1696297000, 0.2010991000, 0.2938353000, 0.5646184000, 1.3320670000, 3.5161181000", \
"0.1581087000, 0.1689898000, 0.2008517000, 0.2936746000, 0.5648968000, 1.3316233000, 3.5159833000", \
"0.1726154000, 0.1811943000, 0.2078505000, 0.2935956000, 0.5644613000, 1.3318296000, 3.5171728000", \
"0.2808090000, 0.2866946000, 0.3034777000, 0.3575081000, 0.5806934000, 1.3318024000, 3.5188870000", \
"0.5384793000, 0.5436369000, 0.5621010000, 0.6250465000, 0.8106789000, 1.4056490000, 3.5168604000", \
"1.0630828000, 1.0713527000, 1.1020775000, 1.1975870000, 1.4596694000, 2.0559152000, 3.7224626000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
}
}
/* removed sky130_fd_sc_hd__o41a_1 */
/* removed sky130_fd_sc_hd__o41a_2 */
cell ("sky130_fd_sc_hd__o41a_4") {
leakage_power () {
value : 12.443762500;
when : "!A1&!A2&!A3&!A4&B1";
}
leakage_power () {
value : 6.9534125000;
when : "!A1&!A2&!A3&!A4&!B1";
}
leakage_power () {
value : 11.654858400;
when : "!A1&!A2&!A3&A4&B1";
}
leakage_power () {
value : 8.1240046000;
when : "!A1&!A2&!A3&A4&!B1";
}
leakage_power () {
value : 9.9147037000;
when : "!A1&!A2&A3&!A4&B1";
}
leakage_power () {
value : 8.1240010000;
when : "!A1&!A2&A3&!A4&!B1";
}
leakage_power () {
value : 7.3373174000;
when : "!A1&!A2&A3&A4&B1";
}
leakage_power () {
value : 8.1240206000;
when : "!A1&!A2&A3&A4&!B1";
}
leakage_power () {
value : 9.6428794000;
when : "!A1&A2&!A3&!A4&B1";
}
leakage_power () {
value : 8.1240046000;
when : "!A1&A2&!A3&!A4&!B1";
}
leakage_power () {
value : 7.3244939000;
when : "!A1&A2&!A3&A4&B1";
}
leakage_power () {
value : 8.1240188000;
when : "!A1&A2&!A3&A4&!B1";
}
leakage_power () {
value : 7.3131292000;
when : "!A1&A2&A3&!A4&B1";
}
leakage_power () {
value : 8.1240152000;
when : "!A1&A2&A3&!A4&!B1";
}
leakage_power () {
value : 7.1766642000;
when : "!A1&A2&A3&A4&B1";
}
leakage_power () {
value : 8.1240223000;
when : "!A1&A2&A3&A4&!B1";
}
leakage_power () {
value : 8.9614751000;
when : "A1&!A2&!A3&!A4&B1";
}
leakage_power () {
value : 8.1239993000;
when : "A1&!A2&!A3&!A4&!B1";
}
leakage_power () {
value : 7.2513275000;
when : "A1&!A2&!A3&A4&B1";
}
leakage_power () {
value : 8.1240197000;
when : "A1&!A2&!A3&A4&!B1";
}
leakage_power () {
value : 7.2436994000;
when : "A1&!A2&A3&!A4&B1";
}
leakage_power () {
value : 8.1240126000;
when : "A1&!A2&A3&!A4&!B1";
}
leakage_power () {
value : 7.1536532000;
when : "A1&!A2&A3&A4&B1";
}
leakage_power () {
value : 8.1240241000;
when : "A1&!A2&A3&A4&!B1";
}
leakage_power () {
value : 7.2417077000;
when : "A1&A2&!A3&!A4&B1";
}
leakage_power () {
value : 8.1240161000;
when : "A1&A2&!A3&!A4&!B1";
}
leakage_power () {
value : 7.1518960000;
when : "A1&A2&!A3&A4&B1";
}
leakage_power () {
value : 8.1240223000;
when : "A1&A2&!A3&A4&!B1";
}
leakage_power () {
value : 7.1495907000;
when : "A1&A2&A3&!A4&B1";
}
leakage_power () {
value : 8.1240188000;
when : "A1&A2&A3&!A4&!B1";
}
leakage_power () {
value : 7.1128796000;
when : "A1&A2&A3&A4&B1";
}
leakage_power () {
value : 8.1240259000;
when : "A1&A2&A3&A4&!B1";
}
area : 21.270400000;
cell_footprint : "sky130_fd_sc_hd__o41a";
cell_leakage_power : 8.1527400000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A1") {
capacitance : 0.0045050000;
clock : "false";
direction : "input";
fall_capacitance : 0.0043190000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0066575000, 0.0066254000, 0.0065919000, 0.0065613000, 0.0065321000, 0.0065069000, 0.0064930000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.006570800, -0.006600200, -0.006621700, -0.006658300, -0.006699900, -0.006755800, -0.006852000");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0046920000;
}
pin ("A2") {
capacitance : 0.0045000000;
clock : "false";
direction : "input";
fall_capacitance : 0.0042320000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0066365000, 0.0066048000, 0.0065728000, 0.0065401000, 0.0065049000, 0.0064634000, 0.0064032000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.006625300, -0.006654100, -0.006673900, -0.006707900, -0.006743000, -0.006780800, -0.006826300");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0047670000;
}
pin ("A3") {
capacitance : 0.0042430000;
clock : "false";
direction : "input";
fall_capacitance : 0.0039600000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0065409000, 0.0065065000, 0.0064671000, 0.0064351000, 0.0064022000, 0.0063667000, 0.0063237000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.006532500, -0.006562700, -0.006587100, -0.006621500, -0.006657800, -0.006698900, -0.006754100");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0045270000;
}
pin ("A4") {
capacitance : 0.0042650000;
clock : "false";
direction : "input";
fall_capacitance : 0.0039540000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0065217000, 0.0064876000, 0.0064486000, 0.0064174000, 0.0063870000, 0.0063582000, 0.0063346000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.006507800, -0.006538200, -0.006563500, -0.006596900, -0.006631300, -0.006668100, -0.006711900");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0045750000;
}
pin ("B1") {
capacitance : 0.0044980000;
clock : "false";
direction : "input";
fall_capacitance : 0.0043780000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0076037000, 0.0075549000, 0.0075098000, 0.0074572000, 0.0074017000, 0.0073374000, 0.0072485000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.001652800, -0.001778700, -0.002082000, -0.002041900, -0.001877400, -0.001362600, 0.0001395000");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0046190000;
}
pin ("X") {
direction : "output";
function : "(A1&B1) | (A2&B1) | (A3&B1) | (A4&B1)";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018195320, 0.0066213950, 0.0240956800, 0.0876857300, 0.3190940000, 1.1612040000");
values("0.0345597000, 0.0330195000, 0.0280380000, 0.0089780000, -0.067504200, -0.361000600, -1.437959600", \
"0.0341638000, 0.0326416000, 0.0276501000, 0.0087026000, -0.067657100, -0.361127400, -1.438172500", \
"0.0340431000, 0.0325180000, 0.0275101000, 0.0085700000, -0.067887400, -0.361370200, -1.438320300", \
"0.0336596000, 0.0324626000, 0.0274239000, 0.0084146000, -0.068141700, -0.361653700, -1.438539000", \
"0.0334138000, 0.0319114000, 0.0270056000, 0.0080663000, -0.068474900, -0.361979400, -1.438875100", \
"0.0333954000, 0.0318855000, 0.0268311000, 0.0077949000, -0.068755500, -0.362198500, -1.439037900", \
"0.0421051000, 0.0403260000, 0.0341853000, 0.0123993000, -0.068183600, -0.362636000, -1.439257800");
}
related_pin : "A1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018195320, 0.0066213950, 0.0240956800, 0.0876857300, 0.3190940000, 1.1612040000");
values("0.0334731000, 0.0353672000, 0.0423755000, 0.0664469000, 0.1488835000, 0.4429807000, 1.5119930000", \
"0.0333035000, 0.0351752000, 0.0421690000, 0.0662161000, 0.1488351000, 0.4430209000, 1.5155279000", \
"0.0330656000, 0.0349677000, 0.0419380000, 0.0658548000, 0.1484038000, 0.4444623000, 1.5148443000", \
"0.0328200000, 0.0347513000, 0.0416494000, 0.0656025000, 0.1481529000, 0.4417992000, 1.5114973000", \
"0.0328529000, 0.0347622000, 0.0415916000, 0.0652268000, 0.1477588000, 0.4420316000, 1.5102495000", \
"0.0348783000, 0.0367173000, 0.0431048000, 0.0655586000, 0.1474525000, 0.4405020000, 1.5108262000", \
"0.0364790000, 0.0381238000, 0.0443049000, 0.0667151000, 0.1485957000, 0.4431952000, 1.5086067000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018195320, 0.0066213950, 0.0240956800, 0.0876857300, 0.3190940000, 1.1612040000");
values("0.0304802000, 0.0289566000, 0.0239527000, 0.0050649000, -0.071344100, -0.364806700, -1.441851900", \
"0.0303260000, 0.0288549000, 0.0238240000, 0.0049355000, -0.071494300, -0.365006300, -1.441941500", \
"0.0303914000, 0.0288414000, 0.0237601000, 0.0047965000, -0.071705100, -0.365202000, -1.442168500", \
"0.0300103000, 0.0285290000, 0.0235488000, 0.0044894000, -0.071994700, -0.365487600, -1.442421300", \
"0.0296722000, 0.0281498000, 0.0231366000, 0.0041844000, -0.072363700, -0.365820000, -1.442838000", \
"0.0297570000, 0.0281752000, 0.0231687000, 0.0039889000, -0.072645700, -0.366062200, -1.442876600", \
"0.0386828000, 0.0369107000, 0.0306132000, 0.0085179000, -0.072170200, -0.366517000, -1.442953100");
}
related_pin : "A2";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018195320, 0.0066213950, 0.0240956800, 0.0876857300, 0.3190940000, 1.1612040000");
values("0.0316491000, 0.0335037000, 0.0403320000, 0.0638797000, 0.1456101000, 0.4393795000, 1.5119780000", \
"0.0316802000, 0.0335120000, 0.0403770000, 0.0639320000, 0.1457527000, 0.4410833000, 1.5080057000", \
"0.0316052000, 0.0334372000, 0.0403068000, 0.0638632000, 0.1457125000, 0.4410794000, 1.5077389000", \
"0.0313360000, 0.0332383000, 0.0400144000, 0.0635167000, 0.1455244000, 0.4410920000, 1.5114368000", \
"0.0311080000, 0.0329769000, 0.0397422000, 0.0629161000, 0.1448036000, 0.4385077000, 1.5109007000", \
"0.0321965000, 0.0340287000, 0.0404103000, 0.0627873000, 0.1443178000, 0.4396177000, 1.5087979000", \
"0.0331841000, 0.0347365000, 0.0409069000, 0.0635032000, 0.1452247000, 0.4393390000, 1.5094396000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018195320, 0.0066213950, 0.0240956800, 0.0876857300, 0.3190940000, 1.1612040000");
values("0.0268015000, 0.0253176000, 0.0202681000, 0.0013888000, -0.075046500, -0.368540600, -1.445464200", \
"0.0269443000, 0.0253986000, 0.0203147000, 0.0013461000, -0.075174700, -0.368672800, -1.445632300", \
"0.0265262000, 0.0249880000, 0.0199967000, 0.0010449000, -0.075409800, -0.368884800, -1.445823200", \
"0.0262746000, 0.0246695000, 0.0196050000, 0.0008561000, -0.075650300, -0.369170000, -1.446023200", \
"0.0259929000, 0.0244526000, 0.0194512000, 0.0004264000, -0.076080700, -0.369490200, -1.446377400", \
"0.0258548000, 0.0243374000, 0.0193645000, 0.0002538000, -0.076441500, -0.369818300, -1.446556800", \
"0.0355074000, 0.0336975000, 0.0273820000, 0.0051240000, -0.075672500, -0.370016500, -1.446357200");
}
related_pin : "A3";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018195320, 0.0066213950, 0.0240956800, 0.0876857300, 0.3190940000, 1.1612040000");
values("0.0297776000, 0.0316162000, 0.0383861000, 0.0617311000, 0.1430783000, 0.4364090000, 1.5045202000", \
"0.0298112000, 0.0316604000, 0.0384174000, 0.0617665000, 0.1431383000, 0.4364966000, 1.5084823000", \
"0.0297872000, 0.0317123000, 0.0384296000, 0.0616753000, 0.1431831000, 0.4366339000, 1.5082664000", \
"0.0295238000, 0.0313880000, 0.0381171000, 0.0614349000, 0.1428749000, 0.4364188000, 1.5047966000", \
"0.0290383000, 0.0308512000, 0.0375147000, 0.0605733000, 0.1420598000, 0.4360404000, 1.5079551000", \
"0.0294112000, 0.0311767000, 0.0374496000, 0.0604670000, 0.1416084000, 0.4364246000, 1.5036314000", \
"0.0304821000, 0.0322392000, 0.0381428000, 0.0605437000, 0.1424339000, 0.4360688000, 1.5051882000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018195320, 0.0066213950, 0.0240956800, 0.0876857300, 0.3190940000, 1.1612040000");
values("0.0232006000, 0.0214605000, 0.0165081000, -0.002396400, -0.078816700, -0.372293300, -1.449238800", \
"0.0228718000, 0.0213820000, 0.0163907000, -0.002559700, -0.078997600, -0.372416800, -1.449375300", \
"0.0225557000, 0.0210463000, 0.0162032000, -0.002761700, -0.079226700, -0.372753300, -1.449642500", \
"0.0223387000, 0.0207642000, 0.0157490000, -0.003230500, -0.079682800, -0.373141200, -1.450057700", \
"0.0219237000, 0.0203841000, 0.0154158000, -0.003526500, -0.080043900, -0.373491000, -1.450392200", \
"0.0223336000, 0.0207050000, 0.0155827000, -0.003485200, -0.080221200, -0.373603700, -1.450200000", \
"0.0349556000, 0.0320664000, 0.0256710000, 0.0031812000, -0.077588800, -0.372105500, -1.448372500");
}
related_pin : "A4";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018195320, 0.0066213950, 0.0240956800, 0.0876857300, 0.3190940000, 1.1612040000");
values("0.0262889000, 0.0282253000, 0.0349341000, 0.0582530000, 0.1391985000, 0.4324473000, 1.5019717000", \
"0.0263771000, 0.0282950000, 0.0350315000, 0.0582263000, 0.1395795000, 0.4325222000, 1.4980086000", \
"0.0263291000, 0.0282309000, 0.0349950000, 0.0583059000, 0.1395841000, 0.4325957000, 1.5021970000", \
"0.0259336000, 0.0278167000, 0.0345625000, 0.0578749000, 0.1390485000, 0.4298723000, 1.5035774000", \
"0.0253782000, 0.0272109000, 0.0339135000, 0.0567583000, 0.1381558000, 0.4307813000, 1.5016428000", \
"0.0255558000, 0.0272874000, 0.0336120000, 0.0565733000, 0.1376099000, 0.4320988000, 1.4950819000", \
"0.0266413000, 0.0283125000, 0.0345355000, 0.0571733000, 0.1386151000, 0.4317977000, 1.5009477000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018195320, 0.0066213950, 0.0240956800, 0.0876857300, 0.3190940000, 1.1612040000");
values("0.0215271000, 0.0205547000, 0.0166875000, -0.000811700, -0.076329000, -0.371661100, -1.449483800", \
"0.0215483000, 0.0204540000, 0.0165969000, -0.000811100, -0.076325800, -0.371679200, -1.449559400", \
"0.0212479000, 0.0201386000, 0.0161061000, -0.001185300, -0.076641300, -0.371965900, -1.449821000", \
"0.0206090000, 0.0194926000, 0.0154639000, -0.002059300, -0.077238700, -0.372419800, -1.450255800", \
"0.0193601000, 0.0182738000, 0.0143565000, -0.003261900, -0.078072900, -0.372892200, -1.450589300", \
"0.0294905000, 0.0278750000, 0.0219693000, 0.0004442000, -0.078461200, -0.372606200, -1.450078300", \
"0.0349230000, 0.0331724000, 0.0269838000, 0.0050728000, -0.075725700, -0.370868400, -1.447585500");
}
related_pin : "B1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018195320, 0.0066213950, 0.0240956800, 0.0876857300, 0.3190940000, 1.1612040000");
values("0.0286247000, 0.0305205000, 0.0375133000, 0.0616425000, 0.1441519000, 0.4381885000, 1.5063227000", \
"0.0286054000, 0.0305553000, 0.0374422000, 0.0615026000, 0.1440031000, 0.4398803000, 1.5096762000", \
"0.0286378000, 0.0305903000, 0.0374737000, 0.0615156000, 0.1440288000, 0.4400075000, 1.5100894000", \
"0.0282497000, 0.0301879000, 0.0371107000, 0.0611086000, 0.1435538000, 0.4371409000, 1.5071045000", \
"0.0280206000, 0.0299004000, 0.0365286000, 0.0603311000, 0.1424967000, 0.4372981000, 1.5101608000", \
"0.0297217000, 0.0314390000, 0.0377405000, 0.0603754000, 0.1416557000, 0.4353809000, 1.5096554000", \
"0.0314240000, 0.0333717000, 0.0391686000, 0.0616276000, 0.1423986000, 0.4372554000, 1.5030663000");
}
}
max_capacitance : 0.3415020000;
max_transition : 1.5023210000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018195300, 0.0066213900, 0.0240957000, 0.0876857000, 0.3190940000, 1.1612000000");
values("0.8258755000, 0.8388835000, 0.8742447000, 0.9570219000, 1.1359492000, 1.5674961000, 2.8949665000", \
"0.8316548000, 0.8443792000, 0.8797009000, 0.9622629000, 1.1412093000, 1.5721613000, 2.9018334000", \
"0.8526722000, 0.8655369000, 0.9009661000, 0.9833381000, 1.1625475000, 1.5936469000, 2.9215985000", \
"0.9118253000, 0.9241203000, 0.9593858000, 1.0417960000, 1.2215688000, 1.6528804000, 2.9808067000", \
"1.0581739000, 1.0709515000, 1.1058152000, 1.1880580000, 1.3677303000, 1.7991608000, 3.1271901000", \
"1.4065209000, 1.4196724000, 1.4561019000, 1.5394066000, 1.7200219000, 2.1524222000, 3.4795535000", \
"2.0971433000, 2.1124547000, 2.1546809000, 2.2523858000, 2.4551199000, 2.9163518000, 4.2562096000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018195300, 0.0066213900, 0.0240957000, 0.0876857000, 0.3190940000, 1.1612000000");
values("0.2373902000, 0.2466650000, 0.2741797000, 0.3466717000, 0.5582815000, 1.2750599000, 3.8563970000", \
"0.2452729000, 0.2545699000, 0.2817700000, 0.3543011000, 0.5657661000, 1.2842044000, 3.8641152000", \
"0.2677866000, 0.2770702000, 0.3043813000, 0.3768164000, 0.5879370000, 1.3072582000, 3.8818748000", \
"0.3246332000, 0.3337998000, 0.3610043000, 0.4332105000, 0.6446222000, 1.3614847000, 3.9418806000", \
"0.4694838000, 0.4789167000, 0.5066204000, 0.5782399000, 0.7886661000, 1.5056006000, 4.0873958000", \
"0.7657117000, 0.7768844000, 0.8083252000, 0.8871390000, 1.0999124000, 1.8160254000, 4.3969752000", \
"1.2679147000, 1.2839504000, 1.3279240000, 1.4264501000, 1.6526850000, 2.3725604000, 4.9441127000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018195300, 0.0066213900, 0.0240957000, 0.0876857000, 0.3190940000, 1.1612000000");
values("0.0871630000, 0.0935991000, 0.1153554000, 0.1693383000, 0.3171230000, 0.7756323000, 2.5081242000", \
"0.0864070000, 0.0932586000, 0.1138207000, 0.1702611000, 0.3175313000, 0.7746483000, 2.5195359000", \
"0.0863023000, 0.0935804000, 0.1141185000, 0.1683216000, 0.3168719000, 0.7748890000, 2.5189297000", \
"0.0872872000, 0.0942130000, 0.1150695000, 0.1711705000, 0.3172401000, 0.7760146000, 2.5119151000", \
"0.0873076000, 0.0933941000, 0.1157872000, 0.1683637000, 0.3171285000, 0.7760667000, 2.5115003000", \
"0.0907074000, 0.0981616000, 0.1177616000, 0.1712903000, 0.3191656000, 0.7753367000, 2.5169327000", \
"0.1135489000, 0.1216159000, 0.1446386000, 0.2017244000, 0.3610418000, 0.8123489000, 2.5179470000");
}
related_pin : "A1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018195300, 0.0066213900, 0.0240957000, 0.0876857000, 0.3190940000, 1.1612000000");
values("0.0468140000, 0.0542139000, 0.0766867000, 0.1501111000, 0.4164552000, 1.4013881000, 4.9952269000", \
"0.0467049000, 0.0536908000, 0.0765113000, 0.1499099000, 0.4155754000, 1.4035852000, 5.0025060000", \
"0.0466016000, 0.0540423000, 0.0766686000, 0.1501078000, 0.4163543000, 1.4046326000, 4.9953988000", \
"0.0466715000, 0.0536199000, 0.0764747000, 0.1493331000, 0.4155811000, 1.4036063000, 4.9985545000", \
"0.0484634000, 0.0552035000, 0.0772466000, 0.1498438000, 0.4152036000, 1.3992798000, 4.9941487000", \
"0.0612170000, 0.0686937000, 0.0919800000, 0.1615095000, 0.4181099000, 1.4030898000, 4.9987019000", \
"0.0952570000, 0.1040017000, 0.1292596000, 0.1965351000, 0.4322728000, 1.4103183000, 4.9892197000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018195300, 0.0066213900, 0.0240957000, 0.0876857000, 0.3190940000, 1.1612000000");
values("0.7948381000, 0.8075475000, 0.8428663000, 0.9250656000, 1.1043394000, 1.5352353000, 2.8649907000", \
"0.7982769000, 0.8110564000, 0.8463796000, 0.9273894000, 1.1082448000, 1.5387607000, 2.8682323000", \
"0.8150183000, 0.8276761000, 0.8630874000, 0.9458647000, 1.1248856000, 1.5565051000, 2.8841514000", \
"0.8675409000, 0.8803206000, 0.9156845000, 0.9984167000, 1.1775982000, 1.6088920000, 2.9359744000", \
"1.0049053000, 1.0176103000, 1.0529456000, 1.1356748000, 1.3153011000, 1.7462922000, 3.0743690000", \
"1.3536393000, 1.3667915000, 1.4035559000, 1.4885397000, 1.6709663000, 2.1038606000, 3.4331438000", \
"2.0615512000, 2.0777029000, 2.1223661000, 2.2232765000, 2.4296669000, 2.8918594000, 4.2346522000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018195300, 0.0066213900, 0.0240957000, 0.0876857000, 0.3190940000, 1.1612000000");
values("0.2183864000, 0.2269436000, 0.2520794000, 0.3202017000, 0.5247814000, 1.2355603000, 3.8144061000", \
"0.2266189000, 0.2351417000, 0.2605828000, 0.3285239000, 0.5331655000, 1.2468772000, 3.8184043000", \
"0.2499687000, 0.2584915000, 0.2839399000, 0.3518887000, 0.5566034000, 1.2702069000, 3.8411074000", \
"0.3058704000, 0.3143842000, 0.3395504000, 0.4075781000, 0.6124562000, 1.3254611000, 3.8937857000", \
"0.4436243000, 0.4525397000, 0.4785430000, 0.5470556000, 0.7518470000, 1.4649204000, 4.0332258000", \
"0.7136665000, 0.7244801000, 0.7549814000, 0.8310990000, 1.0396864000, 1.7520695000, 4.3228812000", \
"1.1481914000, 1.1642554000, 1.2073543000, 1.3068717000, 1.5310599000, 2.2468638000, 4.8160791000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018195300, 0.0066213900, 0.0240957000, 0.0876857000, 0.3190940000, 1.1612000000");
values("0.0864047000, 0.0932587000, 0.1138263000, 0.1706355000, 0.3175756000, 0.7747370000, 2.5197049000", \
"0.0872494000, 0.0934244000, 0.1138181000, 0.1704859000, 0.3177115000, 0.7755411000, 2.5166003000", \
"0.0864395000, 0.0936635000, 0.1138222000, 0.1693760000, 0.3170764000, 0.7760220000, 2.5077340000", \
"0.0870821000, 0.0935898000, 0.1154639000, 0.1692358000, 0.3169915000, 0.7749116000, 2.5092444000", \
"0.0874098000, 0.0946535000, 0.1139121000, 0.1690840000, 0.3161978000, 0.7742628000, 2.5133258000", \
"0.0930135000, 0.1000894000, 0.1213738000, 0.1756841000, 0.3219479000, 0.7764810000, 2.5121933000", \
"0.1217757000, 0.1295679000, 0.1545872000, 0.2155903000, 0.3623890000, 0.8119698000, 2.5210043000");
}
related_pin : "A2";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018195300, 0.0066213900, 0.0240957000, 0.0876857000, 0.3190940000, 1.1612000000");
values("0.0423487000, 0.0489731000, 0.0707327000, 0.1421944000, 0.4067664000, 1.3947785000, 5.0007665000", \
"0.0422535000, 0.0487291000, 0.0706777000, 0.1420457000, 0.4073836000, 1.3977673000, 4.9975051000", \
"0.0422072000, 0.0486970000, 0.0706565000, 0.1420297000, 0.4072337000, 1.3984077000, 4.9973936000", \
"0.0425672000, 0.0492239000, 0.0705172000, 0.1420334000, 0.4081351000, 1.3999892000, 4.9963544000", \
"0.0455236000, 0.0522304000, 0.0737127000, 0.1436723000, 0.4080102000, 1.4000050000, 4.9962137000", \
"0.0593042000, 0.0665595000, 0.0891547000, 0.1569191000, 0.4115175000, 1.3979199000, 4.9955871000", \
"0.0954342000, 0.1050480000, 0.1302284000, 0.1959113000, 0.4282992000, 1.4054529000, 4.9928017000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018195300, 0.0066213900, 0.0240957000, 0.0876857000, 0.3190940000, 1.1612000000");
values("0.7304734000, 0.7431991000, 0.7784653000, 0.8606766000, 1.0400221000, 1.4714483000, 2.7993210000", \
"0.7331524000, 0.7458344000, 0.7812534000, 0.8639816000, 1.0430293000, 1.4746557000, 2.8025797000", \
"0.7492357000, 0.7620453000, 0.7974871000, 0.8798857000, 1.0589837000, 1.4901457000, 2.8180245000", \
"0.8009592000, 0.8134852000, 0.8489721000, 0.9310285000, 1.1106113000, 1.5418368000, 2.8692588000", \
"0.9448793000, 0.9576171000, 0.9929815000, 1.0750528000, 1.2553076000, 1.6861150000, 3.0157976000", \
"1.3364903000, 1.3499551000, 1.3871406000, 1.4715965000, 1.6548957000, 2.0884872000, 3.4183045000", \
"2.1235675000, 2.1410957000, 2.1879660000, 2.2908908000, 2.4990814000, 2.9550407000, 4.2981414000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018195300, 0.0066213900, 0.0240957000, 0.0876857000, 0.3190940000, 1.1612000000");
values("0.2096679000, 0.2178882000, 0.2422992000, 0.3086262000, 0.5105050000, 1.2201388000, 3.7904910000", \
"0.2178832000, 0.2261913000, 0.2505270000, 0.3168562000, 0.5187654000, 1.2282280000, 3.7977133000", \
"0.2410091000, 0.2492981000, 0.2736333000, 0.3400393000, 0.5417183000, 1.2501076000, 3.8196006000", \
"0.2974007000, 0.3055346000, 0.3299673000, 0.3963653000, 0.5981866000, 1.3080298000, 3.8784385000", \
"0.4312070000, 0.4399700000, 0.4656195000, 0.5333488000, 0.7356416000, 1.4460865000, 4.0223451000", \
"0.6864719000, 0.6974665000, 0.7283129000, 0.8045205000, 1.0119623000, 1.7225651000, 4.2952979000", \
"1.0767399000, 1.0933588000, 1.1394626000, 1.2413479000, 1.4679079000, 2.1784368000, 4.7493307000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018195300, 0.0066213900, 0.0240957000, 0.0876857000, 0.3190940000, 1.1612000000");
values("0.0864525000, 0.0933971000, 0.1138968000, 0.1708111000, 0.3172968000, 0.7761020000, 2.5130318000", \
"0.0869705000, 0.0937135000, 0.1139155000, 0.1694665000, 0.3171144000, 0.7764660000, 2.5153604000", \
"0.0863088000, 0.0935353000, 0.1141091000, 0.1682475000, 0.3167208000, 0.7747942000, 2.5188116000", \
"0.0863386000, 0.0944451000, 0.1136669000, 0.1701394000, 0.3162881000, 0.7750241000, 2.5151892000", \
"0.0863261000, 0.0933726000, 0.1155726000, 0.1722918000, 0.3169132000, 0.7746592000, 2.5193254000", \
"0.0941412000, 0.1010390000, 0.1233858000, 0.1771239000, 0.3215405000, 0.7783493000, 2.5162195000", \
"0.1364747000, 0.1434013000, 0.1659623000, 0.2211473000, 0.3628021000, 0.8090866000, 2.5236688000");
}
related_pin : "A3";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018195300, 0.0066213900, 0.0240957000, 0.0876857000, 0.3190940000, 1.1612000000");
values("0.0403936000, 0.0467812000, 0.0684448000, 0.1391353000, 0.4041859000, 1.3991277000, 4.9967763000", \
"0.0404149000, 0.0469125000, 0.0684554000, 0.1391555000, 0.4042476000, 1.3988337000, 4.9966822000", \
"0.0405663000, 0.0470223000, 0.0681333000, 0.1392196000, 0.4041995000, 1.3956597000, 4.9952220000", \
"0.0406403000, 0.0471934000, 0.0679781000, 0.1391751000, 0.4037863000, 1.3991021000, 4.9967595000", \
"0.0441120000, 0.0505722000, 0.0726266000, 0.1416166000, 0.4050663000, 1.3954022000, 4.9974922000", \
"0.0595461000, 0.0667168000, 0.0887612000, 0.1564744000, 0.4106831000, 1.3960223000, 4.9956457000", \
"0.0997093000, 0.1098023000, 0.1358091000, 0.2019299000, 0.4312388000, 1.4003502000, 4.9869509000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018195300, 0.0066213900, 0.0240957000, 0.0876857000, 0.3190940000, 1.1612000000");
values("0.6052238000, 0.6181814000, 0.6536162000, 0.7361194000, 0.9152864000, 1.3461140000, 2.6760218000", \
"0.6079851000, 0.6207089000, 0.6560769000, 0.7386829000, 0.9181263000, 1.3489497000, 2.6787657000", \
"0.6202169000, 0.6329244000, 0.6679847000, 0.7504473000, 0.9303139000, 1.3613940000, 2.6889460000", \
"0.6661121000, 0.6786581000, 0.7161345000, 0.7988638000, 0.9785028000, 1.4072590000, 2.7366966000", \
"0.8169718000, 0.8288632000, 0.8644764000, 0.9472029000, 1.1271776000, 1.5579689000, 2.8870675000", \
"1.2460623000, 1.2584352000, 1.2939585000, 1.3748633000, 1.5539204000, 1.9866622000, 3.3146801000", \
"2.0388251000, 2.0547024000, 2.1040145000, 2.2069544000, 2.3971729000, 2.8363574000, 4.1786405000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018195300, 0.0066213900, 0.0240957000, 0.0876857000, 0.3190940000, 1.1612000000");
values("0.1799384000, 0.1880003000, 0.2117736000, 0.2769089000, 0.4766121000, 1.1859403000, 3.7575472000", \
"0.1884568000, 0.1964330000, 0.2203449000, 0.2854266000, 0.4853936000, 1.1918456000, 3.7588976000", \
"0.2117611000, 0.2197480000, 0.2435277000, 0.3086731000, 0.5087434000, 1.2151949000, 3.8038204000", \
"0.2682437000, 0.2760671000, 0.2998709000, 0.3649398000, 0.5648674000, 1.2754829000, 3.8662580000", \
"0.3955735000, 0.4043694000, 0.4300871000, 0.4977161000, 0.6997861000, 1.4071104000, 3.9982053000", \
"0.6271202000, 0.6387370000, 0.6710446000, 0.7494352000, 0.9551376000, 1.6638246000, 4.2331072000", \
"0.9547049000, 0.9732081000, 1.0234668000, 1.1332958000, 1.3657550000, 2.0765778000, 4.6435780000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018195300, 0.0066213900, 0.0240957000, 0.0876857000, 0.3190940000, 1.1612000000");
values("0.0872095000, 0.0942929000, 0.1137741000, 0.1701582000, 0.3173573000, 0.7747340000, 2.5194815000", \
"0.0866175000, 0.0934919000, 0.1139755000, 0.1681580000, 0.3173466000, 0.7750695000, 2.5181099000", \
"0.0873974000, 0.0944419000, 0.1153421000, 0.1708938000, 0.3163489000, 0.7751751000, 2.5143874000", \
"0.0865001000, 0.0933216000, 0.1155772000, 0.1692659000, 0.3167703000, 0.7748040000, 2.5183184000", \
"0.0875246000, 0.0939943000, 0.1142715000, 0.1705206000, 0.3165746000, 0.7749889000, 2.5120777000", \
"0.0950884000, 0.1006494000, 0.1194350000, 0.1730229000, 0.3176286000, 0.7755241000, 2.5102358000", \
"0.1541193000, 0.1618717000, 0.1805622000, 0.2244224000, 0.3518979000, 0.7975710000, 2.5293900000");
}
related_pin : "A4";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018195300, 0.0066213900, 0.0240957000, 0.0876857000, 0.3190940000, 1.1612000000");
values("0.0384264000, 0.0448685000, 0.0658665000, 0.1366733000, 0.4014572000, 1.3939879000, 4.9989195000", \
"0.0382358000, 0.0448869000, 0.0659582000, 0.1367691000, 0.4026740000, 1.3910360000, 4.9927471000", \
"0.0385060000, 0.0449020000, 0.0655952000, 0.1365408000, 0.4027052000, 1.3918423000, 4.9978826000", \
"0.0385311000, 0.0450273000, 0.0658055000, 0.1366510000, 0.4026377000, 1.3945440000, 4.9994568000", \
"0.0443653000, 0.0513412000, 0.0720880000, 0.1408930000, 0.4030367000, 1.3988746000, 4.9962123000", \
"0.0639552000, 0.0707088000, 0.0918216000, 0.1587261000, 0.4091549000, 1.3928926000, 4.9822018000", \
"0.1107149000, 0.1210140000, 0.1488130000, 0.2172294000, 0.4369057000, 1.4033548000, 4.9885580000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018195300, 0.0066213900, 0.0240957000, 0.0876857000, 0.3190940000, 1.1612000000");
values("0.1448131000, 0.1510198000, 0.1693572000, 0.2186206000, 0.3531574000, 0.7530440000, 2.0648312000", \
"0.1529616000, 0.1589472000, 0.1774877000, 0.2267705000, 0.3612769000, 0.7611194000, 2.0729054000", \
"0.1765496000, 0.1826802000, 0.2008970000, 0.2501727000, 0.3847750000, 0.7847130000, 2.0963133000", \
"0.2457046000, 0.2517574000, 0.2699648000, 0.3192318000, 0.4541771000, 0.8544609000, 2.1640945000", \
"0.4155488000, 0.4228085000, 0.4438705000, 0.4981266000, 0.6367697000, 1.0385504000, 2.3479192000", \
"0.7147039000, 0.7256820000, 0.7567319000, 0.8304523000, 0.9997306000, 1.4255470000, 2.7374807000", \
"1.2056841000, 1.2227839000, 1.2709438000, 1.3856173000, 1.6315119000, 2.1380429000, 3.4681777000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018195300, 0.0066213900, 0.0240957000, 0.0876857000, 0.3190940000, 1.1612000000");
values("0.2124520000, 0.2217040000, 0.2491804000, 0.3215227000, 0.5330810000, 1.2503193000, 3.8316204000", \
"0.2191710000, 0.2283137000, 0.2556378000, 0.3280807000, 0.5393338000, 1.2584579000, 3.8304284000", \
"0.2400899000, 0.2494432000, 0.2766098000, 0.3490313000, 0.5602627000, 1.2795421000, 3.8526302000", \
"0.3013097000, 0.3105089000, 0.3375389000, 0.4096279000, 0.6205611000, 1.3380469000, 3.9170747000", \
"0.4723212000, 0.4814886000, 0.5080789000, 0.5789029000, 0.7875848000, 1.5050668000, 4.0859649000", \
"0.7857389000, 0.7969693000, 0.8261694000, 0.9032056000, 1.1125845000, 1.8297237000, 4.4079607000", \
"1.2795777000, 1.2962625000, 1.3418709000, 1.4378865000, 1.6540497000, 2.3683977000, 4.9452935000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018195300, 0.0066213900, 0.0240957000, 0.0876857000, 0.3190940000, 1.1612000000");
values("0.0294952000, 0.0338733000, 0.0490596000, 0.0964876000, 0.2481838000, 0.7248624000, 2.4756417000", \
"0.0294334000, 0.0340146000, 0.0491232000, 0.0965044000, 0.2480403000, 0.7242497000, 2.4792843000", \
"0.0293747000, 0.0341086000, 0.0493184000, 0.0965259000, 0.2481987000, 0.7253121000, 2.4800635000", \
"0.0292127000, 0.0341434000, 0.0493285000, 0.0965846000, 0.2479958000, 0.7245654000, 2.4829498000", \
"0.0398880000, 0.0445408000, 0.0601214000, 0.1056479000, 0.2513266000, 0.7257858000, 2.4777265000", \
"0.0705278000, 0.0769552000, 0.0951836000, 0.1492266000, 0.2946615000, 0.7461982000, 2.4878068000", \
"0.1264704000, 0.1363563000, 0.1643011000, 0.2422802000, 0.4145183000, 0.8347489000, 2.4924309000");
}
related_pin : "B1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018195300, 0.0066213900, 0.0240957000, 0.0876857000, 0.3190940000, 1.1612000000");
values("0.0465213000, 0.0539148000, 0.0764215000, 0.1497791000, 0.4163828000, 1.3997288000, 4.9925597000", \
"0.0467616000, 0.0537081000, 0.0764350000, 0.1500417000, 0.4159321000, 1.4025673000, 4.9971152000", \
"0.0468161000, 0.0538569000, 0.0765082000, 0.1500815000, 0.4160638000, 1.4036502000, 4.9965876000", \
"0.0462023000, 0.0532976000, 0.0758423000, 0.1493164000, 0.4148225000, 1.4039905000, 4.9999866000", \
"0.0475980000, 0.0544080000, 0.0765620000, 0.1481798000, 0.4131021000, 1.4024913000, 5.0031335000", \
"0.0670519000, 0.0729380000, 0.0943283000, 0.1587678000, 0.4172901000, 1.3998462000, 5.0023869000", \
"0.1099552000, 0.1176690000, 0.1396357000, 0.1978528000, 0.4303658000, 1.4098158000, 5.0009397000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
/* removed sky130_fd_sc_hd__o41ai_1 */
/* removed sky130_fd_sc_hd__o41ai_2 */
cell ("sky130_fd_sc_hd__o41ai_4") {
leakage_power () {
value : 10.361531200;
when : "!A1&!A2&!A3&!A4&B1";
}
leakage_power () {
value : 0.7333960000;
when : "!A1&!A2&!A3&!A4&!B1";
}
leakage_power () {
value : 15.379138000;
when : "!A1&!A2&!A3&A4&B1";
}
leakage_power () {
value : 2.8775904000;
when : "!A1&!A2&!A3&A4&!B1";
}
leakage_power () {
value : 13.226968900;
when : "!A1&!A2&A3&!A4&B1";
}
leakage_power () {
value : 2.8775728000;
when : "!A1&!A2&A3&!A4&!B1";
}
leakage_power () {
value : 9.7430082000;
when : "!A1&!A2&A3&A4&B1";
}
leakage_power () {
value : 2.8776028000;
when : "!A1&!A2&A3&A4&!B1";
}
leakage_power () {
value : 13.012506600;
when : "!A1&A2&!A3&!A4&B1";
}
leakage_power () {
value : 2.8775589000;
when : "!A1&A2&!A3&!A4&!B1";
}
leakage_power () {
value : 9.7449355000;
when : "!A1&A2&!A3&A4&B1";
}
leakage_power () {
value : 2.8776104000;
when : "!A1&A2&!A3&A4&!B1";
}
leakage_power () {
value : 9.7303712000;
when : "!A1&A2&A3&!A4&B1";
}
leakage_power () {
value : 2.8775948000;
when : "!A1&A2&A3&!A4&!B1";
}
leakage_power () {
value : 9.5403045000;
when : "!A1&A2&A3&A4&B1";
}
leakage_power () {
value : 2.8776166000;
when : "!A1&A2&A3&A4&!B1";
}
leakage_power () {
value : 12.788220300;
when : "A1&!A2&!A3&!A4&B1";
}
leakage_power () {
value : 2.8775542000;
when : "A1&!A2&!A3&!A4&!B1";
}
leakage_power () {
value : 9.7355448000;
when : "A1&!A2&!A3&A4&B1";
}
leakage_power () {
value : 2.8776110000;
when : "A1&!A2&!A3&A4&!B1";
}
leakage_power () {
value : 9.7214805000;
when : "A1&!A2&A3&!A4&B1";
}
leakage_power () {
value : 2.8775877000;
when : "A1&!A2&A3&!A4&!B1";
}
leakage_power () {
value : 9.5377528000;
when : "A1&!A2&A3&A4&B1";
}
leakage_power () {
value : 2.8776184000;
when : "A1&!A2&A3&A4&!B1";
}
leakage_power () {
value : 9.7197042000;
when : "A1&A2&!A3&!A4&B1";
}
leakage_power () {
value : 2.8775842000;
when : "A1&A2&!A3&!A4&!B1";
}
leakage_power () {
value : 9.5396349000;
when : "A1&A2&!A3&A4&B1";
}
leakage_power () {
value : 2.8776141000;
when : "A1&A2&!A3&A4&!B1";
}
leakage_power () {
value : 9.5354347000;
when : "A1&A2&A3&!A4&B1";
}
leakage_power () {
value : 2.8776028000;
when : "A1&A2&A3&!A4&!B1";
}
leakage_power () {
value : 9.4702983000;
when : "A1&A2&A3&A4&B1";
}
leakage_power () {
value : 2.8776199000;
when : "A1&A2&A3&A4&!B1";
}
area : 27.526400000;
cell_footprint : "sky130_fd_sc_hd__o41ai";
cell_leakage_power : 6.7088800000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A1") {
capacitance : 0.0087090000;
clock : "false";
direction : "input";
fall_capacitance : 0.0083490000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0130678000, 0.0130450000, 0.0130255000, 0.0130028000, 0.0129834000, 0.0129734000, 0.0129901000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.012948300, -0.012968100, -0.012973900, -0.013005600, -0.013044600, -0.013104500, -0.013223200");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0090680000;
}
pin ("A2") {
capacitance : 0.0085050000;
clock : "false";
direction : "input";
fall_capacitance : 0.0080120000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0130792000, 0.0130517000, 0.0130189000, 0.0129951000, 0.0129728000, 0.0129544000, 0.0129473000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.013036900, -0.013062300, -0.013083900, -0.013112500, -0.013142800, -0.013178300, -0.013228200");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0089990000;
}
pin ("A3") {
capacitance : 0.0084630000;
clock : "false";
direction : "input";
fall_capacitance : 0.0079270000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0130699000, 0.0130392000, 0.0129976000, 0.0129732000, 0.0129493000, 0.0129263000, 0.0129061000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.013020300, -0.013045700, -0.013067500, -0.013094700, -0.013121500, -0.013147200, -0.013169400");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0090000000;
}
pin ("A4") {
capacitance : 0.0085080000;
clock : "false";
direction : "input";
fall_capacitance : 0.0078970000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0131189000, 0.0130910000, 0.0130573000, 0.0130296000, 0.0129962000, 0.0129467000, 0.0128520000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.013093500, -0.013122000, -0.013153500, -0.013180600, -0.013208200, -0.013237200, -0.013270000");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0091190000;
}
pin ("B1") {
capacitance : 0.0087380000;
clock : "false";
direction : "input";
fall_capacitance : 0.0085640000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0151522000, 0.0151099000, 0.0150679000, 0.0150269000, 0.0149883000, 0.0149563000, 0.0149432000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.003678300, -0.003842600, -0.004299800, -0.004187100, -0.003864100, -0.002948900, -0.000365000");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0089120000;
}
pin ("Y") {
direction : "output";
function : "(!A1&!A2&!A3&!A4) | (!B1)";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0014001490, 0.0039208330, 0.0109795000, 0.0307458700, 0.0860975700, 0.2410988000");
values("0.0279178000, 0.0267794000, 0.0235580000, 0.0145807000, -0.010561200, -0.080543600, -0.277805500", \
"0.0275252000, 0.0263984000, 0.0232101000, 0.0142046000, -0.010937000, -0.080929900, -0.278154800", \
"0.0270199000, 0.0259504000, 0.0226640000, 0.0136915000, -0.011461600, -0.081490400, -0.278677400", \
"0.0264657000, 0.0253082000, 0.0221156000, 0.0131537000, -0.011968300, -0.081966400, -0.279165500", \
"0.0259828000, 0.0248678000, 0.0216782000, 0.0128473000, -0.012171500, -0.082134500, -0.279305000", \
"0.0259408000, 0.0247506000, 0.0215733000, 0.0125214000, -0.012868700, -0.082484900, -0.279614700", \
"0.0283906000, 0.0272598000, 0.0240057000, 0.0149186000, -0.010347000, -0.081331400, -0.279662800");
}
related_pin : "A1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0014001490, 0.0039208330, 0.0109795000, 0.0307458700, 0.0860975700, 0.2410988000");
values("0.0515118000, 0.0526683000, 0.0559226000, 0.0650578000, 0.0902698000, 0.1606140000, 0.3576622000", \
"0.0510868000, 0.0523031000, 0.0555612000, 0.0647189000, 0.0899026000, 0.1603203000, 0.3571676000", \
"0.0505639000, 0.0517942000, 0.0550370000, 0.0642696000, 0.0896016000, 0.1602327000, 0.3569546000", \
"0.0499998000, 0.0511820000, 0.0544413000, 0.0635918000, 0.0892384000, 0.1597531000, 0.3566137000", \
"0.0494588000, 0.0506015000, 0.0538776000, 0.0630108000, 0.0886564000, 0.1594385000, 0.3566067000", \
"0.0493797000, 0.0505716000, 0.0538845000, 0.0629548000, 0.0882310000, 0.1589937000, 0.3560722000", \
"0.0486855000, 0.0499035000, 0.0530518000, 0.0619372000, 0.0881866000, 0.1586753000, 0.3554674000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0014001490, 0.0039208330, 0.0109795000, 0.0307458700, 0.0860975700, 0.2410988000");
values("0.0226242000, 0.0214839000, 0.0182617000, 0.0093044000, -0.015672700, -0.085905900, -0.283766200", \
"0.0226018000, 0.0215203000, 0.0182731000, 0.0092946000, -0.015617100, -0.085981200, -0.283627100", \
"0.0223977000, 0.0212810000, 0.0180733000, 0.0091213000, -0.015868600, -0.086115800, -0.283800700", \
"0.0217587000, 0.0206265000, 0.0174671000, 0.0086352000, -0.016269300, -0.086373100, -0.284037100", \
"0.0209031000, 0.0197978000, 0.0166795000, 0.0079339000, -0.016780600, -0.086719500, -0.284211500", \
"0.0210244000, 0.0199054000, 0.0166773000, 0.0077272000, -0.017482200, -0.087318500, -0.284681200", \
"0.0226295000, 0.0214537000, 0.0182338000, 0.0092704000, -0.016157800, -0.086957600, -0.284998700");
}
related_pin : "A2";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0014001490, 0.0039208330, 0.0109795000, 0.0307458700, 0.0860975700, 0.2410988000");
values("0.0440721000, 0.0452580000, 0.0485133000, 0.0575983000, 0.0829538000, 0.1535991000, 0.3500534000", \
"0.0436883000, 0.0449445000, 0.0482057000, 0.0573039000, 0.0825055000, 0.1533220000, 0.3496164000", \
"0.0431070000, 0.0442952000, 0.0476349000, 0.0567620000, 0.0821409000, 0.1530284000, 0.3494548000", \
"0.0424727000, 0.0436845000, 0.0469838000, 0.0562356000, 0.0817217000, 0.1525878000, 0.3491912000", \
"0.0419292000, 0.0431151000, 0.0464307000, 0.0555168000, 0.0810671000, 0.1518649000, 0.3487988000", \
"0.0418187000, 0.0429942000, 0.0463876000, 0.0554010000, 0.0807543000, 0.1513988000, 0.3484177000", \
"0.0413352000, 0.0424883000, 0.0456410000, 0.0545440000, 0.0806889000, 0.1516325000, 0.3488390000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0014001490, 0.0039208330, 0.0109795000, 0.0307458700, 0.0860975700, 0.2410988000");
values("0.0179575000, 0.0168123000, 0.0136192000, 0.0047165000, -0.020379600, -0.090966100, -0.289144200", \
"0.0179444000, 0.0168322000, 0.0136404000, 0.0047477000, -0.020336200, -0.090926400, -0.289022100", \
"0.0177431000, 0.0166346000, 0.0135143000, 0.0047181000, -0.020252300, -0.090755100, -0.288828100", \
"0.0169565000, 0.0158665000, 0.0128223000, 0.0041823000, -0.020510000, -0.090706700, -0.288656900", \
"0.0158049000, 0.0147832000, 0.0117121000, 0.0031652000, -0.021269500, -0.091092500, -0.288766400", \
"0.0156757000, 0.0145406000, 0.0113607000, 0.0025242000, -0.022300500, -0.092306000, -0.289316400", \
"0.0169742000, 0.0157970000, 0.0125395000, 0.0034413000, -0.021836300, -0.092329900, -0.290179500");
}
related_pin : "A3";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0014001490, 0.0039208330, 0.0109795000, 0.0307458700, 0.0860975700, 0.2410988000");
values("0.0372421000, 0.0383503000, 0.0416747000, 0.0506907000, 0.0759349000, 0.1464741000, 0.3432349000", \
"0.0369019000, 0.0380078000, 0.0412604000, 0.0503953000, 0.0757422000, 0.1462960000, 0.3427210000", \
"0.0361462000, 0.0373551000, 0.0407086000, 0.0499081000, 0.0752709000, 0.1458565000, 0.3426583000", \
"0.0354996000, 0.0366698000, 0.0400552000, 0.0492118000, 0.0748775000, 0.1454322000, 0.3424741000", \
"0.0349693000, 0.0361411000, 0.0394262000, 0.0486020000, 0.0740232000, 0.1449288000, 0.3421788000", \
"0.0348532000, 0.0360066000, 0.0393049000, 0.0483819000, 0.0740434000, 0.1447554000, 0.3414675000", \
"0.0355999000, 0.0367752000, 0.0400406000, 0.0491438000, 0.0740389000, 0.1443423000, 0.3417985000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0014001490, 0.0039208330, 0.0109795000, 0.0307458700, 0.0860975700, 0.2410988000");
values("0.0120162000, 0.0108742000, 0.0076740000, -0.001357300, -0.026619500, -0.097386100, -0.295787400", \
"0.0116275000, 0.0105341000, 0.0074845000, -0.001302300, -0.026361100, -0.097041200, -0.295413900", \
"0.0107684000, 0.0097566000, 0.0068599000, -0.001594500, -0.026269800, -0.096694400, -0.294937600", \
"0.0096786000, 0.0086863000, 0.0058643000, -0.002446300, -0.026623500, -0.096612200, -0.294639400", \
"0.0092238000, 0.0081951000, 0.0052441000, -0.003576900, -0.027658600, -0.097139900, -0.294656200", \
"0.0088532000, 0.0077272000, 0.0045962000, -0.004230800, -0.028727400, -0.098583900, -0.295443700", \
"0.0105404000, 0.0093747000, 0.0060629000, -0.003180700, -0.028559400, -0.098985800, -0.296577300");
}
related_pin : "A4";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0014001490, 0.0039208330, 0.0109795000, 0.0307458700, 0.0860975700, 0.2410988000");
values("0.0297630000, 0.0309478000, 0.0342193000, 0.0432868000, 0.0685617000, 0.1389837000, 0.3355725000", \
"0.0292570000, 0.0304565000, 0.0337719000, 0.0428370000, 0.0683993000, 0.1388149000, 0.3355625000", \
"0.0283280000, 0.0295036000, 0.0328584000, 0.0421440000, 0.0677935000, 0.1384637000, 0.3352897000", \
"0.0274898000, 0.0286779000, 0.0320162000, 0.0411827000, 0.0670543000, 0.1380218000, 0.3348473000", \
"0.0270026000, 0.0281736000, 0.0314393000, 0.0406807000, 0.0662972000, 0.1371826000, 0.3345901000", \
"0.0278043000, 0.0289239000, 0.0321615000, 0.0420358000, 0.0668816000, 0.1366882000, 0.3339025000", \
"0.0326592000, 0.0338218000, 0.0371585000, 0.0452574000, 0.0704914000, 0.1392708000, 0.3346721000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0014001490, 0.0039208330, 0.0109795000, 0.0307458700, 0.0860975700, 0.2410988000");
values("0.0182230000, 0.0171487000, 0.0140188000, 0.0052472000, -0.019671900, -0.089547700, -0.286734500", \
"0.0178837000, 0.0167905000, 0.0137366000, 0.0050669000, -0.019710400, -0.089522100, -0.286615700", \
"0.0177628000, 0.0166858000, 0.0135997000, 0.0048581000, -0.019755800, -0.089261000, -0.286261500", \
"0.0169104000, 0.0159054000, 0.0127924000, 0.0039249000, -0.020634100, -0.089924700, -0.286606100", \
"0.0162799000, 0.0151370000, 0.0120086000, 0.0031880000, -0.021466000, -0.090928900, -0.287347100", \
"0.0164815000, 0.0153265000, 0.0120647000, 0.0029737000, -0.022333700, -0.092687400, -0.289217500", \
"0.0194217000, 0.0183277000, 0.0149241000, 0.0054871000, -0.020187000, -0.092350600, -0.289911900");
}
related_pin : "B1";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0014001490, 0.0039208330, 0.0109795000, 0.0307458700, 0.0860975700, 0.2410988000");
values("0.0304113000, 0.0317915000, 0.0353414000, 0.0447410000, 0.0701255000, 0.1404748000, 0.3372641000", \
"0.0296258000, 0.0310434000, 0.0347463000, 0.0444468000, 0.0700828000, 0.1407385000, 0.3375354000", \
"0.0289569000, 0.0302750000, 0.0337708000, 0.0436439000, 0.0696343000, 0.1404206000, 0.3379462000", \
"0.0285508000, 0.0297509000, 0.0331400000, 0.0426622000, 0.0683960000, 0.1393015000, 0.3363914000", \
"0.0286810000, 0.0298180000, 0.0330131000, 0.0421002000, 0.0677116000, 0.1388870000, 0.3362514000", \
"0.0307284000, 0.0323290000, 0.0346893000, 0.0435604000, 0.0684138000, 0.1381982000, 0.3347519000", \
"0.0362110000, 0.0372299000, 0.0404995000, 0.0491823000, 0.0723414000, 0.1402741000, 0.3372326000");
}
}
max_capacitance : 0.0613500000;
max_transition : 1.4828360000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0014001500, 0.0039208300, 0.0109795000, 0.0307459000, 0.0860976000, 0.2410990000");
values("0.0983567000, 0.1032655000, 0.1164767000, 0.1503569000, 0.2359275000, 0.4531774000, 1.0298321000", \
"0.1063043000, 0.1110963000, 0.1242970000, 0.1580512000, 0.2435575000, 0.4605891000, 1.0374414000", \
"0.1286133000, 0.1334716000, 0.1464740000, 0.1802518000, 0.2655053000, 0.4825711000, 1.0587425000", \
"0.1844554000, 0.1892228000, 0.2018187000, 0.2345795000, 0.3191403000, 0.5360993000, 1.1124671000", \
"0.3034342000, 0.3092972000, 0.3249233000, 0.3636798000, 0.4562909000, 0.6739894000, 1.2507725000", \
"0.5310413000, 0.5401041000, 0.5637798000, 0.6213983000, 0.7498069000, 1.0274614000, 1.6365430000", \
"0.8756654000, 0.8917083000, 0.9348932000, 1.0413549000, 1.2714620000, 1.7262948000, 2.5664733000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0014001500, 0.0039208300, 0.0109795000, 0.0307459000, 0.0860976000, 0.2410990000");
values("0.4841813000, 0.4993236000, 0.5376950000, 0.6473354000, 0.9417096000, 1.7586692000, 4.0294794000", \
"0.4879893000, 0.5029570000, 0.5439698000, 0.6521109000, 0.9474502000, 1.7642801000, 4.0350665000", \
"0.5086649000, 0.5236492000, 0.5615599000, 0.6729430000, 0.9683661000, 1.7863301000, 4.0577648000", \
"0.5664793000, 0.5811075000, 0.6187197000, 0.7301167000, 1.0284492000, 1.8477606000, 4.1203982000", \
"0.7114414000, 0.7241318000, 0.7648099000, 0.8753036000, 1.1714077000, 1.9920934000, 4.2665866000", \
"1.0236092000, 1.0404148000, 1.0851204000, 1.2069680000, 1.5201324000, 2.3392948000, 4.6137565000", \
"1.5924483000, 1.6166151000, 1.6811386000, 1.8415012000, 2.2434810000, 3.1949463000, 5.5151195000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0014001500, 0.0039208300, 0.0109795000, 0.0307459000, 0.0860976000, 0.2410990000");
values("0.0859529000, 0.0911805000, 0.1058965000, 0.1455983000, 0.2486350000, 0.5224223000, 1.2840624000", \
"0.0848871000, 0.0905408000, 0.1052104000, 0.1447868000, 0.2479292000, 0.5223442000, 1.2842316000", \
"0.0837467000, 0.0891634000, 0.1036259000, 0.1439860000, 0.2467532000, 0.5230442000, 1.2834226000", \
"0.0854873000, 0.0906955000, 0.1049558000, 0.1431044000, 0.2454871000, 0.5220350000, 1.2810280000", \
"0.1172831000, 0.1221736000, 0.1355319000, 0.1726632000, 0.2645684000, 0.5255401000, 1.2816206000", \
"0.2045149000, 0.2114015000, 0.2280351000, 0.2704443000, 0.3725603000, 0.6269215000, 1.3135568000", \
"0.4205060000, 0.4330475000, 0.4617738000, 0.5290169000, 0.6785278000, 0.9872535000, 1.6651733000");
}
related_pin : "A1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0014001500, 0.0039208300, 0.0109795000, 0.0307459000, 0.0860976000, 0.2410990000");
values("0.2861671000, 0.3043128000, 0.3535344000, 0.4934347000, 0.8789877000, 1.9484887000, 4.9209357000", \
"0.2847779000, 0.3040737000, 0.3537408000, 0.4936188000, 0.8793415000, 1.9474899000, 4.9192006000", \
"0.2860315000, 0.3042384000, 0.3536537000, 0.4931339000, 0.8788790000, 1.9484316000, 4.9190518000", \
"0.2857810000, 0.3041310000, 0.3537442000, 0.4926798000, 0.8789621000, 1.9470709000, 4.9231588000", \
"0.2873257000, 0.3048655000, 0.3541472000, 0.4930572000, 0.8786326000, 1.9492323000, 4.9351492000", \
"0.3454680000, 0.3633165000, 0.4119313000, 0.5473061000, 0.9103759000, 1.9515785000, 4.9197948000", \
"0.5232028000, 0.5449260000, 0.6008698000, 0.7515731000, 1.1491070000, 2.1541462000, 4.9760001000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0014001500, 0.0039208300, 0.0109795000, 0.0307459000, 0.0860976000, 0.2410990000");
values("0.1007510000, 0.1052139000, 0.1171380000, 0.1482791000, 0.2274833000, 0.4352673000, 1.0015944000", \
"0.1089920000, 0.1133823000, 0.1254218000, 0.1563361000, 0.2357264000, 0.4436686000, 1.0098206000", \
"0.1317791000, 0.1362716000, 0.1481245000, 0.1791604000, 0.2583941000, 0.4665365000, 1.0323766000", \
"0.1869020000, 0.1913197000, 0.2031635000, 0.2338338000, 0.3130192000, 0.5212298000, 1.0873830000", \
"0.3025108000, 0.3081222000, 0.3234838000, 0.3608170000, 0.4506958000, 0.6623361000, 1.2294954000", \
"0.5120242000, 0.5217348000, 0.5456778000, 0.6057596000, 0.7382787000, 1.0198780000, 1.6252507000", \
"0.8072574000, 0.8253489000, 0.8724682000, 0.9871491000, 1.2352536000, 1.7099284000, 2.5742632000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0014001500, 0.0039208300, 0.0109795000, 0.0307459000, 0.0860976000, 0.2410990000");
values("0.4583355000, 0.4730265000, 0.5108244000, 0.6203682000, 0.9152216000, 1.7320264000, 4.0029575000", \
"0.4597946000, 0.4750362000, 0.5139657000, 0.6233946000, 0.9181998000, 1.7352267000, 4.0070032000", \
"0.4749184000, 0.4907982000, 0.5304012000, 0.6382517000, 0.9367771000, 1.7540952000, 4.0260244000", \
"0.5272419000, 0.5428132000, 0.5821895000, 0.6931542000, 0.9898345000, 1.8099952000, 4.0830193000", \
"0.6685755000, 0.6842630000, 0.7234384000, 0.8332872000, 1.1306462000, 1.9519122000, 4.2264547000", \
"0.9814474000, 0.9985932000, 1.0481543000, 1.1735471000, 1.4990673000, 2.3191322000, 4.5945665000", \
"1.5644019000, 1.5926718000, 1.6674759000, 1.8576514000, 2.2965265000, 3.2904801000, 5.6184652000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0014001500, 0.0039208300, 0.0109795000, 0.0307459000, 0.0860976000, 0.2410990000");
values("0.0747617000, 0.0795854000, 0.0925981000, 0.1285087000, 0.2259923000, 0.4959852000, 1.2533936000", \
"0.0745586000, 0.0795707000, 0.0926970000, 0.1284697000, 0.2261449000, 0.4971622000, 1.2514312000", \
"0.0744010000, 0.0791898000, 0.0923773000, 0.1284916000, 0.2261257000, 0.4970342000, 1.2526726000", \
"0.0761361000, 0.0807028000, 0.0933453000, 0.1283750000, 0.2253397000, 0.4960181000, 1.2525655000", \
"0.1045388000, 0.1092045000, 0.1225862000, 0.1577557000, 0.2465465000, 0.5023895000, 1.2525316000", \
"0.1911013000, 0.1975674000, 0.2146988000, 0.2584354000, 0.3615321000, 0.6125203000, 1.2896174000", \
"0.4116368000, 0.4230344000, 0.4515135000, 0.5237904000, 0.6800781000, 0.9822648000, 1.6571082000");
}
related_pin : "A2";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0014001500, 0.0039208300, 0.0109795000, 0.0307459000, 0.0860976000, 0.2410990000");
values("0.2860067000, 0.3040949000, 0.3527222000, 0.4924430000, 0.8782143000, 1.9491242000, 4.9197766000", \
"0.2861712000, 0.3042487000, 0.3534757000, 0.4935778000, 0.8780791000, 1.9490436000, 4.9232787000", \
"0.2853216000, 0.3040782000, 0.3545978000, 0.4928450000, 0.8779710000, 1.9503530000, 4.9252047000", \
"0.2859750000, 0.3039852000, 0.3536526000, 0.4930318000, 0.8787023000, 1.9488380000, 4.9213233000", \
"0.2899289000, 0.3080328000, 0.3564017000, 0.4936619000, 0.8787672000, 1.9503128000, 4.9198947000", \
"0.3722362000, 0.3899344000, 0.4417225000, 0.5759105000, 0.9283005000, 1.9544604000, 4.9246234000", \
"0.6370434000, 0.6594016000, 0.7188637000, 0.8686756000, 1.2454288000, 2.2063875000, 4.9775037000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0014001500, 0.0039208300, 0.0109795000, 0.0307459000, 0.0860976000, 0.2410990000");
values("0.0936910000, 0.0972884000, 0.1074564000, 0.1341562000, 0.2056005000, 0.4010612000, 0.9431255000", \
"0.1019087000, 0.1056851000, 0.1157172000, 0.1426697000, 0.2141405000, 0.4095363000, 0.9516797000", \
"0.1246873000, 0.1283618000, 0.1386779000, 0.1658360000, 0.2374646000, 0.4330792000, 0.9751800000", \
"0.1788202000, 0.1829186000, 0.1938070000, 0.2213452000, 0.2938456000, 0.4898233000, 1.0323464000", \
"0.2853318000, 0.2916102000, 0.3059628000, 0.3433944000, 0.4312663000, 0.6351536000, 1.1794323000", \
"0.4658769000, 0.4755483000, 0.5017161000, 0.5680982000, 0.7088596000, 0.9910601000, 1.5880258000", \
"0.6910698000, 0.7116812000, 0.7672258000, 0.8932352000, 1.1669959000, 1.6690113000, 2.5430493000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0014001500, 0.0039208300, 0.0109795000, 0.0307459000, 0.0860976000, 0.2410990000");
values("0.3927171000, 0.4062831000, 0.4476968000, 0.5549012000, 0.8516077000, 1.6676922000, 3.9388457000", \
"0.3961801000, 0.4104696000, 0.4486327000, 0.5586371000, 0.8535165000, 1.6705709000, 3.9423389000", \
"0.4073221000, 0.4220234000, 0.4618433000, 0.5724244000, 0.8704967000, 1.6877156000, 3.9594021000", \
"0.4587775000, 0.4731155000, 0.5137082000, 0.6229106000, 0.9229502000, 1.7429435000, 4.0158468000", \
"0.6057376000, 0.6203174000, 0.6601000000, 0.7704472000, 1.0682598000, 1.8895332000, 4.1643538000", \
"0.9432881000, 0.9614882000, 1.0154108000, 1.1502211000, 1.4870859000, 2.3094475000, 4.5865289000", \
"1.5371661000, 1.5709783000, 1.6630419000, 1.8887734000, 2.3912594000, 3.4332077000, 5.7725003000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0014001500, 0.0039208300, 0.0109795000, 0.0307459000, 0.0860976000, 0.2410990000");
values("0.0542241000, 0.0585650000, 0.0705357000, 0.1040460000, 0.1975510000, 0.4577018000, 1.1893134000", \
"0.0542412000, 0.0585286000, 0.0704073000, 0.1040409000, 0.1973458000, 0.4587197000, 1.1866247000", \
"0.0541349000, 0.0585179000, 0.0705226000, 0.1040479000, 0.1974630000, 0.4584464000, 1.1875251000", \
"0.0580280000, 0.0619812000, 0.0735355000, 0.1054403000, 0.1972911000, 0.4574411000, 1.1871790000", \
"0.0870325000, 0.0921774000, 0.1051906000, 0.1386678000, 0.2250957000, 0.4658855000, 1.1888944000", \
"0.1765440000, 0.1834085000, 0.2018211000, 0.2459991000, 0.3480611000, 0.5869661000, 1.2318814000", \
"0.3977228000, 0.4097941000, 0.4422369000, 0.5194664000, 0.6800465000, 0.9774553000, 1.6285803000");
}
related_pin : "A3";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0014001500, 0.0039208300, 0.0109795000, 0.0307459000, 0.0860976000, 0.2410990000");
values("0.2848548000, 0.3027254000, 0.3548826000, 0.4925379000, 0.8781538000, 1.9479161000, 4.9261035000", \
"0.2862792000, 0.3041558000, 0.3527070000, 0.4926747000, 0.8780749000, 1.9488389000, 4.9260969000", \
"0.2854652000, 0.3037240000, 0.3533543000, 0.4928983000, 0.8780105000, 1.9470241000, 4.9259015000", \
"0.2854525000, 0.3028325000, 0.3538661000, 0.4922829000, 0.8790316000, 1.9470585000, 4.9231694000", \
"0.2978604000, 0.3153295000, 0.3622652000, 0.4948134000, 0.8781961000, 1.9491335000, 4.9190687000", \
"0.4065275000, 0.4249014000, 0.4736837000, 0.6041672000, 0.9443774000, 1.9538229000, 4.9269793000", \
"0.7303598000, 0.7558960000, 0.8240369000, 0.9933376000, 1.3680436000, 2.2801423000, 4.9739999000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0014001500, 0.0039208300, 0.0109795000, 0.0307459000, 0.0860976000, 0.2410990000");
values("0.0682547000, 0.0715825000, 0.0803103000, 0.1042490000, 0.1706106000, 0.3529756000, 0.8657786000", \
"0.0754381000, 0.0790455000, 0.0882272000, 0.1128741000, 0.1792875000, 0.3630191000, 0.8766470000", \
"0.0973062000, 0.1007671000, 0.1103587000, 0.1356144000, 0.2025053000, 0.3864555000, 0.9004226000", \
"0.1470776000, 0.1513033000, 0.1627457000, 0.1906098000, 0.2592332000, 0.4448379000, 0.9576570000", \
"0.2350955000, 0.2420444000, 0.2596520000, 0.3019383000, 0.3938428000, 0.5921019000, 1.1088973000", \
"0.3616175000, 0.3750429000, 0.4087947000, 0.4898972000, 0.6507165000, 0.9435721000, 1.5253719000", \
"0.4618753000, 0.4950224000, 0.5650631000, 0.7308520000, 1.0507241000, 1.5916938000, 2.4781912000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0014001500, 0.0039208300, 0.0109795000, 0.0307459000, 0.0860976000, 0.2410990000");
values("0.2674325000, 0.2815368000, 0.3223194000, 0.4301874000, 0.7274873000, 1.5433981000, 3.8144354000", \
"0.2681589000, 0.2826604000, 0.3238124000, 0.4322902000, 0.7295551000, 1.5466582000, 3.8176076000", \
"0.2774999000, 0.2924893000, 0.3327093000, 0.4447729000, 0.7421809000, 1.5619229000, 3.8335446000", \
"0.3253021000, 0.3398166000, 0.3801129000, 0.4885481000, 0.7893290000, 1.6119859000, 3.8871755000", \
"0.4874992000, 0.4999904000, 0.5371701000, 0.6427417000, 0.9382142000, 1.7604631000, 4.0372341000", \
"0.8319981000, 0.8541131000, 0.9126614000, 1.0601212000, 1.3876983000, 2.1983371000, 4.4732822000", \
"1.4110816000, 1.4493227000, 1.5475466000, 1.7828212000, 2.3292379000, 3.4273391000, 5.7178005000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0014001500, 0.0039208300, 0.0109795000, 0.0307459000, 0.0860976000, 0.2410990000");
values("0.0320416000, 0.0360995000, 0.0475101000, 0.0791009000, 0.1678678000, 0.4149439000, 1.1110105000", \
"0.0320146000, 0.0361454000, 0.0473689000, 0.0793386000, 0.1676891000, 0.4158233000, 1.1126868000", \
"0.0322483000, 0.0361693000, 0.0476049000, 0.0792978000, 0.1675576000, 0.4173790000, 1.1062586000", \
"0.0406027000, 0.0446492000, 0.0549709000, 0.0832285000, 0.1681571000, 0.4170854000, 1.1083460000", \
"0.0728872000, 0.0776624000, 0.0901868000, 0.1224031000, 0.2047476000, 0.4258820000, 1.1115554000", \
"0.1624800000, 0.1703192000, 0.1902965000, 0.2360886000, 0.3363035000, 0.5613277000, 1.1589208000", \
"0.3934336000, 0.4073256000, 0.4402164000, 0.5182161000, 0.6732855000, 0.9630037000, 1.5780598000");
}
related_pin : "A4";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0014001500, 0.0039208300, 0.0109795000, 0.0307459000, 0.0860976000, 0.2410990000");
values("0.2830801000, 0.3009974000, 0.3521498000, 0.4921430000, 0.8779588000, 1.9463902000, 4.9248445000", \
"0.2815373000, 0.3006377000, 0.3516804000, 0.4919879000, 0.8790661000, 1.9496524000, 4.9200062000", \
"0.2798716000, 0.2992726000, 0.3500385000, 0.4912828000, 0.8781334000, 1.9491788000, 4.9196545000", \
"0.2733943000, 0.2920915000, 0.3448848000, 0.4891374000, 0.8780203000, 1.9494637000, 4.9268225000", \
"0.2911318000, 0.3079067000, 0.3544424000, 0.4860429000, 0.8721848000, 1.9472399000, 4.9195408000", \
"0.4259548000, 0.4474887000, 0.5040463000, 0.6501512000, 0.9676384000, 1.9518542000, 4.9255853000", \
"0.7323279000, 0.7700376000, 0.8588059000, 1.0617515000, 1.5036810000, 2.4039808000, 4.9907696000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0014001500, 0.0039208300, 0.0109795000, 0.0307459000, 0.0860976000, 0.2410990000");
values("0.0743606000, 0.0793534000, 0.0926563000, 0.1268018000, 0.2122294000, 0.4293850000, 1.0054254000", \
"0.0802050000, 0.0852279000, 0.0985030000, 0.1328394000, 0.2187777000, 0.4362774000, 1.0129428000", \
"0.1009124000, 0.1057225000, 0.1187485000, 0.1528448000, 0.2393662000, 0.4566589000, 1.0336175000", \
"0.1687226000, 0.1732690000, 0.1850163000, 0.2151411000, 0.2998395000, 0.5176983000, 1.0953103000", \
"0.3088722000, 0.3160873000, 0.3346539000, 0.3793152000, 0.4767762000, 0.6885548000, 1.2652729000", \
"0.5415908000, 0.5534875000, 0.5845003000, 0.6600824000, 0.8241648000, 1.1468836000, 1.7576649000", \
"0.8933842000, 0.9134224000, 0.9663753000, 1.0950597000, 1.3811596000, 1.9540392000, 2.9648559000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0014001500, 0.0039208300, 0.0109795000, 0.0307459000, 0.0860976000, 0.2410990000");
values("0.0372059000, 0.0403324000, 0.0485524000, 0.0699552000, 0.1279477000, 0.2874988000, 0.7336983000", \
"0.0444224000, 0.0475869000, 0.0560277000, 0.0775634000, 0.1360334000, 0.2965998000, 0.7424771000", \
"0.0681505000, 0.0710971000, 0.0789697000, 0.1011451000, 0.1601276000, 0.3199976000, 0.7684259000", \
"0.1164015000, 0.1222826000, 0.1364759000, 0.1677212000, 0.2273746000, 0.3867505000, 0.8327717000", \
"0.1964549000, 0.2079675000, 0.2352012000, 0.2946106000, 0.4041190000, 0.5851823000, 1.0335334000", \
"0.3237026000, 0.3440505000, 0.3949685000, 0.5108361000, 0.7178741000, 1.0550300000, 1.5890791000", \
"0.5195590000, 0.5556320000, 0.6471177000, 0.8521877000, 1.2400838000, 1.8948527000, 2.8939102000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0014001500, 0.0039208300, 0.0109795000, 0.0307459000, 0.0860976000, 0.2410990000");
values("0.0822774000, 0.0875076000, 0.1022011000, 0.1418520000, 0.2450667000, 0.5214995000, 1.2822488000", \
"0.0827845000, 0.0883085000, 0.1027685000, 0.1422645000, 0.2456371000, 0.5208670000, 1.2839729000", \
"0.0794572000, 0.0851909000, 0.1009337000, 0.1416630000, 0.2456667000, 0.5207979000, 1.2829383000", \
"0.0887004000, 0.0927536000, 0.1052928000, 0.1408194000, 0.2421955000, 0.5208293000, 1.2821880000", \
"0.1409070000, 0.1482677000, 0.1632779000, 0.2007342000, 0.2824156000, 0.5239420000, 1.2824198000", \
"0.2588835000, 0.2689471000, 0.2951951000, 0.3553764000, 0.4782173000, 0.7133187000, 1.3311400000", \
"0.4955644000, 0.5139343000, 0.5592444000, 0.6690388000, 0.8814543000, 1.2665270000, 1.9623756000");
}
related_pin : "B1";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0014001500, 0.0039208300, 0.0109795000, 0.0307459000, 0.0860976000, 0.2410990000");
values("0.0358351000, 0.0397851000, 0.0509867000, 0.0822096000, 0.1691097000, 0.4042733000, 1.0479837000", \
"0.0358422000, 0.0399130000, 0.0510483000, 0.0828852000, 0.1689970000, 0.4045474000, 1.0474895000", \
"0.0398437000, 0.0430491000, 0.0524087000, 0.0826652000, 0.1691082000, 0.4043480000, 1.0483872000", \
"0.0777845000, 0.0801165000, 0.0868170000, 0.1035441000, 0.1744753000, 0.4043184000, 1.0477106000", \
"0.1775263000, 0.1786696000, 0.1844571000, 0.2052387000, 0.2603187000, 0.4301826000, 1.0483681000", \
"0.4058197000, 0.4067082000, 0.4138244000, 0.4375927000, 0.5152332000, 0.6884426000, 1.1377861000", \
"0.9296230000, 0.9276488000, 0.9272477000, 0.9534447000, 1.0693874000, 1.3472944000, 1.8604083000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
}
}
/* removed sky130_fd_sc_hd__or2_0 */
/* removed sky130_fd_sc_hd__or2_1 */
/* removed sky130_fd_sc_hd__or2_2 */
cell ("sky130_fd_sc_hd__or2_4") {
leakage_power () {
value : 5.9493370000;
when : "!A&B";
}
leakage_power () {
value : 7.8155855000;
when : "!A&!B";
}
leakage_power () {
value : 3.4813905000;
when : "A&B";
}
leakage_power () {
value : 4.3302890000;
when : "A&!B";
}
area : 8.7584000000;
cell_footprint : "sky130_fd_sc_hd__or2";
cell_leakage_power : 5.3941510000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0024350000;
clock : "false";
direction : "input";
fall_capacitance : 0.0023310000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0031495000, 0.0031333000, 0.0031139000, 0.0031005000, 0.0030886000, 0.0030811000, 0.0030855000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.002778000, -0.002863100, -0.003058700, -0.003086300, -0.003120000, -0.003171000, -0.003270500");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0025380000;
}
pin ("B") {
capacitance : 0.0023950000;
clock : "false";
direction : "input";
fall_capacitance : 0.0022560000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0020616000, 0.0020425000, 0.0020146000, 0.0020062000, 0.0020085000, 0.0020408000, 0.0021574000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.001491100, -0.001505300, -0.001513500, -0.001530900, -0.001547600, -0.001562600, -0.001572700");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0025340000;
}
pin ("X") {
direction : "output";
function : "(A) | (B)";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018053100, 0.0065182880, 0.0235350600, 0.0849761500, 0.3068166000, 1.1077980000");
values("0.0185649000, 0.0169850000, 0.0118554000, -0.007339000, -0.083234000, -0.366338300, -1.391537600", \
"0.0185012000, 0.0172411000, 0.0117598000, -0.007394200, -0.083277100, -0.366438800, -1.391522600", \
"0.0184108000, 0.0168179000, 0.0115521000, -0.007608700, -0.083413800, -0.366536600, -1.391741000", \
"0.0182493000, 0.0166898000, 0.0114019000, -0.007813500, -0.083642700, -0.366735900, -1.391915700", \
"0.0180665000, 0.0164852000, 0.0112069000, -0.008060300, -0.084014700, -0.366943100, -1.391935900", \
"0.0192060000, 0.0175108000, 0.0115564000, -0.008863200, -0.084558800, -0.367224400, -1.392016200", \
"0.0232202000, 0.0214407000, 0.0152402000, -0.006533100, -0.084602500, -0.367517400, -1.392016000");
}
related_pin : "A";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018053100, 0.0065182880, 0.0235350600, 0.0849761500, 0.3068166000, 1.1077980000");
values("0.0238005000, 0.0256843000, 0.0322278000, 0.0546072000, 0.1329361000, 0.4156683000, 1.4291066000", \
"0.0238169000, 0.0256539000, 0.0321534000, 0.0546099000, 0.1329615000, 0.4137436000, 1.4303176000", \
"0.0239162000, 0.0257671000, 0.0322852000, 0.0546171000, 0.1330524000, 0.4156149000, 1.4292781000", \
"0.0237504000, 0.0256042000, 0.0320998000, 0.0544266000, 0.1328187000, 0.4138654000, 1.4332404000", \
"0.0234477000, 0.0252311000, 0.0316046000, 0.0535857000, 0.1323088000, 0.4135223000, 1.4289967000", \
"0.0239889000, 0.0256869000, 0.0317703000, 0.0538090000, 0.1319404000, 0.4146975000, 1.4299435000", \
"0.0254138000, 0.0270739000, 0.0330250000, 0.0546476000, 0.1327146000, 0.4143933000, 1.4283716000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018053100, 0.0065182880, 0.0235350600, 0.0849761500, 0.3068166000, 1.1077980000");
values("0.0181162000, 0.0164960000, 0.0110330000, -0.008142400, -0.083896500, -0.366953300, -1.392029400", \
"0.0177159000, 0.0162245000, 0.0108929000, -0.008279600, -0.084015900, -0.367054900, -1.392231300", \
"0.0175860000, 0.0160056000, 0.0109235000, -0.008312000, -0.084164500, -0.367133200, -1.392208800", \
"0.0174007000, 0.0158482000, 0.0105678000, -0.008650500, -0.084454900, -0.367367300, -1.392417300", \
"0.0179165000, 0.0163288000, 0.0106623000, -0.008736200, -0.084724700, -0.367668900, -1.392562400", \
"0.0189780000, 0.0172696000, 0.0112386000, -0.009656900, -0.085341100, -0.368002300, -1.392674900", \
"0.0234481000, 0.0215895000, 0.0152671000, -0.005842600, -0.084158100, -0.367502600, -1.391936700");
}
related_pin : "B";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018053100, 0.0065182880, 0.0235350600, 0.0849761500, 0.3068166000, 1.1077980000");
values("0.0213995000, 0.0232819000, 0.0299032000, 0.0525262000, 0.1313885000, 0.4150743000, 1.4269397000", \
"0.0214617000, 0.0233119000, 0.0299570000, 0.0526616000, 0.1313141000, 0.4101262000, 1.4332405000", \
"0.0213690000, 0.0232420000, 0.0298646000, 0.0524994000, 0.1311536000, 0.4131464000, 1.4344247000", \
"0.0211470000, 0.0229615000, 0.0295325000, 0.0520243000, 0.1306657000, 0.4096925000, 1.4252739000", \
"0.0207721000, 0.0225460000, 0.0290537000, 0.0511330000, 0.1294000000, 0.4090765000, 1.4244217000", \
"0.0211557000, 0.0228788000, 0.0289042000, 0.0511063000, 0.1294379000, 0.4095105000, 1.4232745000", \
"0.0228951000, 0.0244778000, 0.0303843000, 0.0521082000, 0.1302054000, 0.4121314000, 1.4301344000");
}
}
max_capacitance : 0.3268530000;
max_transition : 1.4995980000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018053100, 0.0065182900, 0.0235351000, 0.0849761000, 0.3068170000, 1.1078000000");
values("0.4123867000, 0.4223942000, 0.4498653000, 0.5128806000, 0.6528355000, 1.0128693000, 2.2236610000", \
"0.4202439000, 0.4301939000, 0.4580226000, 0.5215282000, 0.6597940000, 1.0208100000, 2.2328011000", \
"0.4433619000, 0.4532872000, 0.4809615000, 0.5446674000, 0.6837352000, 1.0436876000, 2.2561484000", \
"0.5038409000, 0.5138418000, 0.5415042000, 0.6050135000, 0.7440571000, 1.1038176000, 2.3158215000", \
"0.6709863000, 0.6810181000, 0.7087332000, 0.7725325000, 0.9115252000, 1.2722904000, 2.4845930000", \
"1.0775986000, 1.0893387000, 1.1212247000, 1.1932327000, 1.3428230000, 1.7102132000, 2.9207532000", \
"1.8578707000, 1.8746436000, 1.9185866000, 2.0137052000, 2.1977586000, 2.5958715000, 3.8047401000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018053100, 0.0065182900, 0.0235351000, 0.0849761000, 0.3068170000, 1.1078000000");
values("0.1480183000, 0.1553879000, 0.1773670000, 0.2394665000, 0.4395526000, 1.1536960000, 3.7203960000", \
"0.1558667000, 0.1631239000, 0.1851162000, 0.2474776000, 0.4482879000, 1.1598571000, 3.7233014000", \
"0.1798592000, 0.1871108000, 0.2090625000, 0.2712512000, 0.4715451000, 1.1854580000, 3.7516479000", \
"0.2474806000, 0.2547513000, 0.2766489000, 0.3385251000, 0.5395380000, 1.2504913000, 3.8171049000", \
"0.4083275000, 0.4167014000, 0.4405332000, 0.5048852000, 0.7057174000, 1.4178283000, 3.9869592000", \
"0.6872905000, 0.6990707000, 0.7312956000, 0.8055712000, 1.0109182000, 1.7202619000, 4.2850372000", \
"1.1173141000, 1.1367396000, 1.1865794000, 1.2932927000, 1.5163650000, 2.2244169000, 4.7842579000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018053100, 0.0065182900, 0.0235351000, 0.0849761000, 0.3068170000, 1.1078000000");
values("0.0674086000, 0.0731814000, 0.0884902000, 0.1315674000, 0.2536633000, 0.6844124000, 2.3262654000", \
"0.0670109000, 0.0729837000, 0.0893703000, 0.1317593000, 0.2550474000, 0.6842964000, 2.3328874000", \
"0.0676822000, 0.0731883000, 0.0881456000, 0.1319589000, 0.2536622000, 0.6844469000, 2.3269351000", \
"0.0671622000, 0.0726364000, 0.0885143000, 0.1309088000, 0.2537027000, 0.6834613000, 2.3268452000", \
"0.0672881000, 0.0729944000, 0.0880366000, 0.1319339000, 0.2541942000, 0.6836827000, 2.3269033000", \
"0.0866599000, 0.0914992000, 0.1078589000, 0.1497355000, 0.2695191000, 0.6892604000, 2.3276119000", \
"0.1395930000, 0.1472226000, 0.1670257000, 0.2118044000, 0.3291891000, 0.7291475000, 2.3304543000");
}
related_pin : "A";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018053100, 0.0065182900, 0.0235351000, 0.0849761000, 0.3068170000, 1.1078000000");
values("0.0363864000, 0.0424289000, 0.0629850000, 0.1356345000, 0.4083605000, 1.4073062000, 4.9965956000", \
"0.0364070000, 0.0426096000, 0.0631537000, 0.1355578000, 0.4090081000, 1.4081757000, 4.9959308000", \
"0.0364159000, 0.0426721000, 0.0629621000, 0.1356346000, 0.4084860000, 1.4059471000, 4.9906017000", \
"0.0364029000, 0.0426246000, 0.0628542000, 0.1356964000, 0.4092467000, 1.4032279000, 4.9909339000", \
"0.0455536000, 0.0512955000, 0.0711157000, 0.1396420000, 0.4091853000, 1.4073908000, 4.9982480000", \
"0.0733551000, 0.0795219000, 0.0977020000, 0.1607437000, 0.4152914000, 1.4046720000, 4.9943583000", \
"0.1314735000, 0.1390478000, 0.1633679000, 0.2224243000, 0.4408799000, 1.4196837000, 4.9979620000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018053100, 0.0065182900, 0.0235351000, 0.0849761000, 0.3068170000, 1.1078000000");
values("0.3861430000, 0.3961681000, 0.4237141000, 0.4878556000, 0.6260874000, 0.9868922000, 2.2020266000", \
"0.3912032000, 0.4013151000, 0.4289194000, 0.4925148000, 0.6318859000, 0.9918362000, 2.2040711000", \
"0.4089899000, 0.4190100000, 0.4466387000, 0.5107009000, 0.6494713000, 1.0100043000, 2.2249409000", \
"0.4668385000, 0.4769792000, 0.5046837000, 0.5682766000, 0.7067912000, 1.0680075000, 2.2793754000", \
"0.6393778000, 0.6493792000, 0.6770108000, 0.7409796000, 0.8798352000, 1.2410536000, 2.4537490000", \
"1.0521044000, 1.0647762000, 1.0986899000, 1.1715225000, 1.3206705000, 1.6806169000, 2.8930047000", \
"1.7327243000, 1.7515762000, 1.8025696000, 1.9077393000, 2.0987181000, 2.4921094000, 3.7086454000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018053100, 0.0065182900, 0.0235351000, 0.0849761000, 0.3068170000, 1.1078000000");
values("0.1418385000, 0.1493358000, 0.1715721000, 0.2344047000, 0.4353007000, 1.1479570000, 3.7076135000", \
"0.1506925000, 0.1580595000, 0.1803383000, 0.2431779000, 0.4443117000, 1.1579734000, 3.7268437000", \
"0.1747036000, 0.1821364000, 0.2043128000, 0.2670133000, 0.4679137000, 1.1814444000, 3.7537586000", \
"0.2428003000, 0.2501040000, 0.2720470000, 0.3343463000, 0.5350494000, 1.2488012000, 3.8184420000", \
"0.4022274000, 0.4107430000, 0.4353146000, 0.5002235000, 0.7003559000, 1.4185368000, 3.9802361000", \
"0.6810310000, 0.6934587000, 0.7268416000, 0.8042098000, 1.0091187000, 1.7173840000, 4.2814978000", \
"1.1251868000, 1.1453237000, 1.1985262000, 1.3109769000, 1.5411523000, 2.2482018000, 4.7927489000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018053100, 0.0065182900, 0.0235351000, 0.0849761000, 0.3068170000, 1.1078000000");
values("0.0674848000, 0.0729991000, 0.0883189000, 0.1316122000, 0.2542075000, 0.6851287000, 2.3366693000", \
"0.0670547000, 0.0725075000, 0.0879301000, 0.1316883000, 0.2534500000, 0.6844189000, 2.3269870000", \
"0.0673142000, 0.0730301000, 0.0882579000, 0.1316757000, 0.2542855000, 0.6851070000, 2.3356534000", \
"0.0673344000, 0.0728942000, 0.0880579000, 0.1319128000, 0.2548008000, 0.6847864000, 2.3300843000", \
"0.0675556000, 0.0731785000, 0.0892347000, 0.1321072000, 0.2538073000, 0.6840492000, 2.3267654000", \
"0.0985598000, 0.1047016000, 0.1183840000, 0.1564132000, 0.2730575000, 0.6922768000, 2.3265039000", \
"0.1711648000, 0.1792124000, 0.2024170000, 0.2432838000, 0.3481246000, 0.7301708000, 2.3357083000");
}
related_pin : "B";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018053100, 0.0065182900, 0.0235351000, 0.0849761000, 0.3068170000, 1.1078000000");
values("0.0365263000, 0.0427388000, 0.0627604000, 0.1354208000, 0.4089724000, 1.4126244000, 4.9919309000", \
"0.0363577000, 0.0428579000, 0.0627348000, 0.1352437000, 0.4081110000, 1.4052142000, 5.0048223000", \
"0.0363047000, 0.0424343000, 0.0630314000, 0.1353463000, 0.4088266000, 1.4079669000, 5.0091913000", \
"0.0367920000, 0.0429269000, 0.0633619000, 0.1350739000, 0.4079670000, 1.4029496000, 4.9958753000", \
"0.0469763000, 0.0532223000, 0.0724179000, 0.1402197000, 0.4086856000, 1.4042230000, 4.9884736000", \
"0.0774806000, 0.0837966000, 0.1025627000, 0.1636228000, 0.4160161000, 1.4032875000, 4.9865196000", \
"0.1390407000, 0.1487007000, 0.1738405000, 0.2325074000, 0.4473394000, 1.4171517000, 4.9911071000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
/* removed sky130_fd_sc_hd__or2b_1 */
/* removed sky130_fd_sc_hd__or2b_2 */
/* removed sky130_fd_sc_hd__or2b_4 */
/* removed sky130_fd_sc_hd__or3_1 */
/* removed sky130_fd_sc_hd__or3_2 */
cell ("sky130_fd_sc_hd__or3_4") {
leakage_power () {
value : 6.2076815000;
when : "!A&!B&C";
}
leakage_power () {
value : 7.7314510000;
when : "!A&!B&!C";
}
leakage_power () {
value : 3.6738055000;
when : "!A&B&C";
}
leakage_power () {
value : 4.4470494000;
when : "!A&B&!C";
}
leakage_power () {
value : 3.6581305000;
when : "A&!B&C";
}
leakage_power () {
value : 4.2413064000;
when : "A&!B&!C";
}
leakage_power () {
value : 3.6229573000;
when : "A&B&C";
}
leakage_power () {
value : 3.6511116000;
when : "A&B&!C";
}
area : 11.260800000;
cell_footprint : "sky130_fd_sc_hd__or3";
cell_leakage_power : 4.6541870000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0024210000;
clock : "false";
direction : "input";
fall_capacitance : 0.0023070000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0031655000, 0.0031497000, 0.0031324000, 0.0031182000, 0.0031054000, 0.0030962000, 0.0030974000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.002689800, -0.002739900, -0.002845600, -0.002871500, -0.002909000, -0.002979200, -0.003141500");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0025350000;
}
pin ("B") {
capacitance : 0.0023670000;
clock : "false";
direction : "input";
fall_capacitance : 0.0022290000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0031206000, 0.0031042000, 0.0030851000, 0.0030694000, 0.0030522000, 0.0030308000, 0.0029977000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.002691000, -0.002776500, -0.002982300, -0.003004800, -0.003033500, -0.003079500, -0.003174100");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0025060000;
}
pin ("C") {
capacitance : 0.0023220000;
clock : "false";
direction : "input";
fall_capacitance : 0.0021570000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0021147000, 0.0020962000, 0.0020712000, 0.0020629000, 0.0020669000, 0.0021051000, 0.0022400000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.001465100, -0.001484000, -0.001506500, -0.001523300, -0.001540200, -0.001557100, -0.001574400");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0024880000;
}
pin ("X") {
direction : "output";
function : "(A) | (B) | (C)";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018114740, 0.0065628730, 0.0237769400, 0.0861426100, 0.3120901000, 1.1306860000");
values("0.0202598000, 0.0186001000, 0.0133003000, -0.006235500, -0.082232600, -0.369741800, -1.417190300", \
"0.0198711000, 0.0182207000, 0.0129980000, -0.006403700, -0.082377200, -0.369657900, -1.417224800", \
"0.0197071000, 0.0181362000, 0.0128143000, -0.006509600, -0.082490800, -0.369912900, -1.417316800", \
"0.0195454000, 0.0178974000, 0.0126583000, -0.006722200, -0.082719500, -0.370060300, -1.417559400", \
"0.0193906000, 0.0177762000, 0.0124574000, -0.006904800, -0.082922900, -0.370269700, -1.417718000", \
"0.0194056000, 0.0177107000, 0.0124338000, -0.007156300, -0.083249800, -0.370528400, -1.417831900", \
"0.0264891000, 0.0246821000, 0.0184053000, -0.003579100, -0.082882700, -0.370841700, -1.417895700");
}
related_pin : "A";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018114740, 0.0065628730, 0.0237769400, 0.0861426100, 0.3120901000, 1.1306860000");
values("0.0249123000, 0.0267760000, 0.0333892000, 0.0561927000, 0.1359175000, 0.4238051000, 1.4608857000", \
"0.0248778000, 0.0267556000, 0.0332897000, 0.0562064000, 0.1359512000, 0.4222740000, 1.4636669000", \
"0.0248814000, 0.0267834000, 0.0333972000, 0.0561904000, 0.1360508000, 0.4238967000, 1.4611369000", \
"0.0247541000, 0.0266273000, 0.0332408000, 0.0559987000, 0.1358054000, 0.4220462000, 1.4644068000", \
"0.0245846000, 0.0263689000, 0.0329398000, 0.0551852000, 0.1351012000, 0.4218710000, 1.4630531000", \
"0.0252987000, 0.0270105000, 0.0331843000, 0.0554721000, 0.1347533000, 0.4206462000, 1.4601090000", \
"0.0267453000, 0.0283747000, 0.0343187000, 0.0562172000, 0.1359244000, 0.4235303000, 1.4622008000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018114740, 0.0065628730, 0.0237769400, 0.0861426100, 0.3120901000, 1.1306860000");
values("0.0179766000, 0.0163921000, 0.0111225000, -0.007953900, -0.083985000, -0.371539100, -1.418987300", \
"0.0179179000, 0.0163121000, 0.0110751000, -0.008004000, -0.084031200, -0.371581700, -1.419012000", \
"0.0182340000, 0.0166394000, 0.0113150000, -0.008299300, -0.084290900, -0.371693200, -1.419140200", \
"0.0178292000, 0.0161737000, 0.0108941000, -0.008532200, -0.084525200, -0.371865800, -1.419365500", \
"0.0175750000, 0.0159255000, 0.0106939000, -0.008700600, -0.084779500, -0.372060600, -1.419317900", \
"0.0175698000, 0.0159367000, 0.0106309000, -0.008993000, -0.085167900, -0.372340400, -1.419536800", \
"0.0249763000, 0.0231407000, 0.0167812000, -0.005393200, -0.084324200, -0.372399900, -1.419497800");
}
related_pin : "B";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018114740, 0.0065628730, 0.0237769400, 0.0861426100, 0.3120901000, 1.1306860000");
values("0.0240290000, 0.0259176000, 0.0324965000, 0.0554452000, 0.1353423000, 0.4235701000, 1.4607570000", \
"0.0241685000, 0.0260390000, 0.0326969000, 0.0555658000, 0.1354157000, 0.4233437000, 1.4597340000", \
"0.0242406000, 0.0261183000, 0.0327449000, 0.0556887000, 0.1355815000, 0.4238289000, 1.4609815000", \
"0.0241749000, 0.0260548000, 0.0326500000, 0.0554920000, 0.1352683000, 0.4236479000, 1.4607590000", \
"0.0238049000, 0.0256020000, 0.0319779000, 0.0544631000, 0.1343880000, 0.4230949000, 1.4604557000", \
"0.0244320000, 0.0261606000, 0.0323323000, 0.0546781000, 0.1341133000, 0.4196250000, 1.4586345000", \
"0.0255730000, 0.0272049000, 0.0331590000, 0.0551209000, 0.1348243000, 0.4222933000, 1.4582365000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018114740, 0.0065628730, 0.0237769400, 0.0861426100, 0.3120901000, 1.1306860000");
values("0.0173750000, 0.0157534000, 0.0105050000, -0.008911500, -0.084887500, -0.372154500, -1.419523400", \
"0.0172542000, 0.0156634000, 0.0103525000, -0.008970300, -0.084949900, -0.372155500, -1.419569500", \
"0.0171923000, 0.0156182000, 0.0102506000, -0.009082200, -0.085076900, -0.372350200, -1.419680000", \
"0.0169482000, 0.0152971000, 0.0100482000, -0.009309900, -0.085300900, -0.372521800, -1.419947200", \
"0.0167717000, 0.0151915000, 0.0099178000, -0.009460500, -0.085546400, -0.372767800, -1.420039700", \
"0.0170923000, 0.0154021000, 0.0099657000, -0.009592000, -0.085906800, -0.373045100, -1.420166400", \
"0.0254798000, 0.0235704000, 0.0170471000, -0.005281400, -0.084825200, -0.372840800, -1.419467500");
}
related_pin : "C";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018114740, 0.0065628730, 0.0237769400, 0.0861426100, 0.3120901000, 1.1306860000");
values("0.0214749000, 0.0233457000, 0.0300168000, 0.0528447000, 0.1326935000, 0.4195825000, 1.4590580000", \
"0.0215292000, 0.0233801000, 0.0299382000, 0.0529790000, 0.1325982000, 0.4204573000, 1.4591461000", \
"0.0215181000, 0.0233951000, 0.0300900000, 0.0529909000, 0.1325440000, 0.4198668000, 1.4586028000", \
"0.0213560000, 0.0232055000, 0.0297962000, 0.0525547000, 0.1324393000, 0.4194443000, 1.4587756000", \
"0.0208689000, 0.0226660000, 0.0291745000, 0.0516209000, 0.1313829000, 0.4189075000, 1.4590294000", \
"0.0214398000, 0.0231426000, 0.0292926000, 0.0516426000, 0.1312078000, 0.4163983000, 1.4538383000", \
"0.0228939000, 0.0245263000, 0.0304469000, 0.0525143000, 0.1324286000, 0.4180099000, 1.4574983000");
}
}
max_capacitance : 0.3332620000;
max_transition : 1.4981200000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018114700, 0.0065628700, 0.0237769000, 0.0861426000, 0.3120900000, 1.1306900000");
values("0.6835815000, 0.6975865000, 0.7351931000, 0.8197691000, 0.9951313000, 1.3988614000, 2.6299036000", \
"0.6906968000, 0.7046335000, 0.7425430000, 0.8272247000, 1.0024712000, 1.4050255000, 2.6354166000", \
"0.7128285000, 0.7268378000, 0.7647627000, 0.8495386000, 1.0246339000, 1.4261072000, 2.6566351000", \
"0.7714193000, 0.7853452000, 0.8231991000, 0.9077858000, 1.0830892000, 1.4854520000, 2.7161426000", \
"0.9240381000, 0.9380101000, 0.9759336000, 1.0602510000, 1.2345767000, 1.6390661000, 2.8705471000", \
"1.3176353000, 1.3323043000, 1.3723919000, 1.4599013000, 1.6362161000, 2.0419197000, 3.2730723000", \
"2.1384414000, 2.1566808000, 2.2058504000, 2.3122182000, 2.5214060000, 2.9625791000, 4.2065103000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018114700, 0.0065628700, 0.0237769000, 0.0861426000, 0.3120900000, 1.1306900000");
values("0.1557638000, 0.1633127000, 0.1859621000, 0.2492082000, 0.4503981000, 1.1625279000, 3.7298227000", \
"0.1639654000, 0.1715644000, 0.1940001000, 0.2573393000, 0.4579451000, 1.1693242000, 3.7327865000", \
"0.1876433000, 0.1952773000, 0.2177682000, 0.2809275000, 0.4814240000, 1.1934694000, 3.7600270000", \
"0.2558169000, 0.2633156000, 0.2857474000, 0.3485918000, 0.5491067000, 1.2589987000, 3.8315067000", \
"0.4239367000, 0.4323204000, 0.4565872000, 0.5200967000, 0.7205793000, 1.4323045000, 3.9953303000", \
"0.7189573000, 0.7307485000, 0.7625892000, 0.8377689000, 1.0426277000, 1.7514962000, 4.3231174000", \
"1.1762344000, 1.1951616000, 1.2450231000, 1.3513064000, 1.5762630000, 2.2847757000, 4.8463016000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018114700, 0.0065628700, 0.0237769000, 0.0861426000, 0.3120900000, 1.1306900000");
values("0.1005671000, 0.1071657000, 0.1272338000, 0.1776442000, 0.3131672000, 0.7333288000, 2.3543731000", \
"0.1007893000, 0.1069825000, 0.1270686000, 0.1772746000, 0.3115863000, 0.7342437000, 2.3534850000", \
"0.1000351000, 0.1069024000, 0.1281062000, 0.1789949000, 0.3133256000, 0.7345471000, 2.3561813000", \
"0.1008094000, 0.1070197000, 0.1270454000, 0.1773647000, 0.3125442000, 0.7337513000, 2.3523483000", \
"0.0997689000, 0.1070183000, 0.1276406000, 0.1783277000, 0.3126222000, 0.7328058000, 2.3524354000", \
"0.1088596000, 0.1168975000, 0.1378529000, 0.1858610000, 0.3178665000, 0.7355385000, 2.3483961000", \
"0.1482670000, 0.1566552000, 0.1803309000, 0.2352756000, 0.3710892000, 0.7786572000, 2.3599454000");
}
related_pin : "A";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018114700, 0.0065628700, 0.0237769000, 0.0861426000, 0.3120900000, 1.1306900000");
values("0.0370301000, 0.0433247000, 0.0637737000, 0.1355962000, 0.4068653000, 1.3999600000, 4.9994795000", \
"0.0367305000, 0.0431302000, 0.0639328000, 0.1355524000, 0.4060250000, 1.4020159000, 4.9977014000", \
"0.0370064000, 0.0431243000, 0.0635029000, 0.1352286000, 0.4066814000, 1.4014447000, 5.0002820000", \
"0.0367959000, 0.0432190000, 0.0632693000, 0.1351810000, 0.4064183000, 1.3998592000, 5.0036739000", \
"0.0449124000, 0.0507151000, 0.0698879000, 0.1385933000, 0.4057335000, 1.4031400000, 4.9986255000", \
"0.0706648000, 0.0768186000, 0.0959074000, 0.1583023000, 0.4126345000, 1.4014911000, 4.9924744000", \
"0.1243675000, 0.1341420000, 0.1577335000, 0.2178934000, 0.4377908000, 1.4066653000, 4.9963487000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018114700, 0.0065628700, 0.0237769000, 0.0861426000, 0.3120900000, 1.1306900000");
values("0.6539453000, 0.6680329000, 0.7058482000, 0.7901347000, 0.9652078000, 1.3694059000, 2.5988416000", \
"0.6594376000, 0.6734132000, 0.7113271000, 0.7956772000, 0.9706512000, 1.3749616000, 2.6045082000", \
"0.6787902000, 0.6926441000, 0.7303337000, 0.8150451000, 0.9903992000, 1.3942908000, 2.6229479000", \
"0.7353080000, 0.7491724000, 0.7871477000, 0.8717851000, 1.0468488000, 1.4508226000, 2.6784781000", \
"0.8948573000, 0.9087490000, 0.9465527000, 1.0304884000, 1.2058985000, 1.6100241000, 2.8402940000", \
"1.3290207000, 1.3439686000, 1.3844762000, 1.4714615000, 1.6492357000, 2.0543787000, 3.2860989000", \
"2.2125251000, 2.2324436000, 2.2852316000, 2.3972664000, 2.6078655000, 3.0476541000, 4.2905737000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018114700, 0.0065628700, 0.0237769000, 0.0861426000, 0.3120900000, 1.1306900000");
values("0.1541132000, 0.1615921000, 0.1838476000, 0.2470111000, 0.4468266000, 1.1588404000, 3.7253112000", \
"0.1623748000, 0.1699320000, 0.1923437000, 0.2552625000, 0.4554901000, 1.1682390000, 3.7369539000", \
"0.1861676000, 0.1936922000, 0.2161259000, 0.2792333000, 0.4790865000, 1.1911836000, 3.7576373000", \
"0.2539066000, 0.2614254000, 0.2837861000, 0.3464425000, 0.5462809000, 1.2586818000, 3.8250541000", \
"0.4186922000, 0.4272195000, 0.4512865000, 0.5163545000, 0.7165223000, 1.4292298000, 3.9966261000", \
"0.7040282000, 0.7163241000, 0.7491705000, 0.8248223000, 1.0302310000, 1.7392742000, 4.3104866000", \
"1.1474847000, 1.1669763000, 1.2185010000, 1.3276904000, 1.5547800000, 2.2649775000, 4.8240687000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018114700, 0.0065628700, 0.0237769000, 0.0861426000, 0.3120900000, 1.1306900000");
values("0.1008599000, 0.1070502000, 0.1269540000, 0.1780497000, 0.3129887000, 0.7322320000, 2.3508935000", \
"0.1006187000, 0.1081366000, 0.1269577000, 0.1780070000, 0.3129948000, 0.7324282000, 2.3503839000", \
"0.1007800000, 0.1081253000, 0.1274421000, 0.1777851000, 0.3129112000, 0.7337234000, 2.3480009000", \
"0.1000124000, 0.1072656000, 0.1267428000, 0.1782722000, 0.3131023000, 0.7337349000, 2.3487952000", \
"0.1008481000, 0.1070833000, 0.1270990000, 0.1785631000, 0.3132524000, 0.7334646000, 2.3504187000", \
"0.1106638000, 0.1179512000, 0.1374705000, 0.1867232000, 0.3173767000, 0.7363222000, 2.3549407000", \
"0.1672545000, 0.1760746000, 0.2017555000, 0.2498406000, 0.3843220000, 0.7819065000, 2.3601081000");
}
related_pin : "B";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018114700, 0.0065628700, 0.0237769000, 0.0861426000, 0.3120900000, 1.1306900000");
values("0.0364742000, 0.0426208000, 0.0631010000, 0.1345215000, 0.4049931000, 1.4043069000, 4.9997579000", \
"0.0363456000, 0.0424665000, 0.0630640000, 0.1344676000, 0.4052892000, 1.4020882000, 4.9966489000", \
"0.0365557000, 0.0426761000, 0.0629697000, 0.1344918000, 0.4048477000, 1.4042209000, 4.9995483000", \
"0.0361940000, 0.0425388000, 0.0630554000, 0.1345243000, 0.4047596000, 1.4042195000, 4.9998752000", \
"0.0450498000, 0.0509917000, 0.0706855000, 0.1382990000, 0.4048322000, 1.4037083000, 4.9984603000", \
"0.0727270000, 0.0793048000, 0.0976298000, 0.1610274000, 0.4116768000, 1.3985899000, 4.9962829000", \
"0.1288613000, 0.1382077000, 0.1631377000, 0.2231435000, 0.4413078000, 1.4116901000, 5.0040532000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018114700, 0.0065628700, 0.0237769000, 0.0861426000, 0.3120900000, 1.1306900000");
values("0.5931454000, 0.6072699000, 0.6451208000, 0.7300942000, 0.9049697000, 1.3087009000, 2.5366371000", \
"0.5973074000, 0.6113252000, 0.6494184000, 0.7345118000, 0.9091977000, 1.3103728000, 2.5408058000", \
"0.6119555000, 0.6259126000, 0.6640850000, 0.7490328000, 0.9240101000, 1.3275754000, 2.5554030000", \
"0.6611509000, 0.6751426000, 0.7131263000, 0.7975691000, 0.9722687000, 1.3762758000, 2.6064337000", \
"0.8166371000, 0.8304197000, 0.8683526000, 0.9534903000, 1.1290170000, 1.5328637000, 2.7626035000", \
"1.2521099000, 1.2673060000, 1.3078451000, 1.3959858000, 1.5733825000, 1.9807773000, 3.2106939000", \
"2.0238344000, 2.0456405000, 2.1035840000, 2.2251638000, 2.4416973000, 2.8752352000, 4.1069752000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018114700, 0.0065628700, 0.0237769000, 0.0861426000, 0.3120900000, 1.1306900000");
values("0.1496770000, 0.1572388000, 0.1801516000, 0.2434502000, 0.4439957000, 1.1519711000, 3.7142713000", \
"0.1582168000, 0.1659863000, 0.1885444000, 0.2523362000, 0.4520893000, 1.1655312000, 3.7288577000", \
"0.1824369000, 0.1900634000, 0.2128936000, 0.2762204000, 0.4763617000, 1.1877983000, 3.7541725000", \
"0.2512364000, 0.2587932000, 0.2814786000, 0.3445273000, 0.5445028000, 1.2540149000, 3.8217909000", \
"0.4149156000, 0.4236993000, 0.4488717000, 0.5147102000, 0.7141129000, 1.4239214000, 4.0066022000", \
"0.7032330000, 0.7159747000, 0.7504181000, 0.8291102000, 1.0346626000, 1.7414643000, 4.3044843000", \
"1.1639693000, 1.1847371000, 1.2393498000, 1.3540632000, 1.5871731000, 2.2867599000, 4.8495803000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018114700, 0.0065628700, 0.0237769000, 0.0861426000, 0.3120900000, 1.1306900000");
values("0.0999497000, 0.1069871000, 0.1284245000, 0.1804053000, 0.3133296000, 0.7352677000, 2.3555455000", \
"0.1000123000, 0.1069752000, 0.1277979000, 0.1799676000, 0.3134229000, 0.7349096000, 2.3556421000", \
"0.0998747000, 0.1080125000, 0.1279971000, 0.1794331000, 0.3134393000, 0.7352877000, 2.3563365000", \
"0.1008285000, 0.1070439000, 0.1271602000, 0.1776631000, 0.3125601000, 0.7340328000, 2.3479894000", \
"0.0998910000, 0.1079344000, 0.1289983000, 0.1787713000, 0.3130367000, 0.7335493000, 2.3541573000", \
"0.1184735000, 0.1242410000, 0.1429267000, 0.1897924000, 0.3202490000, 0.7377907000, 2.3484183000", \
"0.1952888000, 0.2045564000, 0.2279093000, 0.2781642000, 0.3895932000, 0.7809546000, 2.3624336000");
}
related_pin : "C";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018114700, 0.0065628700, 0.0237769000, 0.0861426000, 0.3120900000, 1.1306900000");
values("0.0371207000, 0.0433590000, 0.0635247000, 0.1349077000, 0.4056742000, 1.4025147000, 4.9979110000", \
"0.0371428000, 0.0433325000, 0.0640143000, 0.1347795000, 0.4047112000, 1.4103638000, 5.0104565000", \
"0.0371276000, 0.0436104000, 0.0635070000, 0.1350137000, 0.4048496000, 1.4019659000, 5.0101745000", \
"0.0372008000, 0.0435342000, 0.0637397000, 0.1350906000, 0.4054840000, 1.4069310000, 4.9883218000", \
"0.0471725000, 0.0532700000, 0.0725160000, 0.1396773000, 0.4050738000, 1.4058241000, 5.0034932000", \
"0.0773970000, 0.0835162000, 0.1025347000, 0.1640540000, 0.4138509000, 1.3976174000, 4.9996700000", \
"0.1383410000, 0.1489879000, 0.1741910000, 0.2334948000, 0.4473965000, 1.4051456000, 4.9952908000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
/* removed sky130_fd_sc_hd__or3b_1 */
/* removed sky130_fd_sc_hd__or3b_2 */
/* removed sky130_fd_sc_hd__or3b_4 */
/* removed sky130_fd_sc_hd__or4_1 */
/* removed sky130_fd_sc_hd__or4_2 */
cell ("sky130_fd_sc_hd__or4_4") {
leakage_power () {
value : 6.1605787000;
when : "!A&!B&!C&D";
}
leakage_power () {
value : 9.4271186000;
when : "!A&!B&!C&!D";
}
leakage_power () {
value : 3.6227072000;
when : "!A&!B&C&D";
}
leakage_power () {
value : 4.3444390000;
when : "!A&!B&C&!D";
}
leakage_power () {
value : 3.6114851000;
when : "!A&B&!C&D";
}
leakage_power () {
value : 4.1771862000;
when : "!A&B&!C&!D";
}
leakage_power () {
value : 3.5764405000;
when : "!A&B&C&D";
}
leakage_power () {
value : 3.6042354000;
when : "!A&B&C&!D";
}
leakage_power () {
value : 3.6083334000;
when : "A&!B&!C&D";
}
leakage_power () {
value : 4.1212584000;
when : "A&!B&!C&!D";
}
leakage_power () {
value : 3.5754886000;
when : "A&!B&C&D";
}
leakage_power () {
value : 3.6014836000;
when : "A&!B&C&!D";
}
leakage_power () {
value : 3.5734511000;
when : "A&B&!C&D";
}
leakage_power () {
value : 3.5999990000;
when : "A&B&!C&!D";
}
leakage_power () {
value : 3.5627383000;
when : "A&B&C&D";
}
leakage_power () {
value : 3.5715215000;
when : "A&B&C&!D";
}
area : 11.260800000;
cell_footprint : "sky130_fd_sc_hd__or4";
cell_leakage_power : 4.2336540000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0023850000;
clock : "false";
direction : "input";
fall_capacitance : 0.0022770000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0032588000, 0.0032408000, 0.0032164000, 0.0032004000, 0.0031818000, 0.0031558000, 0.0031092000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.002730200, -0.002772700, -0.002861200, -0.002885800, -0.002923900, -0.003000500, -0.003185500");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0024930000;
}
pin ("B") {
capacitance : 0.0024670000;
clock : "false";
direction : "input";
fall_capacitance : 0.0023370000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0033030000, 0.0032883000, 0.0032734000, 0.0032581000, 0.0032414000, 0.0032211000, 0.0031906000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.002781000, -0.002818900, -0.002894800, -0.002920400, -0.002961500, -0.003046800, -0.003255900");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0025980000;
}
pin ("C") {
capacitance : 0.0024600000;
clock : "false";
direction : "input";
fall_capacitance : 0.0022990000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0032256000, 0.0032092000, 0.0031894000, 0.0031739000, 0.0031567000, 0.0031351000, 0.0031007000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.002835300, -0.002904800, -0.003070100, -0.003091700, -0.003121700, -0.003175700, -0.003297300");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0026200000;
}
pin ("D") {
capacitance : 0.0023150000;
clock : "false";
direction : "input";
fall_capacitance : 0.0021330000;
internal_power () {
fall_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("0.0022353000, 0.0022198000, 0.0022029000, 0.0021954000, 0.0022008000, 0.0022426000, 0.0023872000");
}
rise_power ("power_inputs_1") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
values("-0.001482500, -0.001498400, -0.001514600, -0.001529900, -0.001544700, -0.001557500, -0.001565000");
}
}
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0024970000;
}
pin ("X") {
direction : "output";
function : "(A) | (B) | (C) | (D)";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018139100, 0.0065805390, 0.0238730100, 0.0866070000, 0.3141946000, 1.1398410000");
values("0.0208424000, 0.0191533000, 0.0137669000, -0.005989200, -0.082028700, -0.370891300, -1.427341900", \
"0.0207914000, 0.0191029000, 0.0137188000, -0.006023400, -0.081960600, -0.370934100, -1.427406400", \
"0.0206585000, 0.0190164000, 0.0136152000, -0.006132800, -0.082304000, -0.371059600, -1.427546500", \
"0.0205005000, 0.0188108000, 0.0134244000, -0.006318800, -0.082431000, -0.371199000, -1.427759900", \
"0.0205350000, 0.0189395000, 0.0134416000, -0.006334400, -0.082599800, -0.371383300, -1.427946100", \
"0.0205335000, 0.0189474000, 0.0134219000, -0.006454000, -0.082745200, -0.371508700, -1.427880200", \
"0.0281633000, 0.0263175000, 0.0199130000, -0.002362100, -0.082163200, -0.371602300, -1.427778300");
}
related_pin : "A";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018139100, 0.0065805390, 0.0238730100, 0.0866070000, 0.3141946000, 1.1398410000");
values("0.0250468000, 0.0269513000, 0.0336463000, 0.0566264000, 0.1369579000, 0.4271763000, 1.4758499000", \
"0.0250062000, 0.0268953000, 0.0335977000, 0.0566922000, 0.1369638000, 0.4253500000, 1.4766548000", \
"0.0249759000, 0.0268756000, 0.0335878000, 0.0566741000, 0.1370184000, 0.4250255000, 1.4756237000", \
"0.0249274000, 0.0267996000, 0.0334840000, 0.0564852000, 0.1368561000, 0.4267761000, 1.4750477000", \
"0.0250475000, 0.0268470000, 0.0333529000, 0.0558384000, 0.1362957000, 0.4264817000, 1.4728439000", \
"0.0261239000, 0.0278570000, 0.0340569000, 0.0564817000, 0.1355040000, 0.4238196000, 1.4761672000", \
"0.0276676000, 0.0293406000, 0.0352922000, 0.0575516000, 0.1366307000, 0.4254834000, 1.4756047000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018139100, 0.0065805390, 0.0238730100, 0.0866070000, 0.3141946000, 1.1398410000");
values("0.0189569000, 0.0172713000, 0.0118802000, -0.007860500, -0.083796400, -0.372704900, -1.428981900", \
"0.0189171000, 0.0172362000, 0.0120690000, -0.007600500, -0.083898900, -0.372753700, -1.429119900", \
"0.0188728000, 0.0172475000, 0.0118472000, -0.007889000, -0.084110300, -0.372837200, -1.429220700", \
"0.0186443000, 0.0169862000, 0.0116119000, -0.008160000, -0.084323500, -0.372993400, -1.429380200", \
"0.0185535000, 0.0168734000, 0.0114834000, -0.008329200, -0.084426400, -0.373132800, -1.429535900", \
"0.0188071000, 0.0171418000, 0.0116754000, -0.008348100, -0.084622100, -0.373290400, -1.429528400", \
"0.0270660000, 0.0251983000, 0.0187374000, -0.003768300, -0.083906600, -0.373342400, -1.429518800");
}
related_pin : "B";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018139100, 0.0065805390, 0.0238730100, 0.0866070000, 0.3141946000, 1.1398410000");
values("0.0245196000, 0.0264148000, 0.0330289000, 0.0560781000, 0.1366355000, 0.4268383000, 1.4718881000", \
"0.0245342000, 0.0264257000, 0.0331269000, 0.0562158000, 0.1367658000, 0.4265828000, 1.4752484000", \
"0.0245599000, 0.0264561000, 0.0330920000, 0.0561458000, 0.1367394000, 0.4269785000, 1.4762451000", \
"0.0245250000, 0.0264265000, 0.0330296000, 0.0560660000, 0.1365548000, 0.4269125000, 1.4763122000", \
"0.0243431000, 0.0261703000, 0.0326905000, 0.0551523000, 0.1356602000, 0.4246048000, 1.4761095000", \
"0.0250218000, 0.0267552000, 0.0329304000, 0.0553650000, 0.1352567000, 0.4258096000, 1.4756193000", \
"0.0263581000, 0.0281440000, 0.0340776000, 0.0560372000, 0.1361339000, 0.4249393000, 1.4700283000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018139100, 0.0065805390, 0.0238730100, 0.0866070000, 0.3141946000, 1.1398410000");
values("0.0178333000, 0.0162010000, 0.0107257000, -0.009107100, -0.085385600, -0.374120000, -1.430402000", \
"0.0173825000, 0.0157416000, 0.0103213000, -0.009413400, -0.085566500, -0.374189100, -1.430452100", \
"0.0175605000, 0.0156006000, 0.0103779000, -0.009393100, -0.085593800, -0.374212800, -1.430613300", \
"0.0171113000, 0.0154268000, 0.0100528000, -0.009352400, -0.085643700, -0.374430900, -1.430734800", \
"0.0171990000, 0.0152908000, 0.0099048000, -0.009889400, -0.086029000, -0.374603300, -1.430845700", \
"0.0169835000, 0.0152966000, 0.0098758000, -0.009985500, -0.086273700, -0.374794100, -1.430966700", \
"0.0250909000, 0.0232233000, 0.0167196000, -0.005802800, -0.085975500, -0.375114300, -1.431023700");
}
related_pin : "C";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018139100, 0.0065805390, 0.0238730100, 0.0866070000, 0.3141946000, 1.1398410000");
values("0.0235951000, 0.0255059000, 0.0320952000, 0.0551518000, 0.1354531000, 0.4257187000, 1.4718641000", \
"0.0236540000, 0.0255155000, 0.0321935000, 0.0552084000, 0.1355761000, 0.4258592000, 1.4718222000", \
"0.0238708000, 0.0257596000, 0.0323772000, 0.0553333000, 0.1358103000, 0.4241626000, 1.4751743000", \
"0.0238634000, 0.0256962000, 0.0323418000, 0.0552258000, 0.1356163000, 0.4241997000, 1.4754398000", \
"0.0234898000, 0.0252666000, 0.0316509000, 0.0542773000, 0.1346956000, 0.4237194000, 1.4714917000", \
"0.0242004000, 0.0259078000, 0.0321557000, 0.0543927000, 0.1341825000, 0.4244818000, 1.4706900000", \
"0.0253012000, 0.0268668000, 0.0331821000, 0.0548580000, 0.1349627000, 0.4234031000, 1.4683571000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018139100, 0.0065805390, 0.0238730100, 0.0866070000, 0.3141946000, 1.1398410000");
values("0.0168488000, 0.0152354000, 0.0095007000, -0.010191000, -0.086245500, -0.374901500, -1.431107300", \
"0.0167592000, 0.0148529000, 0.0096520000, -0.010148400, -0.086315700, -0.374961400, -1.431133700", \
"0.0164019000, 0.0147067000, 0.0094887000, -0.010245000, -0.086482100, -0.375070500, -1.431251500", \
"0.0161834000, 0.0145019000, 0.0091221000, -0.010553600, -0.086691400, -0.375277700, -1.431509300", \
"0.0160849000, 0.0144149000, 0.0090114000, -0.010732700, -0.086900800, -0.375371700, -1.431619200", \
"0.0162597000, 0.0146055000, 0.0090540000, -0.010815700, -0.087199700, -0.375788500, -1.431737400", \
"0.0260476000, 0.0240789000, 0.0174090000, -0.005284600, -0.085515200, -0.375376600, -1.431241400");
}
related_pin : "D";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0018139100, 0.0065805390, 0.0238730100, 0.0866070000, 0.3141946000, 1.1398410000");
values("0.0208769000, 0.0227710000, 0.0294318000, 0.0525350000, 0.1326481000, 0.4237478000, 1.4730802000", \
"0.0209280000, 0.0227852000, 0.0293892000, 0.0525307000, 0.1328299000, 0.4216119000, 1.4738268000", \
"0.0209415000, 0.0228359000, 0.0294583000, 0.0524141000, 0.1326353000, 0.4216567000, 1.4696063000", \
"0.0207967000, 0.0226671000, 0.0292845000, 0.0522137000, 0.1326533000, 0.4189025000, 1.4658128000", \
"0.0204071000, 0.0222192000, 0.0286578000, 0.0512830000, 0.1315475000, 0.4211913000, 1.4686230000", \
"0.0211466000, 0.0228800000, 0.0290480000, 0.0515315000, 0.1312240000, 0.4213594000, 1.4649132000", \
"0.0221455000, 0.0237620000, 0.0297117000, 0.0519306000, 0.1322479000, 0.4211192000, 1.4652642000");
}
}
max_capacitance : 0.3360080000;
max_transition : 1.5040510000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018139100, 0.0065805400, 0.0238730000, 0.0866070000, 0.3141950000, 1.1398400000");
values("0.9729832000, 0.9902231000, 1.0371277000, 1.1403758000, 1.3478846000, 1.8044282000, 3.0809704000", \
"0.9795851000, 0.9968721000, 1.0437918000, 1.1471368000, 1.3552330000, 1.8114051000, 3.0880734000", \
"1.0005737000, 1.0178591000, 1.0648277000, 1.1681623000, 1.3774442000, 1.8320125000, 3.1085763000", \
"1.0579643000, 1.0752190000, 1.1219419000, 1.2252507000, 1.4347330000, 1.8902933000, 3.1647067000", \
"1.2063947000, 1.2236396000, 1.2704524000, 1.3736811000, 1.5834690000, 2.0392223000, 3.3139946000", \
"1.5811173000, 1.5984417000, 1.6454031000, 1.7482119000, 1.9582218000, 2.4138256000, 3.6909462000", \
"2.3891957000, 2.4095437000, 2.4642377000, 2.5827913000, 2.8147378000, 3.3019623000, 4.5948281000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018139100, 0.0065805400, 0.0238730000, 0.0866070000, 0.3141950000, 1.1398400000");
values("0.1610703000, 0.1690891000, 0.1927983000, 0.2578721000, 0.4604713000, 1.1734731000, 3.7372736000", \
"0.1690806000, 0.1770906000, 0.2006579000, 0.2658303000, 0.4688588000, 1.1807831000, 3.7512340000", \
"0.1929468000, 0.2010325000, 0.2245425000, 0.2895985000, 0.4926265000, 1.2041627000, 3.7755234000", \
"0.2616984000, 0.2695096000, 0.2928420000, 0.3573282000, 0.5601480000, 1.2725089000, 3.8372407000", \
"0.4345483000, 0.4432391000, 0.4679274000, 0.5325959000, 0.7343880000, 1.4473505000, 4.0133679000", \
"0.7416376000, 0.7536311000, 0.7866068000, 0.8621985000, 1.0664251000, 1.7767048000, 4.3493666000", \
"1.2220190000, 1.2403554000, 1.2904360000, 1.3963184000, 1.6183652000, 2.3278592000, 4.8881494000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018139100, 0.0065805400, 0.0238730000, 0.0866070000, 0.3141950000, 1.1398400000");
values("0.1320125000, 0.1397067000, 0.1637476000, 0.2227274000, 0.3714980000, 0.8079302000, 2.4183742000", \
"0.1320088000, 0.1396891000, 0.1637326000, 0.2226609000, 0.3709678000, 0.8081838000, 2.4189750000", \
"0.1311692000, 0.1400031000, 0.1637844000, 0.2229489000, 0.3736147000, 0.8073617000, 2.4175468000", \
"0.1320421000, 0.1397042000, 0.1636844000, 0.2225941000, 0.3735173000, 0.8068936000, 2.4179394000", \
"0.1310744000, 0.1403197000, 0.1630909000, 0.2220987000, 0.3730083000, 0.8058504000, 2.4181559000", \
"0.1334429000, 0.1413675000, 0.1652625000, 0.2239355000, 0.3743041000, 0.8068642000, 2.4172835000", \
"0.1665248000, 0.1749924000, 0.2035781000, 0.2653950000, 0.4203325000, 0.8492361000, 2.4291458000");
}
related_pin : "A";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018139100, 0.0065805400, 0.0238730000, 0.0866070000, 0.3141950000, 1.1398400000");
values("0.0390449000, 0.0454189000, 0.0666070000, 0.1387542000, 0.4085985000, 1.4021702000, 4.9949782000", \
"0.0390821000, 0.0453616000, 0.0663917000, 0.1386709000, 0.4088597000, 1.4001944000, 5.0028732000", \
"0.0386269000, 0.0452244000, 0.0662312000, 0.1385005000, 0.4084837000, 1.3987668000, 5.0014677000", \
"0.0383423000, 0.0446161000, 0.0654467000, 0.1376821000, 0.4081710000, 1.3997773000, 4.9990883000", \
"0.0453281000, 0.0512598000, 0.0714136000, 0.1401321000, 0.4076167000, 1.3990989000, 4.9998987000", \
"0.0703327000, 0.0765230000, 0.0959273000, 0.1586197000, 0.4121897000, 1.4003327000, 5.0034630000", \
"0.1221527000, 0.1307397000, 0.1551797000, 0.2146413000, 0.4389451000, 1.4094490000, 4.9951367000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018139100, 0.0065805400, 0.0238730000, 0.0866070000, 0.3141950000, 1.1398400000");
values("0.9411666000, 0.9584245000, 1.0052553000, 1.1085139000, 1.3165884000, 1.7726568000, 3.0494409000", \
"0.9462006000, 0.9632058000, 1.0102367000, 1.1134388000, 1.3231457000, 1.7785166000, 3.0541973000", \
"0.9641994000, 0.9813769000, 1.0283782000, 1.1323418000, 1.3414023000, 1.7938205000, 3.0702942000", \
"1.0196258000, 1.0369414000, 1.0840422000, 1.1871955000, 1.3961442000, 1.8506826000, 3.1275136000", \
"1.1691034000, 1.1864113000, 1.2335067000, 1.3363347000, 1.5437628000, 1.9999442000, 3.2770686000", \
"1.5684928000, 1.5860088000, 1.6330646000, 1.7370346000, 1.9446471000, 2.4010203000, 3.6769545000", \
"2.4575084000, 2.4784055000, 2.5351685000, 2.6563587000, 2.8940874000, 3.3818568000, 4.6741442000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018139100, 0.0065805400, 0.0238730000, 0.0866070000, 0.3141950000, 1.1398400000");
values("0.1585273000, 0.1662936000, 0.1893396000, 0.2533371000, 0.4548808000, 1.1664374000, 3.7315218000", \
"0.1667482000, 0.1746057000, 0.1975692000, 0.2615556000, 0.4628727000, 1.1745194000, 3.7370107000", \
"0.1902433000, 0.1980206000, 0.2210548000, 0.2850112000, 0.4865052000, 1.1977396000, 3.7609849000", \
"0.2586144000, 0.2663318000, 0.2891679000, 0.3527106000, 0.5539548000, 1.2651599000, 3.8272017000", \
"0.4271807000, 0.4357171000, 0.4602617000, 0.5244030000, 0.7248386000, 1.4352228000, 4.0073025000", \
"0.7224036000, 0.7348387000, 0.7674248000, 0.8429948000, 1.0480258000, 1.7571883000, 4.3217288000", \
"1.1782078000, 1.1963842000, 1.2486375000, 1.3545857000, 1.5809134000, 2.2878075000, 4.8499378000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018139100, 0.0065805400, 0.0238730000, 0.0866070000, 0.3141950000, 1.1398400000");
values("0.1320162000, 0.1397010000, 0.1637060000, 0.2226513000, 0.3709964000, 0.8080170000, 2.4189553000", \
"0.1311618000, 0.1402367000, 0.1633855000, 0.2228552000, 0.3733959000, 0.8032703000, 2.4177409000", \
"0.1308844000, 0.1397915000, 0.1654739000, 0.2264381000, 0.3737509000, 0.8090367000, 2.4176341000", \
"0.1310081000, 0.1398926000, 0.1649082000, 0.2229268000, 0.3704898000, 0.8071001000, 2.4160864000", \
"0.1314292000, 0.1397229000, 0.1644418000, 0.2229381000, 0.3719999000, 0.8078175000, 2.4196964000", \
"0.1346829000, 0.1423975000, 0.1668474000, 0.2243092000, 0.3754204000, 0.8070948000, 2.4166291000", \
"0.1759649000, 0.1859412000, 0.2130500000, 0.2738959000, 0.4257212000, 0.8488200000, 2.4342495000");
}
related_pin : "B";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018139100, 0.0065805400, 0.0238730000, 0.0866070000, 0.3141950000, 1.1398400000");
values("0.0375123000, 0.0437198000, 0.0643500000, 0.1357628000, 0.4055038000, 1.4007738000, 5.0000240000", \
"0.0372832000, 0.0436392000, 0.0643520000, 0.1354817000, 0.4062084000, 1.3985195000, 4.9978048000", \
"0.0373592000, 0.0435802000, 0.0642376000, 0.1357054000, 0.4058594000, 1.4015459000, 4.9992903000", \
"0.0371206000, 0.0433413000, 0.0640192000, 0.1354398000, 0.4062076000, 1.4013802000, 4.9979945000", \
"0.0449977000, 0.0509326000, 0.0699236000, 0.1386064000, 0.4053867000, 1.3972482000, 5.0031064000", \
"0.0702726000, 0.0768692000, 0.0953839000, 0.1583954000, 0.4112701000, 1.4003777000, 4.9985101000", \
"0.1231926000, 0.1328510000, 0.1580138000, 0.2175358000, 0.4371880000, 1.4043264000, 4.9977653000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018139100, 0.0065805400, 0.0238730000, 0.0866070000, 0.3141950000, 1.1398400000");
values("0.8870581000, 0.9043247000, 0.9510162000, 1.0542919000, 1.2641451000, 1.7195037000, 2.9944487000", \
"0.8912321000, 0.9085212000, 0.9556533000, 1.0587650000, 1.2678703000, 1.7225575000, 2.9993652000", \
"0.9072431000, 0.9244298000, 0.9713237000, 1.0746962000, 1.2843197000, 1.7401652000, 3.0148524000", \
"0.9591062000, 0.9756039000, 1.0228899000, 1.1265907000, 1.3351094000, 1.7909452000, 3.0683753000", \
"1.1109814000, 1.1280659000, 1.1750716000, 1.2780537000, 1.4856646000, 1.9417612000, 3.2190210000", \
"1.5471918000, 1.5643358000, 1.6115976000, 1.7156283000, 1.9252588000, 2.3794706000, 3.6564995000", \
"2.4975744000, 2.5192424000, 2.5792330000, 2.7049949000, 2.9455860000, 3.4307692000, 4.7072706000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018139100, 0.0065805400, 0.0238730000, 0.0866070000, 0.3141950000, 1.1398400000");
values("0.1543698000, 0.1620058000, 0.1844713000, 0.2478017000, 0.4471785000, 1.1583293000, 3.7251171000", \
"0.1626437000, 0.1701208000, 0.1928127000, 0.2561132000, 0.4556105000, 1.1664275000, 3.7325756000", \
"0.1864256000, 0.1940561000, 0.2167166000, 0.2798427000, 0.4801520000, 1.1893853000, 3.7610079000", \
"0.2545845000, 0.2621824000, 0.2847143000, 0.3474518000, 0.5477432000, 1.2571790000, 3.8287089000", \
"0.4189863000, 0.4275257000, 0.4518549000, 0.5171820000, 0.7169488000, 1.4271755000, 3.9915364000", \
"0.7013449000, 0.7135315000, 0.7471877000, 0.8222101000, 1.0267778000, 1.7350493000, 4.3066870000", \
"1.1387830000, 1.1581790000, 1.2114157000, 1.3203385000, 1.5487942000, 2.2578854000, 4.8193800000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018139100, 0.0065805400, 0.0238730000, 0.0866070000, 0.3141950000, 1.1398400000");
values("0.1317128000, 0.1405612000, 0.1638943000, 0.2224933000, 0.3727319000, 0.8050750000, 2.4200832000", \
"0.1311457000, 0.1399552000, 0.1649905000, 0.2229818000, 0.3705817000, 0.8068644000, 2.4160960000", \
"0.1311408000, 0.1397577000, 0.1633394000, 0.2220316000, 0.3729426000, 0.8070333000, 2.4151574000", \
"0.1312338000, 0.1396059000, 0.1638470000, 0.2277332000, 0.3702767000, 0.8059560000, 2.4190121000", \
"0.1309482000, 0.1397629000, 0.1640910000, 0.2228561000, 0.3724327000, 0.8073811000, 2.4199347000", \
"0.1344561000, 0.1441945000, 0.1681156000, 0.2235812000, 0.3716173000, 0.8077890000, 2.4203006000", \
"0.1912680000, 0.2015824000, 0.2249764000, 0.2854099000, 0.4266036000, 0.8487353000, 2.4354718000");
}
related_pin : "C";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018139100, 0.0065805400, 0.0238730000, 0.0866070000, 0.3141950000, 1.1398400000");
values("0.0364191000, 0.0425287000, 0.0633446000, 0.1341944000, 0.4040145000, 1.4020403000, 4.9988951000", \
"0.0365614000, 0.0426893000, 0.0633236000, 0.1342809000, 0.4041588000, 1.4023096000, 4.9998676000", \
"0.0364181000, 0.0425680000, 0.0630744000, 0.1343578000, 0.4045992000, 1.4009098000, 5.0031184000", \
"0.0361866000, 0.0422322000, 0.0631125000, 0.1343710000, 0.4043051000, 1.3998772000, 5.0035696000", \
"0.0452259000, 0.0510832000, 0.0709873000, 0.1381100000, 0.4043034000, 1.4015172000, 5.0001594000", \
"0.0721884000, 0.0787360000, 0.0979051000, 0.1607859000, 0.4121417000, 1.3970628000, 4.9913691000", \
"0.1281205000, 0.1374578000, 0.1643366000, 0.2232965000, 0.4401922000, 1.4078583000, 4.9966395000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018139100, 0.0065805400, 0.0238730000, 0.0866070000, 0.3141950000, 1.1398400000");
values("0.7760884000, 0.7932720000, 0.8399377000, 0.9433349000, 1.1525169000, 1.6080390000, 2.8853948000", \
"0.7793157000, 0.7963002000, 0.8432013000, 0.9464216000, 1.1566639000, 1.6115468000, 2.8891598000", \
"0.7919607000, 0.8086011000, 0.8559590000, 0.9595735000, 1.1693319000, 1.6245507000, 2.9010370000", \
"0.8361511000, 0.8527171000, 0.9000412000, 1.0033725000, 1.2132770000, 1.6684796000, 2.9453842000", \
"0.9798214000, 0.9970054000, 1.0440334000, 1.1473558000, 1.3573318000, 1.8131513000, 3.0889040000", \
"1.4090910000, 1.4264194000, 1.4729461000, 1.5753512000, 1.7838393000, 2.2393323000, 3.5082781000", \
"2.2516031000, 2.2758320000, 2.3389122000, 2.4714029000, 2.7089981000, 3.1829426000, 4.4794604000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018139100, 0.0065805400, 0.0238730000, 0.0866070000, 0.3141950000, 1.1398400000");
values("0.1485796000, 0.1563521000, 0.1791900000, 0.2426202000, 0.4423136000, 1.1514031000, 3.7227894000", \
"0.1571488000, 0.1648846000, 0.1876020000, 0.2513357000, 0.4513481000, 1.1587014000, 3.7330721000", \
"0.1814764000, 0.1892513000, 0.2119260000, 0.2754010000, 0.4753107000, 1.1841035000, 3.7553409000", \
"0.2505428000, 0.2582169000, 0.2809933000, 0.3439869000, 0.5441405000, 1.2532797000, 3.8165728000", \
"0.4141818000, 0.4230128000, 0.4481313000, 0.5141162000, 0.7134889000, 1.4252497000, 3.9941712000", \
"0.7000474000, 0.7133668000, 0.7474810000, 0.8266721000, 1.0321697000, 1.7344250000, 4.2982413000", \
"1.1583061000, 1.1789349000, 1.2338301000, 1.3509991000, 1.5834398000, 2.2826885000, 4.8436033000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018139100, 0.0065805400, 0.0238730000, 0.0866070000, 0.3141950000, 1.1398400000");
values("0.1310200000, 0.1407226000, 0.1636467000, 0.2219945000, 0.3742597000, 0.8064371000, 2.4196685000", \
"0.1309264000, 0.1404186000, 0.1643430000, 0.2231151000, 0.3724340000, 0.8051284000, 2.4195177000", \
"0.1321050000, 0.1396533000, 0.1642188000, 0.2220722000, 0.3729605000, 0.8040107000, 2.4181814000", \
"0.1311147000, 0.1393610000, 0.1638453000, 0.2222783000, 0.3729215000, 0.8039148000, 2.4199061000", \
"0.1313709000, 0.1391794000, 0.1639097000, 0.2233866000, 0.3731939000, 0.8072278000, 2.4166322000", \
"0.1356434000, 0.1436625000, 0.1660111000, 0.2230369000, 0.3745604000, 0.8074666000, 2.4202236000", \
"0.2146387000, 0.2247788000, 0.2524873000, 0.3049034000, 0.4312994000, 0.8451257000, 2.4337727000");
}
related_pin : "D";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0018139100, 0.0065805400, 0.0238730000, 0.0866070000, 0.3141950000, 1.1398400000");
values("0.0367558000, 0.0429160000, 0.0632066000, 0.1341765000, 0.4042101000, 1.4053950000, 5.0039319000", \
"0.0367812000, 0.0430563000, 0.0637400000, 0.1342118000, 0.4041610000, 1.3989433000, 5.0098334000", \
"0.0367793000, 0.0429079000, 0.0634144000, 0.1344033000, 0.4040654000, 1.4037786000, 5.0095110000", \
"0.0367927000, 0.0430176000, 0.0634298000, 0.1344690000, 0.4043411000, 1.3958302000, 4.9970299000", \
"0.0470623000, 0.0530543000, 0.0721171000, 0.1391571000, 0.4039667000, 1.4012763000, 4.9939470000", \
"0.0769665000, 0.0836011000, 0.1022786000, 0.1640724000, 0.4125776000, 1.3973918000, 4.9991291000", \
"0.1372247000, 0.1474532000, 0.1734713000, 0.2352533000, 0.4469539000, 1.4081364000, 4.9938365000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
/* removed sky130_fd_sc_hd__or4b_1 */
/* removed sky130_fd_sc_hd__or4b_2 */
/* removed sky130_fd_sc_hd__or4b_4 */
/* removed sky130_fd_sc_hd__or4bb_1 */
/* removed sky130_fd_sc_hd__or4bb_2 */
/* removed sky130_fd_sc_hd__or4bb_4 */
/* removed sky130_fd_sc_hd__probe_p_8 */
/* removed sky130_fd_sc_hd__probec_p_8 */
/* removed sky130_fd_sc_hd__sdfbbn_1 */
/* removed sky130_fd_sc_hd__sdfbbn_2 */
/* removed sky130_fd_sc_hd__sdfbbp_1 */
/* removed sky130_fd_sc_hd__sdfrbp_1 */
/* removed sky130_fd_sc_hd__sdfrbp_2 */
/* removed sky130_fd_sc_hd__sdfrtn_1 */
/* removed sky130_fd_sc_hd__sdfrtp_1 */
/* removed sky130_fd_sc_hd__sdfrtp_2 */
/* removed sky130_fd_sc_hd__sdfrtp_4 */
/* removed sky130_fd_sc_hd__sdfsbp_1 */
/* removed sky130_fd_sc_hd__sdfsbp_2 */
/* removed sky130_fd_sc_hd__sdfstp_1 */
/* removed sky130_fd_sc_hd__sdfstp_2 */
/* removed sky130_fd_sc_hd__sdfstp_4 */
/* removed sky130_fd_sc_hd__sdfxbp_1 */
/* removed sky130_fd_sc_hd__sdfxbp_2 */
/* removed sky130_fd_sc_hd__sdfxtp_1 */
/* removed sky130_fd_sc_hd__sdfxtp_2 */
/* removed sky130_fd_sc_hd__sdfxtp_4 */
/* removed sky130_fd_sc_hd__sdlclkp_1 */
/* removed sky130_fd_sc_hd__sdlclkp_2 */
/* removed sky130_fd_sc_hd__sdlclkp_4 */
/* removed sky130_fd_sc_hd__sedfxbp_1 */
/* removed sky130_fd_sc_hd__sedfxbp_2 */
/* removed sky130_fd_sc_hd__sedfxtp_1 */
/* removed sky130_fd_sc_hd__sedfxtp_2 */
/* removed sky130_fd_sc_hd__sedfxtp_4 */
/* removed sky130_fd_sc_hd__xnor2_1 */
/* removed sky130_fd_sc_hd__xnor2_2 */
cell ("sky130_fd_sc_hd__xnor2_4") {
leakage_power () {
value : 17.635665400;
when : "!A&B";
}
leakage_power () {
value : 5.5653619000;
when : "!A&!B";
}
leakage_power () {
value : 14.800736600;
when : "A&B";
}
leakage_power () {
value : 16.256359800;
when : "A&!B";
}
area : 27.526400000;
cell_footprint : "sky130_fd_sc_hd__xnor2";
cell_leakage_power : 13.564530000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0169470000;
clock : "false";
direction : "input";
fall_capacitance : 0.0163220000;
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0175720000;
}
pin ("B") {
capacitance : 0.0161920000;
clock : "false";
direction : "input";
fall_capacitance : 0.0159160000;
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0164680000;
}
pin ("Y") {
direction : "output";
function : "(!A&!B) | (A&B)";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0015661740, 0.0049058010, 0.0153666800, 0.0481337800, 0.1507717000, 0.4722695000");
values("-0.006059500, -0.007250400, -0.011195000, -0.024088100, -0.065577600, -0.196596000, -0.607801100", \
"-0.006456800, -0.007582100, -0.011341500, -0.024025800, -0.065348500, -0.196308300, -0.607486800", \
"-0.007368200, -0.008443100, -0.012027500, -0.024322400, -0.065292900, -0.196052800, -0.607201200", \
"-0.008584500, -0.009706700, -0.013266300, -0.025337600, -0.065748700, -0.196091200, -0.607080700", \
"-0.007970600, -0.009296900, -0.013432400, -0.026604000, -0.066664600, -0.196353800, -0.606826700", \
"-0.007876100, -0.009245200, -0.013480300, -0.026639000, -0.068291500, -0.197864100, -0.607740000", \
"-0.005083500, -0.006524300, -0.011018000, -0.024818800, -0.067069300, -0.198364300, -0.608667900");
}
related_pin : "B";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0015661740, 0.0049058010, 0.0153666800, 0.0481337800, 0.1507717000, 0.4722695000");
values("0.0169296000, 0.0184626000, 0.0231367000, 0.0368971000, 0.0779361000, 0.2084145000, 0.6136520000", \
"0.0162252000, 0.0178097000, 0.0225385000, 0.0362720000, 0.0774211000, 0.2066992000, 0.6107078000", \
"0.0150260000, 0.0165541000, 0.0212329000, 0.0350999000, 0.0764960000, 0.2058964000, 0.6139111000", \
"0.0135497000, 0.0150482000, 0.0197127000, 0.0334917000, 0.0753715000, 0.2054295000, 0.6121709000", \
"0.0134127000, 0.0147509000, 0.0188415000, 0.0327658000, 0.0743882000, 0.2060232000, 0.6152695000", \
"0.0145840000, 0.0159922000, 0.0204119000, 0.0340802000, 0.0759240000, 0.2048064000, 0.6151221000", \
"0.0195523000, 0.0209877000, 0.0249288000, 0.0384992000, 0.0810118000, 0.2116719000, 0.6185859000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0015661740, 0.0049058010, 0.0153666800, 0.0481337800, 0.1507717000, 0.4722695000");
values("0.0690202000, 0.0678853000, 0.0643112000, 0.0521501000, 0.0114393000, -0.119241900, -0.530350400", \
"0.0686454000, 0.0675245000, 0.0638930000, 0.0516661000, 0.0110035000, -0.119587900, -0.530937200", \
"0.0678279000, 0.0667091000, 0.0631407000, 0.0509450000, 0.0102873000, -0.120191800, -0.531635100", \
"0.0669327000, 0.0657880000, 0.0621092000, 0.0498112000, 0.0092133000, -0.121266400, -0.532283500", \
"0.0658118000, 0.0645975000, 0.0608837000, 0.0484815000, 0.0078905000, -0.122261200, -0.533030300", \
"0.0654548000, 0.0641435000, 0.0601301000, 0.0471355000, 0.0078958000, -0.121833800, -0.532269000", \
"0.0707559000, 0.0693049000, 0.0655082000, 0.0520561000, 0.0107850000, -0.119651700, -0.528183100");
}
related_pin : "A";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0015661740, 0.0049058010, 0.0153666800, 0.0481337800, 0.1507717000, 0.4722695000");
values("0.0118925000, 0.0134289000, 0.0181655000, 0.0320739000, 0.0733447000, 0.2015419000, 0.6064687000", \
"0.0113290000, 0.0128838000, 0.0176739000, 0.0315923000, 0.0729106000, 0.2034984000, 0.6075804000", \
"0.0102515000, 0.0117591000, 0.0164780000, 0.0304478000, 0.0719904000, 0.2015883000, 0.6056020000", \
"0.0087960000, 0.0103279000, 0.0150412000, 0.0290743000, 0.0709878000, 0.2011754000, 0.6080148000", \
"0.0089115000, 0.0097374000, 0.0139268000, 0.0280098000, 0.0698725000, 0.2002288000, 0.6041757000", \
"0.0085356000, 0.0102949000, 0.0143834000, 0.0286486000, 0.0712036000, 0.2007821000, 0.6110734000", \
"0.0114308000, 0.0127589000, 0.0169334000, 0.0306065000, 0.0729509000, 0.2052900000, 0.6099261000");
}
}
max_capacitance : 0.1327610000;
max_transition : 1.4867720000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015661700, 0.0049058000, 0.0153667000, 0.0481338000, 0.1507720000, 0.4722690000");
values("0.0851259000, 0.0895104000, 0.1025105000, 0.1416084000, 0.2578618000, 0.6136005000, 1.7211265000", \
"0.0937914000, 0.0982204000, 0.1112928000, 0.1504509000, 0.2665638000, 0.6226293000, 1.7305021000", \
"0.1152122000, 0.1197096000, 0.1331661000, 0.1723631000, 0.2887454000, 0.6446355000, 1.7530429000", \
"0.1634013000, 0.1684546000, 0.1827745000, 0.2228939000, 0.3400374000, 0.6966497000, 1.8046697000", \
"0.2628695000, 0.2696352000, 0.2891611000, 0.3430314000, 0.4786246000, 0.8393933000, 1.9500084000", \
"0.4322884000, 0.4447320000, 0.4809627000, 0.5731598000, 0.7803590000, 1.2297592000, 2.3546829000", \
"0.6422621000, 0.6681036000, 0.7388119000, 0.9205895000, 1.3130023000, 2.0408502000, 3.4572607000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015661700, 0.0049058000, 0.0153667000, 0.0481338000, 0.1507720000, 0.4722690000");
values("0.1760393000, 0.1849660000, 0.2110199000, 0.2922664000, 0.5418953000, 1.3162804000, 3.7340495000", \
"0.1822234000, 0.1907909000, 0.2174509000, 0.2991158000, 0.5487634000, 1.3230027000, 3.7409866000", \
"0.2035722000, 0.2119420000, 0.2395467000, 0.3214611000, 0.5722106000, 1.3466724000, 3.7664796000", \
"0.2630924000, 0.2721071000, 0.2989727000, 0.3813302000, 0.6333460000, 1.4093962000, 3.8282573000", \
"0.4047396000, 0.4159608000, 0.4489816000, 0.5393008000, 0.7910329000, 1.5678681000, 3.9888222000", \
"0.6795529000, 0.6978753000, 0.7490520000, 0.8836124000, 1.2109083000, 2.0132898000, 4.4357606000", \
"1.1415604000, 1.1752265000, 1.2707958000, 1.5212135000, 2.0671960000, 3.1763567000, 5.6898086000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015661700, 0.0049058000, 0.0153667000, 0.0481338000, 0.1507720000, 0.4722690000");
values("0.0589833000, 0.0640303000, 0.0799174000, 0.1289679000, 0.2823334000, 0.7616051000, 2.2614339000", \
"0.0591675000, 0.0642675000, 0.0801049000, 0.1289597000, 0.2822246000, 0.7619657000, 2.2619853000", \
"0.0591256000, 0.0643581000, 0.0801099000, 0.1290626000, 0.2822362000, 0.7606178000, 2.2602384000", \
"0.0653192000, 0.0698780000, 0.0842555000, 0.1310455000, 0.2820217000, 0.7603588000, 2.2659539000", \
"0.0953230000, 0.1010166000, 0.1179195000, 0.1666987000, 0.3037699000, 0.7636035000, 2.2618081000", \
"0.1859874000, 0.1946202000, 0.2188714000, 0.2821289000, 0.4369391000, 0.8524766000, 2.2691913000", \
"0.4095739000, 0.4244559000, 0.4674008000, 0.5789434000, 0.7974435000, 1.2698151000, 2.5307294000");
}
related_pin : "A";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015661700, 0.0049058000, 0.0153667000, 0.0481338000, 0.1507720000, 0.4722690000");
values("0.1208399000, 0.1319119000, 0.1661890000, 0.2737438000, 0.6098302000, 1.6550246000, 4.9304494000", \
"0.1208810000, 0.1319714000, 0.1663863000, 0.2740669000, 0.6091468000, 1.6573205000, 4.9359112000", \
"0.1209786000, 0.1317979000, 0.1665204000, 0.2739311000, 0.6095706000, 1.6584274000, 4.9365023000", \
"0.1207158000, 0.1317504000, 0.1660941000, 0.2735496000, 0.6095476000, 1.6576295000, 4.9307447000", \
"0.1564481000, 0.1669591000, 0.1990950000, 0.2938788000, 0.6120284000, 1.6589601000, 4.9339905000", \
"0.2666826000, 0.2799702000, 0.3180494000, 0.4283204000, 0.7223039000, 1.6762729000, 4.9345443000", \
"0.5552011000, 0.5765516000, 0.6346412000, 0.7850074000, 1.1440593000, 2.0424940000, 4.9846398000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015661700, 0.0049058000, 0.0153667000, 0.0481338000, 0.1507720000, 0.4722690000");
values("0.1820877000, 0.1873371000, 0.2025259000, 0.2435092000, 0.3562877000, 0.6962433000, 1.7581905000", \
"0.1892086000, 0.1944659000, 0.2096427000, 0.2505015000, 0.3632867000, 0.7037474000, 1.7610645000", \
"0.2119986000, 0.2172461000, 0.2324732000, 0.2733718000, 0.3863161000, 0.7271206000, 1.7852788000", \
"0.2796409000, 0.2848119000, 0.2998334000, 0.3405871000, 0.4534658000, 0.7938648000, 1.8512729000", \
"0.4518142000, 0.4573710000, 0.4731980000, 0.5153089000, 0.6291038000, 0.9701812000, 2.0287869000", \
"0.7682454000, 0.7751833000, 0.7944045000, 0.8411637000, 0.9603528000, 1.3036778000, 2.3642326000", \
"1.3074044000, 1.3177309000, 1.3459349000, 1.4108079000, 1.5457535000, 1.8907456000, 2.9541716000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015661700, 0.0049058000, 0.0153667000, 0.0481338000, 0.1507720000, 0.4722690000");
values("0.1558746000, 0.1610094000, 0.1754592000, 0.2132379000, 0.3151708000, 0.6279228000, 1.6067425000", \
"0.1636329000, 0.1687821000, 0.1833038000, 0.2210736000, 0.3231508000, 0.6361918000, 1.6096534000", \
"0.1835586000, 0.1886698000, 0.2030456000, 0.2409259000, 0.3436943000, 0.6557621000, 1.6336554000", \
"0.2344236000, 0.2395538000, 0.2540138000, 0.2921558000, 0.3957782000, 0.7087799000, 1.6846873000", \
"0.3473026000, 0.3530572000, 0.3686902000, 0.4092025000, 0.5156265000, 0.8291844000, 1.8082943000", \
"0.5434020000, 0.5507913000, 0.5698902000, 0.6170065000, 0.7285784000, 1.0445798000, 2.0255182000", \
"0.8023865000, 0.8136611000, 0.8437565000, 0.9118367000, 1.0454662000, 1.3658130000, 2.3497189000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015661700, 0.0049058000, 0.0153667000, 0.0481338000, 0.1507720000, 0.4722690000");
values("0.0439832000, 0.0489239000, 0.0640852000, 0.1093287000, 0.2530421000, 0.7115374000, 2.1506570000", \
"0.0444087000, 0.0492656000, 0.0637409000, 0.1093250000, 0.2529434000, 0.7099748000, 2.1469675000", \
"0.0443952000, 0.0492989000, 0.0641085000, 0.1094842000, 0.2528683000, 0.7123420000, 2.1535800000", \
"0.0444200000, 0.0493350000, 0.0642341000, 0.1094946000, 0.2528062000, 0.7148597000, 2.1499660000", \
"0.0490995000, 0.0539587000, 0.0693481000, 0.1136154000, 0.2544953000, 0.7112933000, 2.1505935000", \
"0.0687602000, 0.0734334000, 0.0880494000, 0.1300289000, 0.2634639000, 0.7128509000, 2.1456656000", \
"0.1137854000, 0.1191044000, 0.1346509000, 0.1762155000, 0.2951694000, 0.7197450000, 2.1556128000");
}
related_pin : "A";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015661700, 0.0049058000, 0.0153667000, 0.0481338000, 0.1507720000, 0.4722690000");
values("0.0434825000, 0.0479181000, 0.0615319000, 0.1036460000, 0.2388665000, 0.6736591000, 2.0438544000", \
"0.0434617000, 0.0478410000, 0.0614572000, 0.1035840000, 0.2390700000, 0.6769553000, 2.0449387000", \
"0.0433187000, 0.0478190000, 0.0615870000, 0.1036546000, 0.2388486000, 0.6750255000, 2.0428428000", \
"0.0439861000, 0.0484125000, 0.0619801000, 0.1037823000, 0.2387132000, 0.6780647000, 2.0403761000", \
"0.0510051000, 0.0550713000, 0.0686492000, 0.1092453000, 0.2414550000, 0.6747362000, 2.0441953000", \
"0.0700941000, 0.0741012000, 0.0870718000, 0.1252960000, 0.2493359000, 0.6779755000, 2.0451631000", \
"0.1196126000, 0.1252615000, 0.1415819000, 0.1805717000, 0.2859302000, 0.6838000000, 2.0467756000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015661700, 0.0049058000, 0.0153667000, 0.0481338000, 0.1507720000, 0.4722690000");
values("0.0656489000, 0.0696442000, 0.0818002000, 0.1181013000, 0.2278416000, 0.5677012000, 1.6261246000", \
"0.0736037000, 0.0779143000, 0.0905786000, 0.1275379000, 0.2381607000, 0.5774157000, 1.6344820000", \
"0.0943892000, 0.0989220000, 0.1121442000, 0.1502822000, 0.2617993000, 0.6008696000, 1.6626484000", \
"0.1378921000, 0.1431681000, 0.1585199000, 0.1998362000, 0.3133414000, 0.6540779000, 1.7141799000", \
"0.2171242000, 0.2255162000, 0.2493760000, 0.3098270000, 0.4489972000, 0.7978643000, 1.8605675000", \
"0.3308484000, 0.3466696000, 0.3937823000, 0.5079300000, 0.7354081000, 1.1920543000, 2.2728981000", \
"0.4104726000, 0.4468786000, 0.5465586000, 0.7768024000, 1.2231337000, 1.9857223000, 3.3988994000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015661700, 0.0049058000, 0.0153667000, 0.0481338000, 0.1507720000, 0.4722690000");
values("0.1431750000, 0.1518386000, 0.1788972000, 0.2598247000, 0.5097643000, 1.2838113000, 3.7025829000", \
"0.1460668000, 0.1550575000, 0.1822015000, 0.2635794000, 0.5139044000, 1.2883629000, 3.7070504000", \
"0.1614959000, 0.1707372000, 0.1983120000, 0.2811658000, 0.5317617000, 1.3096364000, 3.7257579000", \
"0.2186309000, 0.2275968000, 0.2548433000, 0.3370695000, 0.5893307000, 1.3670307000, 3.7858783000", \
"0.3696693000, 0.3821950000, 0.4186610000, 0.5121696000, 0.7602655000, 1.5377660000, 3.9570720000", \
"0.6302663000, 0.6526175000, 0.7168687000, 0.8810230000, 1.2425447000, 2.0341371000, 4.4462665000", \
"1.0702793000, 1.1046629000, 1.2150839000, 1.5030689000, 2.1408562000, 3.3627900000, 5.8550351000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015661700, 0.0049058000, 0.0153667000, 0.0481338000, 0.1507720000, 0.4722690000");
values("0.0353060000, 0.0403530000, 0.0560220000, 0.1042467000, 0.2522315000, 0.7121627000, 2.1460504000", \
"0.0357920000, 0.0409588000, 0.0567838000, 0.1047547000, 0.2526923000, 0.7122042000, 2.1508890000", \
"0.0364033000, 0.0415386000, 0.0574858000, 0.1057350000, 0.2532531000, 0.7114933000, 2.1500866000", \
"0.0451832000, 0.0501761000, 0.0649094000, 0.1091372000, 0.2532733000, 0.7117090000, 2.1541890000", \
"0.0777989000, 0.0838268000, 0.1015340000, 0.1499259000, 0.2805056000, 0.7133338000, 2.1522033000", \
"0.1716667000, 0.1812187000, 0.2078581000, 0.2756768000, 0.4229866000, 0.8172275000, 2.1512416000", \
"0.4129219000, 0.4276945000, 0.4703281000, 0.5772264000, 0.7917185000, 1.2469724000, 2.4459215000");
}
related_pin : "B";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015661700, 0.0049058000, 0.0153667000, 0.0481338000, 0.1507720000, 0.4722690000");
values("0.1204984000, 0.1315576000, 0.1659719000, 0.2740493000, 0.6089528000, 1.6577220000, 4.9317310000", \
"0.1203734000, 0.1315991000, 0.1662060000, 0.2737229000, 0.6097600000, 1.6585692000, 4.9322288000", \
"0.1201804000, 0.1313112000, 0.1661041000, 0.2741946000, 0.6095012000, 1.6591830000, 4.9313753000", \
"0.1224708000, 0.1329023000, 0.1659043000, 0.2734478000, 0.6094021000, 1.6574621000, 4.9320357000", \
"0.1858846000, 0.1965294000, 0.2292656000, 0.3113409000, 0.6136431000, 1.6581392000, 4.9349396000", \
"0.3273406000, 0.3466973000, 0.3951185000, 0.5161014000, 0.7903512000, 1.6803282000, 4.9298379000", \
"0.5980629000, 0.6308304000, 0.7228717000, 0.9376507000, 1.3784520000, 2.2203810000, 4.9939164000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015661700, 0.0049058000, 0.0153667000, 0.0481338000, 0.1507720000, 0.4722690000");
values("0.1757339000, 0.1803080000, 0.1935170000, 0.2312839000, 0.3439712000, 0.6963149000, 1.8034702000", \
"0.1830860000, 0.1874774000, 0.2009139000, 0.2388124000, 0.3515420000, 0.7036748000, 1.8095958000", \
"0.2055533000, 0.2097749000, 0.2231977000, 0.2612996000, 0.3745953000, 0.7265133000, 1.8320735000", \
"0.2706902000, 0.2751130000, 0.2888359000, 0.3278007000, 0.4422154000, 0.7945887000, 1.9014312000", \
"0.4267422000, 0.4319620000, 0.4469380000, 0.4885765000, 0.6052475000, 0.9588796000, 2.0644284000", \
"0.7055980000, 0.7122166000, 0.7308311000, 0.7782792000, 0.9004603000, 1.2600435000, 2.3667672000", \
"1.1736874000, 1.1839429000, 1.2121104000, 1.2765389000, 1.4164029000, 1.7797798000, 2.8918590000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015661700, 0.0049058000, 0.0153667000, 0.0481338000, 0.1507720000, 0.4722690000");
values("0.1480348000, 0.1531161000, 0.1674076000, 0.2048437000, 0.3060993000, 0.6184989000, 1.5928983000", \
"0.1535935000, 0.1587511000, 0.1731063000, 0.2104024000, 0.3120483000, 0.6234580000, 1.6003294000", \
"0.1721583000, 0.1772624000, 0.1916027000, 0.2292210000, 0.3314845000, 0.6420575000, 1.6253365000", \
"0.2287583000, 0.2338337000, 0.2481128000, 0.2861154000, 0.3895304000, 0.7021582000, 1.6779171000", \
"0.3429757000, 0.3485112000, 0.3639788000, 0.4042990000, 0.5091378000, 0.8240571000, 1.8016433000", \
"0.5233868000, 0.5307683000, 0.5508147000, 0.5964387000, 0.7058168000, 1.0170619000, 1.9977673000", \
"0.7492321000, 0.7607701000, 0.7912277000, 0.8592657000, 0.9889892000, 1.2994560000, 2.2799882000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015661700, 0.0049058000, 0.0153667000, 0.0481338000, 0.1507720000, 0.4722690000");
values("0.0632977000, 0.0685332000, 0.0839548000, 0.1317971000, 0.2824233000, 0.7619412000, 2.2602471000", \
"0.0633772000, 0.0685549000, 0.0840204000, 0.1318680000, 0.2828850000, 0.7605734000, 2.2662332000", \
"0.0634826000, 0.0685694000, 0.0840927000, 0.1318264000, 0.2826012000, 0.7605528000, 2.2601658000", \
"0.0639359000, 0.0691441000, 0.0845960000, 0.1321621000, 0.2825526000, 0.7619576000, 2.2630151000", \
"0.0627140000, 0.0678760000, 0.0841962000, 0.1327571000, 0.2822816000, 0.7616064000, 2.2638542000", \
"0.0734676000, 0.0787186000, 0.0942076000, 0.1396227000, 0.2825783000, 0.7571327000, 2.2611608000", \
"0.1172671000, 0.1244770000, 0.1397941000, 0.1887977000, 0.3148591000, 0.7609813000, 2.2594651000");
}
related_pin : "B";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015661700, 0.0049058000, 0.0153667000, 0.0481338000, 0.1507720000, 0.4722690000");
values("0.0433569000, 0.0478902000, 0.0616049000, 0.1039103000, 0.2392430000, 0.6773093000, 2.0398703000", \
"0.0433079000, 0.0477056000, 0.0615978000, 0.1039110000, 0.2387298000, 0.6754295000, 2.0387541000", \
"0.0435124000, 0.0478915000, 0.0615109000, 0.1038663000, 0.2391472000, 0.6750388000, 2.0542319000", \
"0.0444501000, 0.0487813000, 0.0623661000, 0.1044103000, 0.2389555000, 0.6781662000, 2.0405171000", \
"0.0520407000, 0.0562328000, 0.0690552000, 0.1101557000, 0.2431600000, 0.6765758000, 2.0496997000", \
"0.0761720000, 0.0799737000, 0.0923481000, 0.1268728000, 0.2496598000, 0.6798104000, 2.0441326000", \
"0.1296819000, 0.1343739000, 0.1480715000, 0.1836234000, 0.2843081000, 0.6854401000, 2.0561144000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
/* removed sky130_fd_sc_hd__xnor3_1 */
/* removed sky130_fd_sc_hd__xnor3_2 */
/* removed sky130_fd_sc_hd__xnor3_4 */
/* removed sky130_fd_sc_hd__xor2_1 */
/* removed sky130_fd_sc_hd__xor2_2 */
cell ("sky130_fd_sc_hd__xor2_4") {
leakage_power () {
value : 11.699006200;
when : "!A&B";
}
leakage_power () {
value : 15.930373500;
when : "!A&!B";
}
leakage_power () {
value : 8.0882074000;
when : "A&B";
}
leakage_power () {
value : 10.194492400;
when : "A&!B";
}
area : 27.526400000;
cell_footprint : "sky130_fd_sc_hd__xor2";
cell_leakage_power : 11.478020000;
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0175600000;
clock : "false";
direction : "input";
fall_capacitance : 0.0169190000;
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0182010000;
}
pin ("B") {
capacitance : 0.0153560000;
clock : "false";
direction : "input";
fall_capacitance : 0.0148010000;
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0159100000;
}
pin ("X") {
direction : "output";
function : "(A&!B) | (!A&B)";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0015753170, 0.0049632440, 0.0156373600, 0.0492675900, 0.1552241000, 0.4890542000");
values("-0.007289400, -0.008638800, -0.012918900, -0.026365300, -0.068987200, -0.204097000, -0.630903300", \
"-0.008012200, -0.009364400, -0.013622000, -0.027025200, -0.069752600, -0.204815800, -0.631721200", \
"-0.008999300, -0.010320600, -0.014531300, -0.027821000, -0.070402700, -0.205556900, -0.632439900", \
"-0.010042300, -0.011361300, -0.015529800, -0.028718400, -0.071072700, -0.206002900, -0.632813000", \
"-0.010457000, -0.011790900, -0.015999300, -0.029227100, -0.071461900, -0.206047200, -0.632692100", \
"-0.009925800, -0.011252300, -0.015578500, -0.029109400, -0.072645400, -0.207190000, -0.633364700", \
"-0.007563300, -0.009023200, -0.013429500, -0.027142800, -0.070653000, -0.206841900, -0.633749800");
}
related_pin : "A";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0015753170, 0.0049632440, 0.0156373600, 0.0492675900, 0.1552241000, 0.4890542000");
values("0.0595201000, 0.0609154000, 0.0652909000, 0.0789242000, 0.1216098000, 0.2557422000, 0.6782954000", \
"0.0590790000, 0.0604690000, 0.0648699000, 0.0785752000, 0.1213455000, 0.2553677000, 0.6781447000", \
"0.0584088000, 0.0598227000, 0.0642920000, 0.0781205000, 0.1210533000, 0.2551670000, 0.6780642000", \
"0.0578426000, 0.0592396000, 0.0636943000, 0.0775735000, 0.1206276000, 0.2550129000, 0.6782271000", \
"0.0573178000, 0.0586806000, 0.0630881000, 0.0768096000, 0.1198105000, 0.2544120000, 0.6776694000", \
"0.0554221000, 0.0568777000, 0.0622712000, 0.0766344000, 0.1196590000, 0.2546833000, 0.6773839000", \
"0.0568613000, 0.0583001000, 0.0624570000, 0.0758588000, 0.1179202000, 0.2539394000, 0.6779437000");
}
}
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0015753170, 0.0049632440, 0.0156373600, 0.0492675900, 0.1552241000, 0.4890542000");
values("-0.001618700, -0.002926300, -0.007219200, -0.020725500, -0.063379800, -0.198602200, -0.625648700", \
"-0.002089600, -0.003436300, -0.007629500, -0.021040900, -0.063771400, -0.198896600, -0.625861700", \
"-0.002811300, -0.004198500, -0.008390000, -0.021702700, -0.064170000, -0.199258200, -0.626146100", \
"-0.003802800, -0.005108200, -0.009106900, -0.022287700, -0.064695200, -0.199539500, -0.626326100", \
"-0.004193900, -0.005544300, -0.009784100, -0.023052200, -0.065130500, -0.199736600, -0.626128000", \
"-0.002631000, -0.003999600, -0.008349900, -0.022034300, -0.065754300, -0.200333400, -0.626809100", \
"0.0016434000, 0.0003994000, -0.004308700, -0.018612000, -0.062532600, -0.199460200, -0.626695900");
}
related_pin : "B";
rise_power ("power_outputs_1") {
index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000");
index_2("0.0005000000, 0.0015753170, 0.0049632440, 0.0156373600, 0.0492675900, 0.1552241000, 0.4890542000");
values("0.0444151000, 0.0459060000, 0.0503792000, 0.0642096000, 0.1075290000, 0.2422790000, 0.6642238000", \
"0.0437720000, 0.0453320000, 0.0499577000, 0.0640401000, 0.1074925000, 0.2423107000, 0.6663598000", \
"0.0429494000, 0.0445001000, 0.0492389000, 0.0634460000, 0.1068305000, 0.2423674000, 0.6643170000", \
"0.0423131000, 0.0437643000, 0.0483334000, 0.0625957000, 0.1064554000, 0.2419165000, 0.6641718000", \
"0.0416714000, 0.0430984000, 0.0475464000, 0.0615072000, 0.1050060000, 0.2407272000, 0.6644747000", \
"0.0401138000, 0.0415404000, 0.0458865000, 0.0610956000, 0.1051705000, 0.2400987000, 0.6645519000", \
"0.0419876000, 0.0433521000, 0.0475135000, 0.0609275000, 0.1035294000, 0.2398118000, 0.6645267000");
}
}
max_capacitance : 0.1400860000;
max_transition : 1.4948400000;
power_down_function : "(!VPWR + VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015753200, 0.0049632400, 0.0156374000, 0.0492676000, 0.1552240000, 0.4890540000");
values("0.2736355000, 0.2784498000, 0.2917561000, 0.3242349000, 0.3970605000, 0.5775120000, 1.1101858000", \
"0.2786329000, 0.2833574000, 0.2966269000, 0.3295985000, 0.4021470000, 0.5826622000, 1.1150100000", \
"0.2989807000, 0.3038095000, 0.3175400000, 0.3501461000, 0.4230142000, 0.6035737000, 1.1358493000", \
"0.3592541000, 0.3640349000, 0.3772965000, 0.4095567000, 0.4830483000, 0.6639400000, 1.1959070000", \
"0.5114369000, 0.5164731000, 0.5303742000, 0.5637198000, 0.6388403000, 0.8208640000, 1.3537431000", \
"0.8159753000, 0.8220971000, 0.8390524000, 0.8797548000, 0.9674720000, 1.1617824000, 1.6996979000", \
"1.3474794000, 1.3565267000, 1.3809652000, 1.4382753000, 1.5513670000, 1.7770666000, 2.3237536000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015753200, 0.0049632400, 0.0156374000, 0.0492676000, 0.1552240000, 0.4890540000");
values("0.1443017000, 0.1533591000, 0.1810508000, 0.2647346000, 0.5184344000, 1.3043153000, 3.7825503000", \
"0.1528944000, 0.1617804000, 0.1897259000, 0.2736180000, 0.5263031000, 1.3154655000, 3.7851522000", \
"0.1763053000, 0.1853238000, 0.2128980000, 0.2967759000, 0.5511099000, 1.3380483000, 3.8156807000", \
"0.2357632000, 0.2446967000, 0.2717459000, 0.3552062000, 0.6100004000, 1.3974170000, 3.8751771000", \
"0.3504172000, 0.3589396000, 0.3858255000, 0.4683559000, 0.7223322000, 1.5121006000, 3.9893595000", \
"0.5448209000, 0.5539941000, 0.5808454000, 0.6626349000, 0.9164698000, 1.7041204000, 4.1835859000", \
"0.8159157000, 0.8280872000, 0.8628629000, 0.9480989000, 1.1967047000, 1.9902455000, 4.4582986000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015753200, 0.0049632400, 0.0156374000, 0.0492676000, 0.1552240000, 0.4890540000");
values("0.0457699000, 0.0489258000, 0.0580873000, 0.0842909000, 0.1562337000, 0.3745658000, 1.0982109000", \
"0.0458161000, 0.0487460000, 0.0577309000, 0.0842959000, 0.1563848000, 0.3746400000, 1.0974618000", \
"0.0459468000, 0.0487075000, 0.0583084000, 0.0841680000, 0.1563949000, 0.3745090000, 1.0971577000", \
"0.0455482000, 0.0488239000, 0.0582592000, 0.0844597000, 0.1560080000, 0.3745832000, 1.0971836000", \
"0.0496322000, 0.0528248000, 0.0619985000, 0.0883075000, 0.1578310000, 0.3750415000, 1.0986082000", \
"0.0660223000, 0.0697033000, 0.0798545000, 0.1070581000, 0.1800187000, 0.3903558000, 1.0994773000", \
"0.1113361000, 0.1163797000, 0.1298531000, 0.1609504000, 0.2361357000, 0.4317874000, 1.1129085000");
}
related_pin : "A";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015753200, 0.0049632400, 0.0156374000, 0.0492676000, 0.1552240000, 0.4890540000");
values("0.0862610000, 0.0972253000, 0.1321159000, 0.2409351000, 0.5788921000, 1.6373786000, 4.9577182000", \
"0.0859902000, 0.0973466000, 0.1322657000, 0.2409090000, 0.5776378000, 1.6406783000, 4.9629496000", \
"0.0863141000, 0.0970283000, 0.1320378000, 0.2404468000, 0.5789800000, 1.6421934000, 4.9699453000", \
"0.0859506000, 0.0967824000, 0.1318135000, 0.2410561000, 0.5794616000, 1.6381081000, 4.9580415000", \
"0.0872856000, 0.0981898000, 0.1322857000, 0.2400803000, 0.5771885000, 1.6388876000, 4.9698849000", \
"0.0974767000, 0.1071435000, 0.1381335000, 0.2423239000, 0.5775482000, 1.6330624000, 4.9676592000", \
"0.1337284000, 0.1430184000, 0.1714063000, 0.2599825000, 0.5829884000, 1.6391746000, 4.9507798000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015753200, 0.0049632400, 0.0156374000, 0.0492676000, 0.1552240000, 0.4890540000");
values("0.0837407000, 0.0878877000, 0.1001941000, 0.1373112000, 0.2478470000, 0.5888030000, 1.6546141000", \
"0.0902158000, 0.0943344000, 0.1069773000, 0.1439585000, 0.2546900000, 0.5954278000, 1.6601098000", \
"0.1102150000, 0.1144527000, 0.1271316000, 0.1643160000, 0.2751958000, 0.6163205000, 1.6818176000", \
"0.1606105000, 0.1651298000, 0.1790835000, 0.2171852000, 0.3287654000, 0.6697797000, 1.7357571000", \
"0.2642020000, 0.2709999000, 0.2909215000, 0.3440210000, 0.4748728000, 0.8207074000, 1.8880915000", \
"0.4377615000, 0.4501012000, 0.4861233000, 0.5784755000, 0.7865013000, 1.2269004000, 2.3154341000", \
"0.6613641000, 0.6864672000, 0.7561162000, 0.9337128000, 1.3310731000, 2.0671249000, 3.4668639000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015753200, 0.0049632400, 0.0156374000, 0.0492676000, 0.1552240000, 0.4890540000");
values("0.1817605000, 0.1903577000, 0.2162782000, 0.2949529000, 0.5403071000, 1.3074978000, 3.7187444000", \
"0.1876013000, 0.1957385000, 0.2218655000, 0.3012778000, 0.5468280000, 1.3140915000, 3.7258276000", \
"0.2089138000, 0.2171377000, 0.2436047000, 0.3235366000, 0.5700516000, 1.3378630000, 3.7499359000", \
"0.2703492000, 0.2788526000, 0.3049169000, 0.3852557000, 0.6324136000, 1.4012571000, 3.8141788000", \
"0.4156673000, 0.4261662000, 0.4567671000, 0.5453504000, 0.7928611000, 1.5626221000, 3.9762393000", \
"0.6934621000, 0.7101749000, 0.7589422000, 0.8930540000, 1.2169066000, 2.0133704000, 4.4282134000", \
"1.1427402000, 1.1756209000, 1.2742559000, 1.5282062000, 2.0781774000, 3.1838969000, 5.7001679000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015753200, 0.0049632400, 0.0156374000, 0.0492676000, 0.1552240000, 0.4890540000");
values("0.0577611000, 0.0623648000, 0.0771054000, 0.1233838000, 0.2694838000, 0.7316777000, 2.1874158000", \
"0.0576637000, 0.0623797000, 0.0770194000, 0.1233393000, 0.2691782000, 0.7313571000, 2.1870528000", \
"0.0572727000, 0.0620158000, 0.0767547000, 0.1232510000, 0.2693893000, 0.7308416000, 2.1871756000", \
"0.0651460000, 0.0694143000, 0.0822934000, 0.1257516000, 0.2692937000, 0.7308818000, 2.1843503000", \
"0.1007841000, 0.1061905000, 0.1223769000, 0.1680778000, 0.2946350000, 0.7330210000, 2.1839028000", \
"0.1976643000, 0.2052390000, 0.2313702000, 0.2917317000, 0.4415056000, 0.8325587000, 2.1928666000", \
"0.4261704000, 0.4404380000, 0.4836180000, 0.5876672000, 0.8137909000, 1.2767247000, 2.4879301000");
}
related_pin : "A";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015753200, 0.0049632400, 0.0156374000, 0.0492676000, 0.1552240000, 0.4890540000");
values("0.1140883000, 0.1249386000, 0.1586689000, 0.2636743000, 0.5920273000, 1.6192633000, 4.8662554000", \
"0.1141943000, 0.1248191000, 0.1587546000, 0.2637812000, 0.5915823000, 1.6224948000, 4.8594782000", \
"0.1139386000, 0.1248582000, 0.1587693000, 0.2636702000, 0.5917603000, 1.6221819000, 4.8598849000", \
"0.1147921000, 0.1253881000, 0.1589429000, 0.2640750000, 0.5917382000, 1.6216730000, 4.8541273000", \
"0.1478254000, 0.1583510000, 0.1895438000, 0.2823659000, 0.5932516000, 1.6222876000, 4.8607052000", \
"0.2566873000, 0.2691808000, 0.3076635000, 0.4152871000, 0.7025598000, 1.6391579000, 4.8533129000", \
"0.5465453000, 0.5676982000, 0.6266022000, 0.7817129000, 1.1342455000, 2.0054027000, 4.9185958000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015753200, 0.0049632400, 0.0156374000, 0.0492676000, 0.1552240000, 0.4890540000");
values("0.2312349000, 0.2360129000, 0.2490798000, 0.2813678000, 0.3533263000, 0.5338451000, 1.0665109000", \
"0.2343772000, 0.2390413000, 0.2522589000, 0.2842490000, 0.3567963000, 0.5372782000, 1.0698052000", \
"0.2511380000, 0.2559573000, 0.2691781000, 0.3014603000, 0.3739815000, 0.5542165000, 1.0861116000", \
"0.3105680000, 0.3153373000, 0.3284558000, 0.3608160000, 0.4337645000, 0.6145207000, 1.1469070000", \
"0.4760686000, 0.4810069000, 0.4946776000, 0.5284689000, 0.6039123000, 0.7842221000, 1.3169398000", \
"0.7841687000, 0.7906886000, 0.8081466000, 0.8483055000, 0.9315135000, 1.1228785000, 1.6515959000", \
"1.2974377000, 1.3071719000, 1.3334465000, 1.3922352000, 1.5025960000, 1.7109523000, 2.2408075000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015753200, 0.0049632400, 0.0156374000, 0.0492676000, 0.1552240000, 0.4890540000");
values("0.1732652000, 0.1811593000, 0.2061412000, 0.2810612000, 0.5185540000, 1.2787903000, 3.6859404000", \
"0.1820287000, 0.1900695000, 0.2151298000, 0.2906252000, 0.5285724000, 1.2889895000, 3.6963381000", \
"0.2021905000, 0.2104423000, 0.2357101000, 0.3127791000, 0.5533737000, 1.3128377000, 3.7199308000", \
"0.2494274000, 0.2577531000, 0.2838569000, 0.3630217000, 0.6071063000, 1.3695630000, 3.7763166000", \
"0.3398599000, 0.3484197000, 0.3748242000, 0.4575919000, 0.7043283000, 1.4704128000, 3.8780139000", \
"0.4972153000, 0.5061779000, 0.5332036000, 0.6128784000, 0.8607447000, 1.6319501000, 4.0418571000", \
"0.6967240000, 0.7096808000, 0.7451521000, 0.8332623000, 1.0780613000, 1.8461119000, 4.2602308000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015753200, 0.0049632400, 0.0156374000, 0.0492676000, 0.1552240000, 0.4890540000");
values("0.0455521000, 0.0484962000, 0.0586978000, 0.0846527000, 0.1568945000, 0.3747828000, 1.0944867000", \
"0.0455898000, 0.0487190000, 0.0586772000, 0.0848738000, 0.1569807000, 0.3748918000, 1.0946233000", \
"0.0457749000, 0.0488761000, 0.0579990000, 0.0849766000, 0.1563304000, 0.3746733000, 1.0970787000", \
"0.0452615000, 0.0492300000, 0.0582752000, 0.0847877000, 0.1562876000, 0.3749354000, 1.0977094000", \
"0.0508001000, 0.0539184000, 0.0633069000, 0.0890819000, 0.1599956000, 0.3756185000, 1.0947449000", \
"0.0785329000, 0.0803000000, 0.0885996000, 0.1115847000, 0.1774797000, 0.3903632000, 1.0994847000", \
"0.1301758000, 0.1345714000, 0.1459158000, 0.1717913000, 0.2322741000, 0.4191874000, 1.1115227000");
}
related_pin : "B";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015753200, 0.0049632400, 0.0156374000, 0.0492676000, 0.1552240000, 0.4890540000");
values("0.1135485000, 0.1244070000, 0.1583199000, 0.2637464000, 0.5923108000, 1.6220019000, 4.8627848000", \
"0.1133777000, 0.1243757000, 0.1583346000, 0.2636986000, 0.5919088000, 1.6219974000, 4.8586073000", \
"0.1133398000, 0.1242702000, 0.1583048000, 0.2637415000, 0.5927164000, 1.6226576000, 4.8578324000", \
"0.1129590000, 0.1239431000, 0.1579284000, 0.2633297000, 0.5928016000, 1.6227597000, 4.8572706000", \
"0.0992008000, 0.1104052000, 0.1450825000, 0.2545081000, 0.5874272000, 1.6224349000, 4.8604982000", \
"0.1023555000, 0.1122545000, 0.1431576000, 0.2454493000, 0.5755271000, 1.6113789000, 4.8572653000", \
"0.1445626000, 0.1552889000, 0.1837860000, 0.2681703000, 0.5745431000, 1.6033963000, 4.8461781000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015753200, 0.0049632400, 0.0156374000, 0.0492676000, 0.1552240000, 0.4890540000");
values("0.0676891000, 0.0717748000, 0.0842601000, 0.1214954000, 0.2319211000, 0.5726472000, 1.6373224000", \
"0.0735202000, 0.0777240000, 0.0903152000, 0.1274948000, 0.2381778000, 0.5785137000, 1.6453069000", \
"0.0932673000, 0.0970069000, 0.1096659000, 0.1470292000, 0.2581583000, 0.5990734000, 1.6646160000", \
"0.1501748000, 0.1551660000, 0.1697109000, 0.2067494000, 0.3175445000, 0.6585533000, 1.7246289000", \
"0.2505466000, 0.2589731000, 0.2833346000, 0.3462798000, 0.4871928000, 0.8280512000, 1.8950066000", \
"0.4015556000, 0.4164381000, 0.4600113000, 0.5725036000, 0.8219744000, 1.3026301000, 2.3776277000", \
"0.5830067000, 0.6063354000, 0.6858458000, 0.8902578000, 1.3424921000, 2.2013311000, 3.7264973000");
}
cell_rise ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015753200, 0.0049632400, 0.0156374000, 0.0492676000, 0.1552240000, 0.4890540000");
values("0.1392594000, 0.1480239000, 0.1745491000, 0.2551353000, 0.5065462000, 1.2924420000, 3.7686325000", \
"0.1445427000, 0.1533637000, 0.1809144000, 0.2630090000, 0.5147607000, 1.3014029000, 3.7804857000", \
"0.1650108000, 0.1740453000, 0.2014592000, 0.2853149000, 0.5394482000, 1.3252260000, 3.8048724000", \
"0.2256218000, 0.2344477000, 0.2610868000, 0.3440479000, 0.5997358000, 1.3891356000, 3.8696126000", \
"0.3537330000, 0.3658382000, 0.4007579000, 0.4976132000, 0.7551818000, 1.5466284000, 4.0242671000", \
"0.5809361000, 0.6029549000, 0.6630810000, 0.8187772000, 1.1709186000, 1.9896267000, 4.4683701000", \
"0.9151377000, 0.9582229000, 1.0837304000, 1.3911130000, 1.9991588000, 3.1500069000, 5.7251599000");
}
fall_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015753200, 0.0049632400, 0.0156374000, 0.0492676000, 0.1552240000, 0.4890540000");
values("0.0579880000, 0.0626248000, 0.0771214000, 0.1235430000, 0.2695405000, 0.7318581000, 2.1874180000", \
"0.0578811000, 0.0624885000, 0.0770312000, 0.1232758000, 0.2696799000, 0.7308900000, 2.1860707000", \
"0.0562037000, 0.0609334000, 0.0762111000, 0.1232374000, 0.2690872000, 0.7319406000, 2.1842432000", \
"0.0719720000, 0.0764260000, 0.0889539000, 0.1283344000, 0.2691353000, 0.7307856000, 2.1842597000", \
"0.1246306000, 0.1310678000, 0.1492775000, 0.1961998000, 0.3132301000, 0.7327638000, 2.1833932000", \
"0.2410149000, 0.2525909000, 0.2839309000, 0.3615213000, 0.5304276000, 0.8871197000, 2.1905959000", \
"0.4829088000, 0.5057110000, 0.5662223000, 0.7099673000, 0.9908606000, 1.5237877000, 2.6218692000");
}
related_pin : "B";
rise_transition ("del_1_7_7") {
index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000");
index_2("0.0005000000, 0.0015753200, 0.0049632400, 0.0156374000, 0.0492676000, 0.1552240000, 0.4890540000");
values("0.0871078000, 0.0980874000, 0.1323663000, 0.2401858000, 0.5781181000, 1.6392144000, 4.9560702000", \
"0.0868953000, 0.0979206000, 0.1325827000, 0.2396705000, 0.5805361000, 1.6418342000, 4.9702096000", \
"0.0872199000, 0.0983538000, 0.1325385000, 0.2401797000, 0.5765807000, 1.6359812000, 4.9574367000", \
"0.0898690000, 0.1003650000, 0.1337453000, 0.2411100000, 0.5785444000, 1.6399609000, 4.9607016000", \
"0.1282332000, 0.1390364000, 0.1713055000, 0.2659518000, 0.5810338000, 1.6385510000, 4.9625508000", \
"0.2417467000, 0.2555512000, 0.2957245000, 0.4044096000, 0.7026617000, 1.6518350000, 4.9653162000", \
"0.5407953000, 0.5620064000, 0.6211390000, 0.7792905000, 1.1456859000, 2.0391315000, 5.0063163000");
}
timing_sense : "negative_unate";
timing_type : "combinational";
}
}
}
/* removed sky130_fd_sc_hd__xor3_1 */
/* removed sky130_fd_sc_hd__xor3_2 */
/* removed sky130_fd_sc_hd__xor3_4 */
}